CN101452221B - 光刻工艺窗口模拟的方法和系统 - Google Patents

光刻工艺窗口模拟的方法和系统 Download PDF

Info

Publication number
CN101452221B
CN101452221B CN2008101798297A CN200810179829A CN101452221B CN 101452221 B CN101452221 B CN 101452221B CN 2008101798297 A CN2008101798297 A CN 2008101798297A CN 200810179829 A CN200810179829 A CN 200810179829A CN 101452221 B CN101452221 B CN 101452221B
Authority
CN
China
Prior art keywords
image
prime
focal length
centerdot
simulated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008101798297A
Other languages
English (en)
Other versions
CN101452221A (zh
Inventor
叶军
曹宇
冯函英
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN101452221A publication Critical patent/CN101452221A/zh
Application granted granted Critical
Publication of CN101452221B publication Critical patent/CN101452221B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一种有效地模拟用来成像具有多个特征的目标设计的光刻工艺的成像性能的方法,该方法包括如下步骤:确定产生被模拟的图像的函数,所述函数计算与所述光刻工艺相关的工艺变化;及采用所述函数产生所述被模拟的图像,所述被模拟的图像表示用于所述光刻工艺的所述目标设计的成像结果。在一个给定的实施例中,用于模拟在焦距和剂量(曝光)变化情况下的所述空间图像的函数定义为:I(x,f,1+ε)=I0(x)+[ε·I0(x)+(1+ε)·a(x)·(f-f0)+(1+ε)·b(x)·(f-f0)2]其中I0表示在额定焦距和曝光条件下的图像强度,f0表示额定焦距,f和ε表示计算被模拟的图像时的实际焦距-曝光水平,及参数“a”和“b”表示关于焦距变化的一阶和二阶导数图像。

Description

光刻工艺窗口模拟的方法和系统
技术领域
本发明的技术领域通常涉及一种执行与光刻工艺相关的成像结果的模拟的方法和程序产品,且尤其涉及解决工艺窗口范围内参数变化问题的计算的有效的模拟工艺。
背景技术
光刻装置,例如,可用在集成电路(ICs)的制造中。在这种情况下,掩模可能包含与所述IC的单独层对应的电路图案,且该图案可在已经涂敷有辐射敏感材料(抗蚀剂)层的衬底(硅晶片)上的目标部分(如,包括一个或多个管芯)上进行成像。通常,单一晶片包含邻近目标部分的整个网络,该邻近目标部分以每次一个的方式通过投影系统接连被照射。在一种类型的光刻投影装置中,通过一次曝光目标部分上的整个掩模图案,使每个目标部分被照射;这样的装置通常称之为晶片步进机。在可替换的通常称为步进扫描装置的装置中,在给定参考方向(“扫描”方向)逐步扫描投影束下的掩模图案,并同时沿平行或反平行该参考方向的方向同步扫描衬底台,使每个目标部分被照射。通常,由于投影系统会具有放大因子M(通常<1),因此衬底台扫描的速度V将是在掩模台扫描速度的M倍。在此描述的关于光刻装置的更多信息例如可从US6046792中获得,其在此作为参考引用。
在采用光刻投影装置的制造工艺中,掩模图案在至少部分覆盖有辐射敏感材料(抗蚀剂)层的衬底上成像。在该成像步骤之前,衬底可能经历各种过程,如初始准备(priming)、抗蚀剂涂敷和软烘烤。在曝光后,衬底可能会经历其他过程,如曝光后烘烤(PEB)、显影、硬烘烤和成像特征的测量/检查。这种过程排列用作图案化如IC的装置的单独层的基础。然后该图案化层可能经历各种工艺如刻蚀、离子注入(掺杂)、金属化、氧化、化学机械抛光等,所有的工艺都是为了完成一单独层。如果要求几个层,那么对于每个新的层将必须重复整个过程或其中的变量。最终,装置阵列将会呈现在衬底(晶片)上。然后通过如切片或切割之类的技术,相互分离这些装置,由此该单独的装置可安装在连接到针脚等的搬运器上。
为了简单,下文中的投影系统可称为“透镜”;然后,这个术语应当广泛地解释为包含各种类型的投影系统,例如包括折射光学、反射光学和兼反射光及折射光的系统。辐射系统也可包括根据用于引导、成形或控制照射投影束的这些设计类型中任一个进行工作的组件,在下面这些组件也可集体或单个地称为“透镜”。而且,光刻装置可以是具有两个或多个衬底台(和/或两个或多个掩模台)的类型。在这种“多工作台”装置中,可平行使用附加的工作台,或在一个或多个工作台上执行预备步骤的同时,一个或多个其他工作台用来进行曝光。例如,在US5969441中描述了双工作台光刻装置,在此作为参考引用。
上面涉及的照相光刻掩模包括与将被集成到硅晶片上的电路组件相应的几何图案。用来产生这样的掩模的图案是采用CAD(计算机辅助设计)程序产生的,这个工艺通常称为EDA(电子设计自动化)。为了产生功能掩模,大多数CAD程序遵从一套预定的设计规则。例如,设计规则定义电路器件(如栅极、电容等)之间或互连线之间的间隔容许量,以确保电路器件或线不以不希望的方式彼此相互影响。设计规则限制通常称为“临界尺寸”(CD)。电路的临界尺寸可定义为线或孔的最小宽度或两条线或两个孔之间的最小间隔。因此,CD决定设计电路的整体尺寸和密度。当然,集成电路制造的目标之一是在晶片上(通过掩模)如实地重现原始的电路设计。
如所述的,微光刻是半导体集成电路制造中的重要步骤,其中形成在半导体晶片衬底上的图案定义如微处理器、存储芯片等半导体装置的功能元件。类似的光刻技术也用在平板显示器、微机电系统(MEMS)和其他装置的形成中。
随着半导体制造工艺持续向前发展,在十年内,集成电路的所述临界尺寸持续减小,同时每个装置上的功能元件如晶体管的数量稳定增加,遵循通常称为“摩尔定律”的趋势。在目前的技术状态下,先进装置的关键层使用已知如扫描器的光学光刻投影系统进行制造,其中扫描器使用来自深紫外激光光源的照明将掩模图像投射到衬底上,产生具有100nm以下的尺寸,如小于投影光波长一半的单独的电路特征。
根据分辨率公式CD=k1×λ/NA,在其中印刷具有小于传统的光学投影系统的分辨率极限的尺寸的特征的这种工艺通常称为低-k1光刻,其中λ是所采用的照射波长(目前大多数情形是248nm或193nm),NA是所述投影光学系统的数值孔径,CD是“临界尺寸”—通常是能印刷的最小特征尺寸—和k1是经验分辨率因子。通常,k1越小,在晶片上重现图案就变得越困难,其中该图案与电路设计者设计的形状和尺寸类似,以实现特定的电学功能和性能。为了克服这些困难,复杂的精密调整步骤应用到投影系统中,也应到掩模设计中。这些调整包括,例如,但不限于,NA和光学相干设定的优化、定制的照明配置、相移掩模的使用、掩模布局中的光学邻近效应修正或其他通常定义为“分辨率增强技术”(RET)的方法。
作为一个重要的例子,光学邻近效应修正(OPC,有时候也称为“光学和工艺修正”)阐述这样一个事实,即晶片上的印刷特征的最终尺寸和配置并不简单是掩模上对应特征的尺寸和配置的函数。要说明的是,术语“掩模”和“掩模版(reticle)”在此可交换使用。对于呈现在典型的电路设计上的小的特征尺寸和高的特征密度,由于其它邻近特征的存在或不存在,给定特征的特定边缘的位置将会受到一定程度的影响。这些邻近效应源于一个特征与另一特征的微量耦合光。类似地,邻近效应可能源于曝光后烘烤(PEB)期间的扩散或其它化学效应、抗蚀剂显影以及通常跟随光刻曝光的刻蚀。
为了确保在半导体衬底上产生与给定目标电路设计的要求一致的特征,邻近效应需要使用复杂的数值模型进行预测,在高端器件的成功制造变为可能之前,需要将修正或预变形(pre-distortions)应用到掩模设计中。文章“Full-Chip Lithography Simulation and Design Analysis-How OPCIs Changing IC Design”,C.Spence,Proc.SPIE,Vol.5751,pp 1-14(2005)提供了目前“基于模型的(model-based)”光学邻近效应修正工艺的总的看法。在典型的高端设计中,为了实现足够接近目标设计的印刷图案,几乎每个特征边缘都要求一些修正。这些修正可包括边缘位置或线宽的移位或偏置,也包括那些不想印刷它们本身、但它们会影响相关联的主要特征的特性的“辅助”特征的应用。
考虑到通常存在于芯片设计中的数百万特征,将基于模型的(model-based)OPC应用于目标设计要求好的工艺模型和相当多的计算资源。然而,应用OPC通常不是“精确的科学的”,而是经验的、反复工艺,其不总是能解决布局中的所有可能的缺陷。因此,为了最小化掩模装置的制造中产生的设计缺陷的可能性,OPC后设计,如在采用OPC或任何其它RET’s的所有图案修正的应用之后的掩模布局,需要通过设计检查进行验证,设计检查例如是采用校正的数值工艺模型的彻底的全芯片模拟。这是被巨大的制造高端掩模装置的范围达到数百万美元的花费所驱动的,也被一旦掩模被制造后重做或修补实际掩模的回转时间(turn-around time)的影响所驱动。
OPC和全芯片RET验证都可基于数值模型系统和已描述的方法,例如在USP App.Ser.No.10/815,573和文章标题为“Optimized Hardwareand Software For Fast,Full Chip Simulation”,by Y.Cao et al.,Proc.SPIE,Vol.5754,405(2005)中描述的方法。
在光刻图案化工艺的全芯片数值模拟已经在单一工艺条件下展示的同时,已经知道设计的可制造性要求足够的图案重现精度的容许量,以克服在实际制造期间的不可避免的工艺条件的小变化,典型的单一工艺条件如最佳聚焦和做好曝光剂量或最好的“额定”条件。这个容许量通常表示为工艺窗口,定义为曝光-散焦(defocus)间隔中的宽度和高度(或范围(latitude)),在该间隔范围内CD或边缘配置的变化在预定义的裕量(如错误容许量),例如额定线宽的±10%之内。在实践中,实际极限要求可能因依赖其功能和重要性的不同特征类型而不同。而且,工艺窗口概念可扩展到除曝光剂量和散焦之外的其它基础参数。
给定设计的可制造性通常依赖于单一层中的所有特征的常规工艺窗口。在艺术级的(state-of-the-art)的OPC应用和设计检查方法能够在额定条件下优化和验证设计的同时,近来已经认识到,由于不断减小的容许量和CD需求,为了确保在将来的工艺节点的可制造性,将需要工艺窗口感知(process-window aware)的OPC模型。
目前,为了制定具有足够精度和覆盖率的给定设计的工艺窗口,需要对N个参数设定(如散焦和曝光剂量)的模拟,其中N可以是一打或更多的量级。因此,如果这些对各种设定的反复模拟是直接合并到通常包括全芯片光刻模拟的大量迭代的OPC应用和验证流程的框架中,计算时间的N-倍(fold)增加是必需的。然而,当试图使给定目标电路有效或设计目标电路时,计算时间的这样的增加是禁止的。
同样地,需要解决工艺窗口中的变化的模拟方法和系统,其可用于OPC和RET验证,能比如目前被现有系统实行的对各种条件反复模拟的“强力(brute-force)”方法在计算上更加有效。
此外,需要光刻模型的校正程序,其在工艺窗口范围内,而不是仅在单一的、特殊参数设定范围内提供有效的、鲁棒性的(robust)和精确的模型。
发明内容
因此,本发明涉及一种方法,该方法提供一种用于考虑在模拟工艺中使用的工艺窗口中的变化的有效计算技术,并克服现有技术的上述不足。
更具体地,本发明涉及一种模拟光刻工艺的成像性能的方法,所述光刻工艺用来使具有多个特征的目标设计成像。该方法包括如下步骤:确定用于产生被模拟的图像的函数,所述函数计算与所述光刻工艺相关的工艺变量;及采用所述函数产生所述被模拟的图像;所述被模拟的图像表示用于所述光刻工艺的所述目标设计的成像结果。在一个给定的实施方式中,该函数定义为:
I(x,f)=I0(x)+a(x)(f-f0)+b(x)(f-f0)2
其中IO表示在额定焦距时的图像强度,fO表示额定焦距,f表示计算被模拟的图像的实际焦距水平(level),及参数“a”和“b”表示一阶和二阶导数图像。
本发明提供了相对于现有方法的显著优点。最重要的是,本发明提供一种能计算工艺窗口中的变化的计算的有效的模拟工艺,可排除目前被现有方法实行的执行对各种条件重复模拟的“强力(brute-force)”方法的需要。确实,如下文更进一步地提到的,当为了模拟目的考虑N个工艺窗口条件时,本方法的计算时间大约是2T,而现有方法将需要约NT,其中T表示模拟一个工艺窗口条件所需要的计算时间。
本发明的方法也容易用于其它应用场合,例如,但不限于,模型校正、光刻设计检查、基于常规工艺窗口的评估(evaluation)的产额估计(yield estimates)、热点(问题点)的验证和使用工艺窗口感知的OPC的这样热点的修正、及基于模型的工艺控制修正(如,用于使光刻工艺中的给定光刻层的常规工艺窗口居中(center))。
虽然在本文中具体提及在ICs制造中使用本发明,但应当明确地理解,本发明具有许多其它可能的应用场合。例如,它可用于集成光学系统、磁畴(domain)的引导和检测图案、液晶显示器面板、薄膜磁头等的制造。本领域技术人员应当理解,在这些可选择的应用场合的内容里,本文中的术语“掩模版”、“晶片”或“管芯”的任何使用都应当考虑可分别被更通用的术语“掩模”、“衬底”和“目标部分”所代替。
在本文件中,术语“辐射(radiation)”和“束(beam)”用来包含包括紫外照射(如具有365、248、193、157或126nm波长)和EUV(极紫外照射,如具有5-20nm范围的波长)的电磁照射的所有类型。
本文中采用的术语掩模可广义理解为涉及普通的图案化装置,该图案化装置可用来使引入的照射束具有图案化的横截面,该图案化的横截面与将在衬底的目标部分内产生的图案相对应;在本文中可使用术语“光阀(light valve)”。除了传统的掩模(透射的或反射的;二元的、相移的、混合的等),其它这样的图案化装置的例子包括:
·可编程反射镜阵列(programmable mirror array)。这种装置的例子是具有黏弹性控制层和反射表面的矩阵可寻址表面(matrix-addressablesurface)。这种装置的基本原理是(例如)反射表面的寻址区域将入射光反射为衍射光,而非寻址区域将将入射光反射为非衍射光。采用合适的滤波器,所述的非衍射光可从反射束中过滤出去,仅留下后面的衍射光;以这种方法,根据矩阵可寻址表面的寻址图案,该束被图案化。采用合适的电子装置,可执行所需要的矩阵寻址。这样的反射镜阵列的更多信息可从例如美国专利US5296891和US5523193中获得,其在此作为参考引入。
·可编程LCD阵列(programmable LCD array)。这种构造的例子在美国专利US5229872中给出,其在此作为参考引入。
通过参考下文的详细描述和附图,本发明本身、和进一步的目标和优点可变得容易理解。
附图说明
图1是图示典型光刻投影系统的示例性结构图。
图2是图示光刻模拟模型的功能模块的示例性结构图。
图3图示本发明第一实施例的示例性流程图。
图4图示本发明第二实施例的示例性流程图。
图5图示本发明第三实施例的示例性流程图。
图6是图示能辅助本发明模拟方法执行的计算机系统的结构图。
图7示意性描述适合使用本发明方法的光刻投影装置。
具体实施方式
在讨论本发明之前,提供关于整个模拟和成像工艺的简单讨论。图1示出示范性光刻投影系统10。主要组件是可为深紫外受激准分子激光源的光源12、限定部分相干性(标记为σ)的和可包括特定源成形光学元件14、16a及16b的照明光学系统、掩模或掩模版18、及在晶片平面22上产生掩模版图案的投影光学系统16c。位于光瞳平面的可调整的滤波器或光阑20可限制撞击到晶片平面22的束角度的范围,其中最大的可能角度限定投影光学系统的数值孔径NA=sin(Θmax)。
在光刻模拟系统中,这些主要系统组件可由分离的功能模块描述,例如如图2所示。参照图2,功能模块包括定义目标设计的设计布局模块26、定义用在成像工艺中的掩模的掩模布局模块28、定义在模拟工艺中使用的掩模布局的模型的掩模模型模块30、定义光刻系统的光学组件性能的光学模型模块32和定义在给定工艺中使用的抗蚀剂性能的抗蚀剂模型模块34。已经熟知,在结果模块36中,模拟工艺产生,例如,预测的轮廓和CD。
更具体地,注意到,照明和投影光学系统的特性捕获(captured)在光学模型32中,包括但不限于NA-sigma(σ)设定及任何特殊的照明源形状。涂敷在衬底上的光敏抗蚀剂层的光学特性—即折射率、薄膜厚度、传播和偏振效应—也可被捕获(captured)作为光学模型32的一部分。掩模模型30捕获掩模版的设计特征,也可包括掩模详细物理特性的表示,例如在USP App.No.60/719,837中描述的。最后,例如为了预测形成在衬底晶片上的抗蚀剂特征的轮廓,抗蚀剂模型34描述在抗蚀剂曝光、PEB和显影期间的化学处理的效应。例如,模拟的目标是精确地预测可随后与目标设计相比较的边缘布置和CDs。目标设计通常定义为OPC前掩模布局,并将被设置成诸如GDSII或OASIS之类的标准数字文件格式。
通常,光学和抗蚀剂模型之间的联系(connection)是抗蚀剂层中的模拟空间图像,该模拟空间图像源于衬底上的光的投影、抗蚀剂界面处的折射和抗蚀剂薄膜堆栈中的多次反射。光强度分布(空间图像)通过光子吸收转变为潜在的“抗蚀剂图像”,该“抗蚀剂图像”通过扩散工艺和各种负载效应进一步修正。通过二维空间图像(和抗蚀剂图像),利用对全芯片应用场合足够快的有效的模拟方法近似抗蚀剂堆栈中实际的三维强度分布。采用下述形式,光刻模型的有效执行是可能的,其中图像(这里是标量形式,其可扩展至包括偏振向量效应)表示为光瞳平面中的信号幅值的傅立叶和。根据标准的霍普金斯(Hopkins)理论,空间图像可定义为:
I(x)=Σk|A(k)Σk′M(k′-k)P(k′)exp(-jk′x)|2
    =ΣkA(k)2k′Σk′M(k′-k)P(k′)M*(k″-k)P*(k″)exp(-j(k′-k″)x)}
    =Σk′Σk″kA(k)2P(k+k′)P*(k+k″)]M(k′)M*(k″)exp(-j(k′-k″)x)
    =Σk′Σk′TCCk′,k″M(k′)M*(k″)exp(-j(k′-k″)x)
(Eq.1)
其中,I(x)是在图像平面(为了符号的简单,使用单一变量表示二维坐标)中的点x的空间像强度,k表示源平面上的点,A(k)是来自点k的源幅值,k’和k”是光瞳平面上的点,M是掩模图像的傅立叶变换,P是光瞳函数,且TCCk′,k″=ΣkA(k)2P(k+k′)P*(k+k″)。上述导出式的一个重要方面是改变求和的顺序(将k上的求和移动到内侧)和指数(用k+k’代替k’,用k+k”代替k”),其导致交叉传输系数(Transmission Cross Coefficients(TCCs))的分离,该交叉传输系数由该方程的第三行的方括号内的项定义。这些系数独立于掩模图案,因此可仅采用光学元件或配置(例如NA和σ或详细的照明源的轮廓)的知识进行预计算。还要说明的是,虽然给定的例子(Eq.1)是从标量成像模型中导出的,但这个形式也可扩展到向量成像模型,其中TE和TM偏振光分量是独立地求和的。
而且,近似空间图像可通过仅使用有限数量的主要TCC项进行计算,该主要TCC项可通过对角化TCC矩阵并保留与它的最大的特征值对应的项来确定,例如,
TCC k &prime; , k &prime; &prime; = &Sigma; i = 1 N &lambda; i &phi; i ( k &prime; ) &phi; i * ( k &prime; &prime; ) - - - ( Eq . 2 ) ,
其中λi(i=1,...,N)表示N个最大的特征值,φi(·)表示TCC矩阵的对应的特征向量。要说明的是,当所有项都保留在本征级数展开式中时,即当N等于TCC矩阵的秩(rank)时,(Eq.2)是精确的。然而,在实际应用中,通常通过选择小的N以截短级数而增加计算工艺的速度。
因此,(Eq.1)可被重写为:
I ( x ) = &Sigma; k &prime; &Sigma; k &prime; &prime; TCC k &prime; , k &prime; &prime; M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x )
      = &Sigma; k &prime; &Sigma; k &prime; &prime; &Sigma; i = 1 N &lambda; i &phi; i ( k &prime; ) &phi; i * ( k &prime; &prime; ) M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x )
      = &Sigma; i = 1 N &lambda; i &Sigma; k &prime; &phi; i ( k &prime; ) M ( k &prime; ) exp ( - jk &prime; x ) &Sigma; k &prime; &prime; &phi; i * ( k &prime; &prime; ) M * ( k &prime; &prime; ) exp ( jk &prime; &prime; x )
      = &Sigma; i = 1 N &lambda; i | &Phi; i ( x ) | 2
(Eq.3)
其中, &Phi; i ( x ) = &Sigma; k &prime; &prime; &phi; i ( k &prime; &prime; ) M * ( k &prime; &prime; ) exp ( - jk &prime; &prime; x ) 和|·|表示复数的模(magnitude)。
采用足够多的TCC项和合适的模型校正方法,可提供对光学投影工艺的精确描述,并在光学系统和抗蚀剂模型或部件中提供光刻模拟模型的可分离性。在理想的、可分离模型中,所有的光学效应如NA、σ、散焦、像差等可在光学模型模块中精确捕获,同时通过抗蚀剂模型仅模拟抗蚀剂效应。然而实际上,所有有效的光刻模拟模型(与通常太慢和要求太多可调节参数而不能实际用于全芯片模拟的第一原理模型相反)在某种程度上都是经验性的,并使用有限数量的参数。在有些情况下,可能会有考虑光学和抗蚀剂特性的某些组合的净效应的“集总的(lumped)”参数。例如,抗蚀剂的PEB期间的扩散工艺可通过把形成在抗蚀剂中的图像模糊化的高斯滤波器进行模型化(modeled),同时类似的滤波器也可描述杂散光、工作台振动或投影系统的高阶像差的组合效应。集总的参数可重现接近拟合校正点的工艺行为,但与分离模型相比,预测能力差。可分离性通常要求足够详细的模型形式—在上述例子里,例如,对光学模糊和抗蚀剂扩散使用两个独立的滤波器—也需要可确保光学效应与抗蚀剂效应隔离的合适的校正方法。
虽然可分离模型可能通常对大多数应用场合是优先使用的,要说明的是,与本发明下文提出的方法相关的跨工艺窗口“PW”空间图像变化的描述并不要求严格的模型可分离性。适用于通用抗蚀剂模型以精确捕获跨-PW变化的方法与本发明的方法在下文中一起详细描述。
本发明提供覆盖在遍及工艺窗口的参数变化(即,曝光剂量和散焦或附加工艺参数的变化)范围内的光刻图案化性能的有效模拟。概括地说,采用基于图像的(image-based)方法,该方法提供作为聚焦和曝光变化或广义PW的其它附加坐标的函数的空间图像或抗蚀剂图像的多项式级数展开。这些表达式包括涉及TCCs和导数TCC矩阵的图像和导数图像。这些表达式的线性组合提供在任意的PW点产生的图像的非常有效的评估。此外,遍及PW的边缘布置移位或CD变化也可以解析的形式表示成有限组的模拟图像的简单线性组合。这组图像可在NC(额定条件(NominalCondition))下用于计算单一图像的计算时间的大约两倍量级的计算时间内产生,而不是在N个分离的PW条件下计算图像所用计算时间的N倍时间内产生。一旦已知这些图像,就可立刻确定设计上的每个单一边缘或CD的完整的跨-PW行为。
注意到,本发明的方法也可结合模型校正、光刻设计检查、基于评估常规PW的产量估计、热点验证、通过PW感知的OPC的热点修正和修补、及基于模型的工艺控制修正等,例如以使光刻层(litho layer)的常规PW居中(center)。
通过考虑普通抗蚀剂线的抗蚀剂线宽(或边缘布置)中的离焦变化,可以理解本方法的基本途径(approach)。已知抗蚀剂线的CD在最佳聚焦时通常具有最大或最小值,但CD随任一方向的散焦平滑变化。因此,特定特征的离焦CD变化可由CD与散焦的多项式拟合进行近似,该拟合例如是足够小散焦范围的二阶拟合。然而,CD中改变的方向和幅度强烈依赖于抗蚀剂阈值(为了清楚(to clear)是剂量)、具体曝光剂量、特征类型和邻近效应。因此,曝光剂量和离焦CD变化以防止CD或边缘布置的直接的、通常的参数化在整个PW空间内变化的非线性方式强烈地耦合。
然而,也希望空间图像能示出离焦的连续变化。每个掩模点可被成像为图像平面内的有限大小的点,该图像平面由投影系统的点展开函数进行表征。这个点将假设在最佳聚焦时的最小尺寸,但将连续模糊成具有正和负散焦的更宽分布。因此,有可能把离焦的图像强度的变化近似为曝光场内的每个单独图像点的二次多项式:
I(x,f)=I0(x)+a(x)·(f-f0)+b(x)·(f-f0)2                (Eq.4)
其中f0代表额定或最佳焦距位置,而f是在图像计算时的实际焦距水平。希望二阶近似很好地保持足够小的散焦范围,但如果需要,通过包括更高阶的项(3rd阶和/或4th阶项),可容易地改进近似精度。事实上,(Eq.4)也可看成为在额定最佳焦平面附近的空间图像的泰勒级数展开的起始的几项:
I ( x , f ) = I ( x , f 0 ) + &PartialD; I ( x , f ) &PartialD; f | f = f 0 &CenterDot; ( f - f 0 ) + 2 &PartialD; 2 I ( x , f ) &PartialD; f 2 | f = f 0 &CenterDot; ( f - f 0 ) 2
(Eq.5)
原则上,通过扩展到包括附加高阶项,其可扩展为空间图像的实际离焦行为的非常充分的描述。要说明的是,多项式基础函数的选择仅是表达离焦的空间图像级数展开的一种可能性,且本发明的方法决不限定于这个实施例,例如基础函数可以是特定函数如贝赛耳(Bessel)函数、勒让德(Legendre)函数、切比雪夫(Chebyshev)函数、三角函数等。此外,当工艺窗口项最常规地理解为散焦和曝光剂量范围内的生成变化(spanning variations)时,工艺窗口概念可归纳和扩展到全部附加或可选择的参数变化,如NA和σ的变化等。
(Eq.4)和(Eq.5)的比较揭示出参数“a”和“b”的物理含义为一阶和二阶导数图像。原则上,可以通过用于每个图像点的有限差分方法求导来直接确定这些参数,并可将这些参数代入(Eq.4)和(Eq.5)以对图像变化进行插值。可替换地,为了改善差值和更宽范围上的实际离焦变化之间的整体一致性,参数a和b可根据在多个焦距位置{f1,f2,...,fL}(Eq.4)的最小二乘法拟合获得,对于多个焦距位置{f1,f2,...,fL},将该焦距位置的空间图像明确计算为{I1,I2,...,IL}。然后,参数“a”和“b”作为下文以最小二乘法意义上的(这里假设L>3,在这种情形下,方程组是超定的)的下述方程组的解。
在不丧失一般性条件下,假设f0=0以简化符号。从而对于固定的图像点,
I 1 = I 0 + a &CenterDot; f 1 + b &CenterDot; f 1 2
I 2 = I 0 + a &CenterDot; f 2 + b &CenterDot; f 2 2
…                                     (Eq.6)
I L = I 0 + a &CenterDot; f L + b &CenterDot; f L 2
其中I0是在额定条件(NC)如f=f0的空间图像。上述系列方程的解最小化下面的平方差的和,系数l表示L个不同的焦距条件:
G = &Sigma; l = 1 L W l &CenterDot; ( I l - I 0 - a &CenterDot; f l - b &CenterDot; f l 2 ) 2 - - - ( Eq . 7 )
其中Wl是关于散焦fl(l=1,2,...,L)的用户指定的权重。通过{W1,W2,...,WL},对不同的焦距指定不同的权重是可能的。例如,为了使二次多项式近似在更接近NC的PW点具有更好的匹配,也可能在接近NC时指定较大的权重而在远离NC时指定较小的权重;或者,如果希望所有的焦点具有相同的重要性,可简单地指定相同的权重,如W1=W2=...=WL=1。由于焦距和剂量相对于额定条件的大的偏离,在印刷时多个图案变得不可用,且CD的测量变得不可靠,在这种情况下,希望对这样的工艺窗口条件指定小的权重。
为了解(Eq.7),注意到,最佳拟合将满足条件:
&PartialD; G &PartialD; a &equiv; 0 &PartialD; G &PartialD; b &equiv; 0 - - - ( Eq . 8 )
可解析地求解(Eq.8),导致“a”和“b”的直接表达式作为{Il}的线性组合或权重和,如下所示。这个线性组合的系数不依赖于像素坐标或图案,而仅依赖于{fl}和{Wl}的值。同样地,为了在f的间隔中插值,这些系数可被理解为形成线性滤波器,且作为基础函数的多项式的特殊选择引起该系数的具体值独立于掩模图案。更具体地,一旦{fl)}和{Wl}的值确定,就可以进行这些系数的计算,而不需要知道具体的光学曝光设定(settings)或实际执行空间图像模拟。
关于求解(Eq.8),(Eq.7)可重写为:
G = &Sigma; l = 1 L W l &CenterDot; ( I l - I 0 - a &CenterDot; f l - b &CenterDot; f l 2 ) 2
    = &Sigma; l = 1 L W l &CenterDot; ( b &CenterDot; f l 2 + a &CenterDot; f l - &Delta;I l ) 2
其中ΔIl=Il-I0,l=1,2,...,L。结果,(Eq.8)可展开为:
&PartialD; G &PartialD; a = &Sigma; l = 1 L W l &CenterDot; 2 ( b &CenterDot; f l 2 + a &CenterDot; f l - &Delta;I l ) &CenterDot; f l
      = 2 a &CenterDot; &Sigma; l = 1 L W l &CenterDot; f l 2 + 2 b &CenterDot; &Sigma; l = 1 L W l &CenterDot; f l 3 - 2 &CenterDot; &Sigma; l = 1 L W l &CenterDot; &Delta;I l &CenterDot; f l
      = 2 a &CenterDot; &alpha; 2 + 2 b &CenterDot; &alpha; 3 - 2 &Phi; 1
      &equiv; 0
&PartialD; G &PartialD; b = &Sigma; l = 1 L W l &CenterDot; 2 ( b &CenterDot; f l 2 + a &CenterDot; f l - &Delta;I l ) &CenterDot; f l 2
      = 2 a &CenterDot; &Sigma; l = 1 L W l &CenterDot; f l 3 + 2 b &CenterDot; &Sigma; l = 1 L W l &CenterDot; f l 4 - 2 &CenterDot; &Sigma; l = 1 L W l &CenterDot; &Delta;I l &CenterDot; f l 2
      = 2 a &CenterDot; &alpha; 3 + 2 b &CenterDot; &alpha; 4 - 2 &Phi; 2
      &equiv; 0
因此:
a = &alpha; 4 &Phi; 1 - &alpha; 3 &Phi; 2 &alpha; 2 &alpha; 4 - &alpha; 3 2 = &Sigma; l = 1 L h al &Delta; I l = &Sigma; l = 1 L h al ( I l - I 0 ) ,
b = &alpha; 2 &Phi; 2 - &alpha; 3 &Phi; 1 &alpha; 2 &alpha; 4 - &alpha; 3 2 = &Sigma; l = 1 L h bl &Delta; I l = &Sigma; l = 1 L h bl ( I l - I 0 )
(Eq.9)
其中
&alpha; 2 = &Sigma; l = 1 L W l &CenterDot; f l 2 , &alpha; 3 = &Sigma; l = 1 L W l &CenterDot; f l 3 , &alpha; 4 = &Sigma; l = 1 L W l &CenterDot; f l 4 ,
&Phi; 1 = &Sigma; l = 1 L W l &CenterDot; &Delta;I l &CenterDot; f l , &Phi; 2 = &Sigma; l = 1 L W l &CenterDot; &Delta;I l &CenterDot; f l 2 ,
h al = W l &CenterDot; f l &CenterDot; ( &alpha; 4 - &alpha; 3 &CenterDot; f l ) &alpha; 2 &alpha; 4 - &alpha; 3 2 , h bl = W l &CenterDot; f l &CenterDot; ( &alpha; 2 &CenterDot; f l - &alpha; 3 ) &alpha; 2 &alpha; 4 - &alpha; 3 2
注意到:
&Sigma; l = 1 L [ h al &CenterDot; f l ] = &alpha; 4 &CenterDot; &Sigma; l = 1 L [ W l &CenterDot; f l 2 ] - &alpha; 3 &CenterDot; &Sigma; l = 1 L [ W l &CenterDot; f l 3 ] &alpha; 2 &alpha; 4 - &alpha; 3 2 = &alpha; 4 &alpha; 2 - &alpha; 3 2 &alpha; 2 &alpha; 4 - &alpha; 3 2 = 1
&Sigma; l = 1 L [ h al &CenterDot; f l 2 ] = &alpha; 4 &CenterDot; &Sigma; l = 1 L [ W l &CenterDot; f l 3 ] - &alpha; 3 &CenterDot; &Sigma; l = 1 L [ W l &CenterDot; f l 4 ] &alpha; 2 &alpha; 4 - &alpha; 3 2 = &alpha; 4 &alpha; 3 - &alpha; 3 &alpha; 4 &alpha; 2 &alpha; 4 - &alpha; 3 2 = 0
&Sigma; l = 1 L [ h bl &CenterDot; f l ] = &alpha; 2 &CenterDot; &Sigma; l = 1 L [ W l &CenterDot; f l 3 ] - &alpha; 3 &CenterDot; &Sigma; l = 1 L [ W l &CenterDot; f l 2 ] &alpha; 2 &alpha; 4 - &alpha; 3 2 = &alpha; 2 &alpha; 3 - &alpha; 3 &alpha; 2 &alpha; 2 &alpha; 4 - &alpha; 3 2 = 0
&Sigma; l = 1 L [ h bl &CenterDot; f l 2 ] = &alpha; 2 &CenterDot; &Sigma; l = 1 L [ W l &CenterDot; f l 4 ] - &alpha; 3 &CenterDot; &Sigma; l = 1 L [ W l &CenterDot; f l 3 ] &alpha; 2 &alpha; 4 - &alpha; 3 2 = &alpha; 2 &alpha; 4 - &alpha; 3 2 &alpha; 2 &alpha; 4 - &alpha; 3 2 = 1
(Eq.10)
如同下文解释的,这个特性在抗蚀剂模型部分(section)是有用的。上述系列方程可容易地通用化以适应高阶多项式拟合。
引入导数图像“a”和“b”的好处是使用(Eq.4),经由通过散焦偏离量和简单加和的“a”和“b”图像的直接缩放,可预测在任何工艺窗口点的空间像,而不是在PW分析所要求的每个特殊散焦设定(setting)进行全图像模拟(即掩模图案与TCCs的卷积(convolution))。此外,通过经由因子(1+ε)的图像强度的简单放大或缩小,可表达曝光剂量的变化:
I(x,f,1+ε)=(1+ε)·I(x,f)                        (Eq.11)
其中,I(x,f)是在额定曝光剂量的空间像,而ε是剂量的相对改变。
将这个方程与(Eq.4)合并产生综合的(general)结果:
I(x,f,1+ε)=(1+ε)·[IO(x)+a(x)·(f-f0)+b(x)·(f-f0)2]
            =I0(x)+[ε·I0(x)+(1+ε)·a(x)·(f-f0)+(1+ε)·b(x)·(f-f0)2](Eq.12)
            =I0(x)+ΔI(x)
其中ΔI通常是在PW参数变化的合理范围内的小的波动。
以图3中的流程示意图为例解释上述方法,其中从不同散焦条件的空间图像中提取出轮廓、临界尺寸或边缘布置误差(Edge PlacementErrors,EPEs)。参照图3,工艺中的第一步(步骤40)是确定将要模拟的目标图案或掩模图案及将采用的工艺条件。下一步(步骤42)是产生与上述(Eq.3)一致的额定图像IO和M散焦图像{Il}。然后,采用(Eq.9)产生导数图像“a”和“b”(步骤43)。下一步(步骤44)用来采用(Eq.4),即I0,a(由f缩放)和b(由f2缩放)的合成,产生散焦图像。接下来,从模拟图像中提取轮廓并确定CDs或特征EPEs(步骤46)。然后工艺进行到步骤48以确定是否有足够的覆盖率(如,是否有可能确定工艺窗口边界),且如答案是否定的,则工艺返回步骤44并重复先前的工艺。如果有足够的覆盖率,则工艺完成。
注意到,工艺窗口的足够的覆盖率要求在N个工艺窗口点的评估,且L<N个图像用来拟合导数图像a和b,则计算时间的降低将接近至L/N,这是由于缩放预定图像IO、a和b所需要的计算时间明显少于投影图像在每个新的参数设定值下,的独立的重新计算的时间。而且,对空间像和从中提取模拟抗蚀剂轮廓的抗蚀剂图像都是适用的。
上述方法也不依赖于任何具体模型或用来模拟在变化的散焦下的多个空间图像{I1,I2,...,IL}的执行。然而,对于每一个被考虑的掩模布局,上述方法要求将被模拟的单独图像的数量L>2。在本发明方法的第二个实施例中,通过在(Eq.1)中引入TCC形式,能够进行更有效的求解。
从(Eq.1),每个在焦距fl(l=0,1,...,L)的空间图像可定义为:
Il(x)=Σk′Σk″TCCl,k′,k″M(k′)M*(k″)exp(-j(k′-k″)x)
其中TCCl是在焦距fl的TCC,TCCl,k′,k″是TCCl的矩阵元素,M(·)表示独立于焦距的掩模图像。
将其与(Eq.9)合并且交换求和的顺序,
a = &Sigma; l = 1 L h al ( I l - I 0 )
  = &Sigma; l = 1 L h al ( &Sigma; k &prime; &Sigma; k &prime; &prime; TC C l , k &prime; , k &prime; &prime; M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x ) - &Sigma; k &prime; &Sigma; k &prime; &prime; TC C 0 , k &prime; , k &prime; &prime; M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x )
  = &Sigma; k &prime; &Sigma; k &prime; &prime; [ &Sigma; l = 1 L h al ( TCC l , k &prime; , k &prime; &prime; - TCC 0 , k &prime; , k &prime; &prime; ) ] M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x )
b = &Sigma; l = 1 L h bl ( I l - I 0 )
  = &Sigma; l = 1 L h bl ( &Sigma; k &prime; &Sigma; k &prime; &prime; TC C l , k &prime; , k &prime; &prime; M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x ) - &Sigma; k &prime; &Sigma; k &prime; &prime; TC C 0 , k &prime; , k &prime; &prime; M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x )
  = &Sigma; k &prime; &Sigma; k &prime; &prime; [ &Sigma; l = 1 L h bl ( TCC l , k &prime; , k &prime; &prime; - TCC 0 , k &prime; , k &prime; &prime; ) ] M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x )
(Eq.13)
因此如果两个新的TCCs以下述方式定义为TCCl(l=0,1,...,L)的线性组合:
A = &Sigma; l = 1 L h al &Delta; TCC l = &Sigma; l = 1 L h al ( TCC l - TCC 0 ) ,  
                                            (Eq.14)
B = &Sigma; l = 1 L h bl &Delta; TCC l = &Sigma; l = 1 L h bl ( TCC l - TCC 0 )
则“a”和“b”是可直接从A和B计算的“空间图像”,即
a ( x ) = &Sigma; k &prime; &Sigma; k &prime; &prime; A k &prime; , k &prime; &prime; M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x )
                                               (Eq.15)
b ( x ) = &Sigma; k &prime; &Sigma; k &prime; &prime; B k &prime; , k &prime; &prime; M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x )
其中 A k &prime; , k &prime; &prime; = &Sigma; l = 1 L h al ( TCC l , k &prime; , k &prime; &prime; - TCC 0 , k &prime; , k &prime; &prime; ) B k &prime; , k &prime; &prime; = &Sigma; l = 1 L h bl ( TCC l , k &prime; , k &prime; &prime; - TCC 0 , k &prime; , k &prime; &prime; ) 分别是A和B的矩阵元素。这也意味着,采用与那些平面对应的TCCs的单一线性组合,可以计算不同平面的空间图像的线性组合。
在采用TCC0、A和B代替L个离焦图像的重要优点是对于已知的照明和投影参数,可预先计算TCC0、A和B,该预先计算独立于实际掩模图案,引起计算时间进一步降低(从对每个掩模图案的L个离焦模拟下降)的可能性,这将在下文进一步解释。注意到,A和B的产生既不需要在不同散焦条件的一系列空间像的计算,也不需要来自这组空间图像的校正。一旦TCC0、A和B计算出来,这些条件通常可用于预测使用(Eq.15)和(Eq.4)的任何具体掩模设计的离焦成像性能。除了离焦变化,通过由上述(Eq.11)和(Eq.12)描述的同样的线性缩放,额定条件附近的曝光剂量的变化也可用于TCC项。
仅使用A和B的主要项,如在涉及(Eq.2)的讨论中一样,从TCCsA和B计算的导数图像a和b允许计算时间的进一步降低。更具体的,假设TCC0、A和B的对角化(diagonalization)是:
TCC 0 = &Sigma; i = 1 N 0 &lambda; 0 , i &phi; 0 , i ( k &prime; ) &phi; 0 , i ( k &prime; &prime; )
A = &Sigma; i = 1 N A &lambda; A , i &phi; A , i ( k &prime; ) &phi; A , i ( k &prime; &prime; ) - - - ( Eq . 16 )
B = &Sigma; i = 1 N B &lambda; B , i &phi; B , i ( k &prime; ) &phi; B , i ( k &prime; &prime; )
其中λ0,i(i=1,...,N0)表示N0个最大的特征值,φ0,i(·)表示与TCC矩阵TCC0对应的特征向量;λA,i(i=1,...,NA)表示NA个最大的特征值,φA,i(·)表示与TCC矩阵A对应的特征向量;λB,i(i=1,...,NB)表示NB个最大的特征值,φB,i(·)表示与TCC矩阵B对应的特征向量。
然后,从(Eq.3),对掩模图像M(·),
I 0 ( x ) = &Sigma; i = 1 N 0 &lambda; 0 , i | &Phi; 0 , i ( x ) | 2
a ( x ) = &Sigma; i = 1 N A &lambda; A , i | &Phi; A , i ( x ) | 2 - - - ( Eq . 17 )
b ( x ) = &Sigma; i = 1 N B &lambda; B , i | &Phi; B , i ( x ) | 2
其中I0是额定空间图像, &Phi; 0 , i ( x ) = &Sigma; k &prime; &prime; &phi; 0 , i ( k &prime; &prime; ) M ( k &prime; &prime; ) exp ( - jk &prime; &prime; x ) ,
&Phi; A , i ( x ) = &Sigma; k &prime; &prime; &phi; A , i ( k &prime; &prime; ) M ( k &prime; &prime; ) exp ( - jk &prime; &prime; x ) , &Phi; B , i ( x ) = &Sigma; k &prime; &prime; &phi; B , i ( k &prime; &prime; ) M ( k &prime; &prime; ) exp ( - jk &prime; &prime; x ) . 采用大量TCC项通常改善光学模型的精度以及光学与抗蚀剂模型分量的可分离性。然而,由于图像或TCC导数涉及PW中的相对小的图像变化,典型地CD变化为10%量级,相对于额定条件TCC0,较少的项可满足A和B的要求。例如,如果对TCC0考虑64个项,(即N0=64),为了实现足够的CD预测精度,对A和B中的每一个通常仅要求32个项,即NA=NB=32。在这种情形下,相比于额定条件I0,大约需要同样的计算时间量以产生导数图像a和b。注意到,与原始的TCC矩阵不同,通常系数TCC矩阵(例如A或B)不是非负定的(non-negative-definite),这意味着对导数TCC矩阵存在正的和负的特征值。因此,源自本征级数展开和截断(truncation)的前项应包括所有具有最大绝对值的特征值,包括正的和负的。
与(Eq.5)类似,(Eq.14)可从级数展开可替换地导出。更具体地,在额定或最佳焦距f0附近的TCC矩阵元素的变化也可表示为级数展开:
TCC k &prime; , k &prime; &prime; ( f ) = TCC k &prime; , k &prime; &prime; ( f 0 ) + &PartialD; TCC k &prime; , k &prime; &prime; ( f ) &PartialD; f | f = f 0 &CenterDot; ( f - f 0 ) + 2 &PartialD; 2 TCC k &prime; , k &prime; &prime; ( f ) &PartialD; f 2 | f = f 0 &CenterDot; ( f - f 0 ) 2
(Eq.18)
因此,通过有限数值差分(numerical finite difference)方法,或以与先前部分讨论的空间像的离焦拟合类似的方式,再次从最小二乘法拟合到与一组焦距位置对应的数值,级数展开的系数可直接求出。拟合方法提供了较宽范围的有效性,并引入权重因子以对PW的某一部分给予更多或更少的加强。在用其方程中对应的TCCs代替该组测试图像Il之后,该方法将遵循(Eq.6)-(Eq.9)。因此,从上文提到的相同的线性组合,也是在形式上用TCCl代替Il之后,可获得最佳拟合导数矩阵A和B,例如,
A = &Sigma; l = 1 L h al &Delta; TCC l = &Sigma; l = 1 L h al ( TCC l - TCC 0 ) ,              (Eq.19)
B = &Sigma; l = 1 L h bl &Delta; TCC l = &Sigma; l = 1 L h bl ( TCC l - TCC 0 )
其中hal和hbl是再次采用(Eq.9)计算的。要说明的是,hal和hbl是不依赖于图案或TCCl的常数。因此A和B仅仅是额定条件TCC0和在不同散焦条件(TCC1到TCCL)的一组TCC’s的线性组合。
注意到(Eq.19)与(Eq.14)相同,因此,这两个可选择的方法产生同样的最终公式。类似地,也可从(Eq.15)、(Eq.18)和(Eq.19)中导出(Eq.4)。
第二实施例的方法由图4中的流程示意图进行示例性说明,其中轮廓、临界尺寸(CD)或边缘布置误差(EPEs)将从不同散焦条件的空间图像提取出。工艺的第一步骤(步骤50)是确认与希望的工艺相关的工艺具体光学条件。下一步骤(步骤52)是产生额定条件TCCO和L散焦{TCCl}。然后利用(Eq.14)生成导数TCCs:A和B(步骤54)。下一步骤(步骤58)通过利用(Eq.17)将掩模图像与TCC0、A和B进行卷积产生图像I0,a,b。接下来,对于每个掩模的设计(步骤56),利用(Eq.4)合成散焦图像(步骤60),从而产生模拟图像。接下来,提取轮廓并根据模拟图像确定临界尺寸或特征EPEs(步骤62)。然后工艺进行到步骤64以确定是否有决定工艺窗口的边界的足够的覆盖率,且如果答案是否定的,则工艺返回步骤58并重复上述工艺。如果有足够的覆盖率,工艺进行到步骤66以决定是否由掩模设计产生的图像在允许的误差容许量内,且如果是这样,则完成工艺。如果不是这样,工艺返回步骤56以允许掩模的调节和重新设计。要说明的是,这个最后步骤是工艺中的可选步骤。
在图4的流程图中,示意图示出PW分析过程,该分析过程内含有可能需要的,特别对是初始掩模设计的反复的、PW感知的OPC修正的“掩模变化环”。在这种情况下,任何对跨-PW图像评估的计算速度的改善都将特别有利。
通过进一步的合适假设或关于光学系统物理的现有知识,可实现计算时间的进一步降低。例如,在不存在强烈的像差时,可希望空间图像强度的离焦变化是散焦的偶(如对称)函数。因此,可希望一阶导数“A”和“a”在这些情况下是可以忽略的。
通过注意到散焦效应与相位因子(phase factor)p=p0 exp[ja(f-f0)2]与光瞳函数(pupil function)的乘积相对应,这种简化可进一步被证明是正确的,其中额定焦距是f0=0。对于小的散焦,通过泰勒展开:p=P0[1+ja(f-f0)2],其不包括线性项,可以对相移(phase shift)进行近似。
所有上述方法也可扩展为通用工艺窗口定义,其可通过除曝光剂量和散焦之外的不同或附加基础参数进行确定。这可包括,但不限于,光学设定值如NA、σ、像差、偏振或抗蚀剂层(其对成像工艺的影响包括在光学模型中,即TCCs)的光学常数。作为一个例子,包括额定条件附近的NA的变化,空间图像可表示为:
I(f,NA)=I0+a·(f-f0)+b·(f-f0)2+c·(NA-NA0)+d·(NA-NA0)2+e·(f-f0)·(NA-NA0)
(Eq.20)
其中I、I0、a、...、e分别是二位图像和图像导数。可通过一组模拟图像或一组在对f和NA的变化的参数值的模拟TCCs的最小二乘法拟合,确定附加参数“c”、“d”和“e”,同时在(Eq.11)和(Eq.12)中的曝光剂量的缩放仍然适用。注意到,与(Eq.9)类似,这些参数(a、b、c、d和交叉项系数(cross-term coefficient)e)又是空间像{Il}的线性组合。这个线性组合的系数不依赖于像素坐标或图案,但仅依赖于{fl}、{NAl}和/或用户分配权重{Wl}的值。
对于这个通用PW模型,基于物理角度(physical insight)的简化也是可能的。在NA变化的情况下,例如,可希望这些对图像变化将具有更适合的单一、线性影响,在这种影响中,通过降低(dropping)NA中、可能除散焦中的线性项外的更高阶“d”和“e”项,可简化情况(Eq.20)。同样,对任何通用PW定义,用来计算在额定条件的I0的TCC项的数量不必与用来根据TCC导数A、B、....的计算图像变化的项的数量相同。为了降低整体计算时间,用大量的关于I0的项和明显少量的关于导数的项的数量,可实现由于额定条件附近的小的参数变化导致的次要图像变化的足够精确的描述。
为了简单的目的,接下来的讨论将基于散焦和曝光剂量。然而,应到注意到,在此的所有公开内容可扩展至具有其它参数如NA、σ、像差、偏振或抗蚀剂层的光学常数的通用PW,如(Eq.20)中示出。
在上述提出的实施例中,揭示了在对PW参数范围的最佳焦距附近的空间图像的解析表达。以下描述导出类似的用于计算抗蚀剂图像的表达式和方法,这些表达式和方法形成整个PW的模拟抗蚀剂轮廓提取的基础。
可分离的、线性抗蚀剂模型
虽然光敏抗蚀剂对于由投影的空间图像进行的照明的响应非常可能是非线性的,具有阈值行为,但在应用该阈值之前,通过将空间图像与一个或多个线性滤波器进行卷积,可模型化发生在抗蚀剂层的多个工艺,如PEB期间的扩散。这样的模型将通常称为之“线性”抗蚀剂模型,且关于这样的模型的潜在(latent)的抗蚀剂图像可示意性地表示为:
R(x)=P{I(x)}+Rb(x)             (Eq.21)
这里,P{}表示应用线性滤波器(即通常是卷积)的函数行为(functionalaction),其中Rb是独立于空间图像的掩模加载偏置。抗蚀剂阈值理解为包括在Rb中,从而使得抗蚀剂轮廓对应于R(x)=0的位置。
把这个模型应用于上述导出的通用的、缩放的、插值的空间图像,如(Eq.12,假定f0=0而没有通用性(generality)损失),导致
R=[P{I0}+Rb]+ε·P{I0}+(1+ε)·f·P{a}+(1+ε)·f2·P{b}
=R0+ε·P{I0}+(1+ε)·f·P{a}+(1+ε)·f2·P{b}
=R0+ΔR(x,ε,f)
(Eq.22)
其中R0为在额定条件(NC)下的抗蚀剂图像。如同应用到在NC下的图像I0,通过把相同的滤波器应用到导数图像a、b,并通过对修正项进行简单的缩放和求和,可导出所有由于曝光剂量和焦距(或其它PW参数)的变化所导致的修正。
而且,线性滤波器的影响可包括在成像TCC形式中,因为在空间域上与的滤波器的卷积等同于在频率域上与滤波器的傅立叶级数分量相乘。从空间图像表达(Eq.1)开始:
I(x)=Σk′Σk″TCCk′,k″M(k′)M*(k″)exp(-j(k′-k″)x)
示出,在k’、k”的TCC矩阵元素以量TCCk’,k”M(k’)M*(k”)的对I(x)的(k’-k”)频率分量起作用。因此,抗蚀剂图像被
Figure G2008101798297D00231
定义,其中g(x)是其傅立叶变换为G(k)的空间滤波器,可表达为:
I ( x ) &CircleTimes; g ( x ) = &Sigma; k &prime; &Sigma; k &prime; &prime; TC C k &prime; , k &prime; &prime; M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x ) G ( k &prime; - k &prime; &prime; )
             = &Sigma; k &prime; &Sigma; k &prime; &prime; TCC new k &prime; , k &prime; &prime; M ( k &prime; ) M * ( k &prime; &prime; ) exp ( - j ( k &prime; - k &prime; &prime; ) x )
具有新的TCC矩阵定义为
TCCnew k′,k″=TCCk′,k″G(k′-k″)
用这个处理,线性滤波器合并进双线性(bi-linear)TCC矩阵,因此可应用于纯光学空间图像的所有可计算的处理可应用到经过线性滤波的空间图像。这个特性允许在整体计算时间上的显著降低,这是因为采用增加对应于滤波器P的傅立叶系数的权重因子的仅有的修正,通过(Eq.1)的单个评估,可产生完整的抗蚀剂图像。对于任何给定的掩模设计输入,以每次通过一个的方式,这种形式将允许直接根据预计算的、滤波器调节的TCC0、A和B矩阵产生图像P{I0}、P{a}、P{b}。然后,(Eq.22)将关于任意PW点的实际抗蚀剂图像定义为这三个图像的线性组合。
不可分离的、线性抗蚀剂模型
在先前的讨论中,隐含了假设建立抗蚀剂模型的线性滤波器的所有参数在工艺窗口参数的变化的范围内是常数。这等同于整体可分离的光刻模型的一种情形:抗蚀剂模型参数独立于光刻模型参数。用于可分离性的实际测试是用以精确地校正模型和拟合在PW的完整扩展范围内的测试数据的能力。实际上,适合全芯片光刻模拟的模型的半经验性的属性(nature)可能排除完美的可分离性,且可能要求允许随PW参数如散焦、NA或σ设定值变化的抗蚀剂模型参数。对于物理激发(physically motivated)的模型,这应当是希望的(或作为限制要求的),虽然所述模型参数在PW变量的变化下平稳地变化。在这种情况下,抗蚀剂图像的级数展开可能包括抗蚀剂模型参数的导数项。
为了示出目的,将散焦考虑为仅有的PW参数。如果线性抗蚀剂模型等同于与一个线性滤波器(或多个线性滤波器)的卷积,则可分离的模型可描述为:
R ( x , f ) = P ( x ) &CircleTimes; I ( x , f ) + R b ( x ) - - - ( Eq . 23 )
同时非可分离的模型可能要求滤波器的明确f-依赖性
R ( x , f ) = P ( x , f ) &CircleTimes; I ( x , f ) + R b ( x ) - - - ( Eq . 24 )
现在,考虑离焦变化,形式(pro-forma)的级数展开可应用于(Eq.24),为了示出,在此仅扩展至一阶:
R ( x , f ) = R ( x , f 0 ) + [ a P ( x ) &CircleTimes; I 0 ( x ) + P ( x , f 0 ) &CircleTimes; a ( x ) ] &CenterDot; ( f - f 0 ) + . . .
         = R 0 ( x ) + &Delta;R ( x , f )
(Eq.25)
其中,
a P ( x ) = &PartialD; P ( x , f ) &PartialD; f | f = f 0 - - - ( Eq . 26 )
如果发现抗蚀剂模型参数在PW间隔范围内连续变化,则在模型校正期间,上述对AI和TCCs引入的类似的级数展开和拟合可应用于抗蚀剂模型参数。在这种情况下,线性的、导数的滤波器aP可被计算并用在(Eq.25),其也以直截了当的方式扩展至包括更高阶的项。在这种情形下,抗蚀剂模型参数及空间图像变化在完整的PW区域范围内平滑地进行插值(smoothly interpolated)。在基于来自测试或测量图案的实验性晶片数据的跨-PW模型校正步骤中,可确定P和aP
然而,即使抗蚀剂模型参数在PW范围内出现非单调变化,校正点之间的任何分段(piece-wise)的插值可为任意PW点提供抗蚀剂模型参数的“最佳猜测(best-guess)”。
通用抗蚀剂模型
对于可能包括非线性操作如空间或抗蚀剂图像的截断的通用抗蚀剂模型,直接分离成额定条件和导数项,如在(Eq.22)所示,将不再有效。然而,有三种可替换的方法来处理非线性操作。
i)关联线性滤波器
首先,假设利用线性滤波器P{}将不再正确地描述在NC(额定条件)下的抗蚀剂模型的重新释义(reinterpretation),由(Eq.22)的第二行,可形式上近似跨PW的抗蚀剂图像的一般变化。可替换地,线性滤波器P{}将被选择来重现相对于NC的微分的(differential)抗蚀剂图像变化的最佳表达(representation)。虽然非线性模型可能确保在NC的最精确的模型拟合,但它可能要求比线性模型明显多的计算时间。通过依赖于这样的关联线性滤波器来仿真微分的跨-PW行为,仅非线性模型的单个评估会被要求来产生R0(x),同时在多个PW条件下的PW分析可基于P{I0}、P{a}、P{b}的更有效的评估。
从基于覆盖图案变化和工艺窗口变化的校正测试图案和晶片测量数据的统一模型校正,可确定额定条件抗蚀剂模型及关联滤波器的系数,作为在USP App.No.60/719,837中描述的方法的扩展。
而且,一旦已经产生有效的统一PW模型(FEM)并以USP App.No.60/719,837提出的方式进行校正,它将提供抗蚀剂图像的跨-PW变化的最佳预测。然后,通过最小化采用关联滤波器的简化模型和完整的、校正的模型之间的整体(RMS(均方根))差别,可决定最优的关联滤波器的参数,而不需要任何附加的实验性校正数据。
采用全模型,对于测试结构的任何合适的数量和范围,包括如一维(线/间隔)和二维(线端等)图,对于任何数量的PW点,可模拟“正确的”抗蚀剂图像和轮廓。此外,可在抗蚀剂轮廓的附近计算导数图像a和b的值。对于每个图案,可在图案具体测量点,如线或线端测试图案的尖端,或沿着NC抗蚀剂轮廓的任何点,计算跨PW的R(x)变化。在这些评估点xi中的每一个,通过
ΔR(xi,ε,f)=R(xi,ε,f)-R(xi,ε=0,f=f0)=R(xi,ε,f)
(Eq.27)
因为假设xi在抗蚀剂轮廓上,其中R(xi,ε=0,f=f0)=0。
ΔR(xi,ε,f)应当很好地被近似
ΔRa(xi)=ε·P{I0(xi)}+(1+ε)·f·P{a(xi)}+(1+ε)·f2·P{b(xi)}
(Eq.28)
因此,最优的关联滤波器将最小化(Eq.27)和(Eq.28)之间的平方差(squared differences)的和,且可由已知优化算法的变化来决定。注意到在关联滤波器拟合期间的(Eq.27)和(Eq.28)的评估应当在抗蚀剂轮廓上进行,使得产生的滤波器最接近地重现接近边缘位置的变化。通常不要求关联滤波器的性能即根据在抗蚀剂图像水平(远离边缘位置)中的精确地预测变化。在这个拟合程序后,抗蚀剂图像的跨-PW行为再次被描述为
R(x,ε,f)=R0(x)+ΔRa(x,ε,f)                  (Eq.29)
其中可以TCC形式有效地被计算经过滤波的微分的(differential)图像,ΔR表示相对小的扰动,在任何任意PW点的抗蚀剂图像可从四个图像R0、P{I0}、P{a}和P{b}的简单线性组合进行预测。
ii)嵌入线性化
上述方法介绍了线性化滤波器(如关联滤波器),线性化滤波器的最理想之处在于,单个线性滤波器使所有图案具体测量点或沿着NC(额定条件)抗蚀剂轮廓的任何点的(RMS)差别最小化。接下来,讨论可替换的方法,其将抗蚀剂模型线性化合并进导数抗蚀剂图像的计算中。
更具体地,在获得(Eq.2)中的a和b后,目标变成确定R0、Ra和Rb,使得它们的线性组合(假设f0=0而不损失一般性)
REL(x,f)=R0(x)+Ra(x)·f+Rb(x)·f2          (Eq.30)
在可能具有一组权重{W1,W2,...,WL}的多个焦距位置fl={f1,f2,...,fL}上对于
R ( x , f l ) = R { I ( x , f l ) }
          = R { I 0 ( x ) + a ( x ) &CenterDot; f l + b ( x ) &CenterDot; f l 2 } - - - ( Eq . 31 )
为最佳拟合,其中R0是在NC的抗蚀剂图像。(Eq.31)基本上将抗蚀剂模型R{·}应用到在(Eq.2)表示的空间图像。注意到,抗蚀剂模型R{·}可能是非线性的,因此Ra和Rb没有必要是P{a}及P{b}或R{a}及R{b}。
同样地:
R0(x)=R(I0(x))
Ra ( x ) = &Sigma; l = 1 L h al [ R ( x , f l ) - R 0 ( x ) ]
                                                      (Eq.32)
Rb ( x ) = &Sigma; l = 1 L h bl [ R ( x , f l ) - R 0 ( x ) ]
其中hal和hbl是在(Eq.9)中定义的系数。该系数仅依赖于{f1,f2,...,fL}和可能的权重{W1,W2,...,WL},且它们独立于R(x,fl)或I(x,fl)。
通常,抗蚀剂模型R{·}可分离为:
R{I(x)}=P{I(x)}+PNL{I(x)}+Rb                (Eq.33)
其中Rb是独立于空间图像I(x)或焦距的掩模加载偏置,P{}是线性滤波器操作,PNL{}是某些非线性操作。
组合(Eq.32)和(Eq.33),
Ra ( x ) = &Sigma; l = 1 L h al [ R ( x , f l ) - R 0 ( x ) ]
      = &Sigma; l = 1 L h al [ P { I ( x , f l ) } - P { I 0 ( x ) } ] + &Sigma; l = 1 L h al [ P NL { I ( x , f l ) } - P NL { I 0 ( x ) } ]
Rb ( x ) = &Sigma; l = 1 L h bl [ R ( x , f l ) - R 0 ( x ) ]
      = &Sigma; l = 1 L h bl [ P { I ( x , f l ) } - P { I 0 ( x ) } ] + &Sigma; l = 1 L h bl [ P NL { I ( x , f l ) } - P NL { I 0 ( x ) } ]
(Eq.34)
如果先前讨论的那样,因为P{}是线性操作,则
P { I ( x , f l ) } = P { I 0 ( x ) + a ( x ) &CenterDot; f l + b ( x ) &CenterDot; f l 2 }
               = P { I 0 ( x ) } + P { a ( x ) } &CenterDot; f l + P { b ( x ) } &CenterDot; f l 2
(Eq.35)
如同所希望的那样,也可能借助于上述提出的(Eq.9)和(Eq.10)导出下述结果,
&Sigma; l = 1 L h al [ P { I ( x , f l ) } - P { I 0 ( x ) } ]
= &Sigma; l = 1 L h al [ P { a ( x ) } &CenterDot; f l + P { b ( x ) } &CenterDot; f l 2 ]
= P { a ( x ) } &CenterDot; &Sigma; l = 1 L [ h al &CenterDot; f l ] + P { b ( x ) } &CenterDot; &Sigma; l = 1 L [ h al &CenterDot; f l 2 ]
= P { a ( x ) }
&Sigma; l = 1 L h bl [ P { I ( x , f l ) } - P { I 0 ( x ) } ]
= &Sigma; l = 1 L h bl [ P { a ( x ) } &CenterDot; f l + P { b ( x ) } &CenterDot; f l 2 ]
= P { a ( x ) } &CenterDot; &Sigma; l = 1 L [ h bl &CenterDot; f l ] + P { b ( x ) } &CenterDot; &Sigma; l = 1 L [ h bl &CenterDot; f l 2 ]
= P { b ( x ) }
(Eq.36)
因此,可根据
Ra ( x ) = P { a ( x ) } + &Sigma; l = 1 L h al [ P NL { I ( x , f l ) } - P NL { I 0 ( x ) } ]
Rb ( x ) = P { b ( x ) } + &Sigma; l = 1 L h bl [ P NL { I ( x , f l ) } - P NL { I 0 ( x ) } ]
(Eq.37)
计算Ra和Rb。
这种方法的好处是,对于采用单个线性滤波器的所有测量点,它不试图捕获(capture)微分的(differential)跨-PW行为。更准确地,这种方法使每个像素的(RMS)差别最小化,因此改善整体精确度。此外,这种方法不要求图案具体测量点(pattern-specific gauge points)或所有NC抗蚀剂轮廓邻近点的识别。一个缺点是,这种方法稍微地增加Ra和Rb的计算复杂度。然而,因为跨-PW抗蚀剂图像的合成仅要求R0、Ra和Rb的缩放和相加,相比较于跨-PW抗蚀剂图像尤其是密集PW采样的计算复杂度的降低,导数图像的计算复杂度的增加通常是不重要的。
iii)非线性操作的多项式近似法
在第三种方法中,采用多项式近似非线性抗蚀剂模型操作。更具体地,对于图像I(x)上的截断操作,出于仿真帮助和基本反作用影响(basereaction effects)的目的,该图像的二次多项式提供足够的近似。另一个典型的非线性操作,图像斜率的线性滤波,可精确地表示为图像梯度G{I(x)}=I(x)-I(x-1)的二次函数的线性滤波,从而是空间像I(x)本身的二次多项式。更具体地,让G{}是梯度操作且线性滤波器是PSlope{·},则这个非线性操作可表示为:
PSlope{G{I(x)}}=PSlope{(I(x)-I(x-1))2}
(Eq.38)
概括来说,源自空间像I(x)的抗蚀剂图像可近似为:
R { I ( x , f ) } = P 1 { I ( x , f ) } + P 2 { I 2 ( x , f ) } + R b ( x ) + P Slope { ( I ( x , f ) - I ( x - 1 , f ) ) 2 }
        = P 1 { I 0 ( x ) + a ( x ) &CenterDot; f + b ( x ) &CenterDot; f 2 } + P 2 { ( I 0 ( x ) + a ( x ) &CenterDot; f + b ( x ) &CenterDot; f 2 ) 2 } + R b ( x ) +
        P Slope { ( I 0 ( x ) + a ( x ) &CenterDot; f + b ( x ) &CenterDot; f 2 - I 0 ( x - 1 ) - a ( x - 1 ) &CenterDot; f - b ( x - 1 ) &CenterDot; f 2 ) 2 }
        = P 1 { I 0 ( x ) } + P 1 { a ( x ) } &CenterDot; f + P 1 { b ( x ) } &CenterDot; f 2 + P 2 { I 0 2 ( x ) }
        + 2 P 2 { a ( x ) &CenterDot; I 0 ( x ) } &CenterDot; f + P 2 { 2 b ( x ) &CenterDot; I 0 ( x ) + a 2 ( x ) } &CenterDot; f 2
        + 2 P 2 { a ( x ) &CenterDot; b ( x ) } &CenterDot; f 3 + P 2 { b 2 ( x ) } &CenterDot; f 4 + R b ( x )
       + P Slope { ( G { I 0 } ( x ) + G { a } ( x ) &CenterDot; f + G { b } ( x ) &CenterDot; f 2 ) 2 }
        = { P 1 { I 0 ( x ) } + P 2 { I 0 2 ( x ) } + P Slope { G 2 { I 0 } ( x ) } + R b ( x ) }
        + { P 1 { a ( x ) } + 2 P 2 { a ( x ) &CenterDot; I 0 ( x ) } + 2 P Slope { G { a } ( x ) &CenterDot; G { I 0 } ( x ) } } &CenterDot; f
        + { P 1 { b ( x ) } + P 2 { 2 b ( x ) &CenterDot; I 0 ( x ) + a 2 ( x ) } + P Slope { 2 G { a } ( x ) &CenterDot; G { I 0 } ( x ) + G 2 { a } ( x ) } } &CenterDot; f 2
        + 2 { P 2 { a ( x ) &CenterDot; b ( x ) } + P Slope { G { a } ( x ) &CenterDot; G { b } ( x ) } } &CenterDot; f 3
        + { P 2 { b 2 ( x ) } + P Slope { G 2 { b } ( x ) } } &CenterDot; f 4
        = R 0 ( x ) + R 1 ( x ) &CenterDot; f + R 2 ( x ) &CenterDot; f 2 + R 3 ( x ) &CenterDot; f 3 + R 4 ( x ) &CenterDot; f 4
(Eq.39)
再一次,P1{·}表示关于空间图像项的线性滤波器,P2{·}表示关于空间像平方项的线性滤波器,及PSlope{·}表示关于空间像梯度项的线性滤波器,而Rb是独立于该图像图案的掩模加载偏置。因此抗蚀剂图像表示为散焦值的四次多项式。然而,在典型应用中,R3(x)和R4(x)非常小而可被忽略以改善计算效率。
如同上述阐述的,光刻设计验证的目标是确保印刷的抗蚀剂边缘和线宽在离设计目标的预指定距离(pre-specified distance)内。类似地,工艺窗口的尺寸—曝光范围和焦深—由落入指定裕量的CDs或边缘布置进行定义。上述概述的各种方法提供了用以确定具有焦距和曝光剂量或其它通用的PW参数变化的抗蚀剂信号水平的变化的非常有效的方法。每个产生跨-PW抗蚀剂图像变化ΔR的近似表达的方法作为NC(额定条件)图像R0的干扰。
为了将R(x)中的这些变化与边缘布置中的变化相联系,在大多数情况下,由于小的临界尺寸或边缘布置容许量,将足以满足一阶近似。因此,通过在原始(如NC)轮廓位置的图像梯度G和由于焦距、剂量等的变化导致的抗蚀剂图像水平ΔR的变化,任何抗蚀剂轮廓(R=0)的横向移位(如边缘布置变化)简单地近似为:
&Delta;EP ( x i , &epsiv; , f ) = &Delta;R ( x i , &epsiv; , f ) G ( x i , &epsiv; = 0 , f = f 0 ) - - - ( Eq . 40 )
其中都根据在NC下的抗蚀剂图像如R0(x,y)来确定初始轮廓位置和梯度。通过在每个方向上的部分图像导数,二维边缘移位可在x和y方向独立地被计算,或作为采用绝对梯度值的绝对移位,即Sx=R0(x,y)-R0(x-1,y)和Sy=R0(x,y)-R0(x,y-1)的几何和,即,绝对梯度值 S = S x 2 + S y 2 .
根据上述解释,边缘移位可直接表示为上文定义的微分的(differential)图像的函数:
&Delta;EP ( x i , &epsiv; , f ) = 1 S ( x i ) [ &epsiv; &CenterDot; P { I 0 ( x i ) } + ( 1 + &epsiv; ) &CenterDot; f &CenterDot; P { a ( x i ) } + ( 1 + &epsiv; ) &CenterDot; f 2 &CenterDot; P { b { x i ) } ]
(Eq.41)
同时,通过将单独的边缘布置移位加到等式的任一边,可确定CD或线宽的变化,通常导致ΔCD=2·ΔEP。明显地,(Eq.41)能够重现CD或EPE曲线的典型的类似二阶的离焦行为。更重要地是,在已经计算出多个图像如[R0,P{I0},P{a},P{b}]之后,(Eq.41)可应用于解析性地描绘出设计上的用于每个单个边缘位置的完整PW,而不需要任何更多的消耗时间的图像模拟,其中完成该计算仅比模拟在NC下的单个图像多~1倍的计算(假设在微分上的足够的精确度需要更少的TCC项)。在图5中提供了示出这种方法的一般流程示意图。
参照图5,第一步(步骤80)用来定义与将用于成像工艺中的光刻工艺和系统相关的工艺的具体参数。然后,采用(Eq.14)产生导数TCCs A和B(步骤82)。在步骤84,获取用于多个工艺窗口条件的校正测试数据。在步骤85,部分利用步骤82的结果,决定RO{}和/或关联滤波器P{}的模型参数。接下来,定义目标掩模图案或设计(步骤86)。然后在步骤88,工艺行进至产生图像如RO(x)、P{IO}、P{a}和P{b}。接下来,合成模拟的图像,提取NC轮廓,并决定在给定的一组边缘位置{xi}的特征EPEs(步骤90)。然后工艺行进至步骤92,以通过在边缘位置{xi}的工艺窗口范围内确定EPE或CD变化。最后,在步骤94,分析在步骤92获得的结果以确定结果图像是否在预定义误差容许量的范围内,从而决定一般工艺窗口及确认设计中的任何问题区域(如热点)。
上述详细描述的方法,及特别是(Eq.41)可灵活应用于光刻设计检查中的宽范围的任务。这些应用中的某些在下文简要地概述。然而,注意到本发明并不限于在此公开的应用。
对于任何特殊的边缘或CD,对于CD、EP或线端变化的给定容许量,(Eq.41)提供在额定剂量的聚焦范围(=DOF(焦深(Depth ofFocus)))的直截了当的决定。
对于任何特殊的边缘或CD,对于CD、EP或线端变化的给定容许量,(Eq.41)允许直截了当地确定在额定焦点处的曝光剂量。
对于任何特殊的边缘或CD,对于CD、EP或线端变化的给定容许量,(Eq.41)允许直截了当地确定映射在{F,E}间隔或通用PW间隔中的PW的形状、中心和区域。
对于覆盖全芯片设计的多个边缘或CDs和所有相关的图案/特征/类型,可有效地计算该设计的一般工艺窗口,且为了使常规PW居中,可导出工艺修正。
通过具有中心偏离的PWs或小的PW,可确定定义常规PW内部边界的关键的、限定的图案。
常规PW区域被映射为EP或CD变化上的容许量规格的函数。这个灵敏性分析可提供依赖于设计灵敏性的产率的估计(yield estimate)。
可根据采用(Eq.41)的全芯片分析来确认设计热点,如具有PW区域、DOF或位于确定阈值之下的曝光范围的图案。通过跨-PW模拟,如采用在PW范围内的多个点的重复图像和抗蚀剂轮廓的全模拟模型,则可详细研究这些关键图案的行为。
图6是图示能辅助在此公开的模拟方法的计算机系统的方框图。计算机系统100包括用于通信信息的总线102或其它通信机制,及用于处理信息的与总线102耦接的处理器104。计算机系统100还包括耦接至总线102的主存储器106,如随机存取存储器(RAM)或其它动态存储装置,用来存储信息和由处理器104执行的指令。主存储器106也可用来存储执行将由处理器104执行的指令期间的临时变量或其它中间信息。计算机系统100还包括用来存储静态信息和处理器104的指令的耦接至总线102的只读存储器(ROM)108或其它静态存储装置。提供有存储装置110,如磁盘或光盘,并将其耦接至总线102,用来存储信息和指令。计算机系统100可通过总线102耦接至显示器112,如用来向计算机用户显示信息的阴极射线管(CRT)或平板或触摸板显示器。包括字母数字和其它键的输入装置114藕接至总线,用于向处理器104通信信息和命令选择。另一种用户输入装置是光标控制器116,如鼠标、跟踪球或光标方向键,用于向处理器104通信方向信息和命令选择并控制光标在显示器112上的移动。这种输入装置典型地具有在两轴内的两个自由度,第一轴(如x)和第二轴(如y),以允许该装置具体确定在平面上的位置。触摸板(显示屏)显示器也可用作输入装置。
根据本发明的一个实施例,可响应于处理器104执行包含在主存储器106中的一个或多个指令的一个或多个序列,由计算机系统100执行模拟工艺的一部分。这样的指令可从另一计算机可读介质,如存储装置110中,读到主存储器106。包含在主存储器106中的指令序列的执行引起处理器104去执行在此描述的工艺步骤。多处理排列中的一个或多个处理器也可用来执行包含在主存储器106中的指令序列。在可替换的实施例里,硬件电路可用来代替或与软件指令合并以执行本发明。因此,本发明的实施例并不限于任何具体的硬件电路和软件的合并。在此使用的术语“计算机可读介质”涉及能参与向执行处理器提供指令的任何介质。这样的介质可采用多种形式,包括但不限于,非易失性介质、易失性介质和传输介质。非易失性介质包括,例如,光或磁盘,如存储装置110。易失性介质包括动态存储器,如主存储器106。传输介质包括同轴电缆、铜线和光纤,包括包含总线102的线。传输介质也可采用声学或光波形式,如在射频(RF)和红外(IR)数据通信期间产生的那些声学或光波。计算机可读介质的一般形式包括,例如,软盘、软碟、硬盘、磁带,任何其它磁介质,CD-ROM、DVD,任何其它光介质,穿孔卡片、纸带,任何其它具有孔图案的介质,RAM、PROM和EPROM、FLASH-EPROM,任何其它存储器芯片或盘带(cartridge),下文描述的载波,或其它任何计算机可从中读取的介质。
计算机可读介质的各种形式涉及将一个或多个指令的一个或多个序列载至处理器104,以便执行。例如,指令可能最初存于终端计算机的磁盘上。终端计算机可将指令载入它的动态存储器并通过采用调制解调器的电话线发送指令。位于计算机系统100的调制解调器可接收电话线上的数据并采用红外发射机将数据转换成红外信号。耦接至总线102的红外检测器可接收加载在红外信号中的数据并将数据放到总线102。总线102将数据载至主存储器106,其中处理器104从主存储器106中找到并执行指令。被主存储器106接收的指令在其被处理器104执行之前或之后可选择地存储在存储装置110上。
计算机系统100优选地也包括耦接至总线102的通信接口118。通信接口118提供耦接至连接到本地网络122的网络链接120的双向数据通信。例如,通信接口118可是综合服务数字网(ISDN)卡或调制解调器,以提供连接至相应类型电话线的数据通信。同样另一例子,通信接口118可是局域网(LAN)卡,以提供连接至兼容LAN的数据通信。也可采用无线链接。在任何这样的实施方式中,通信接口118发送并接收电学的、电磁的或光学信号,该信号加载表示各种类型信息的数字数据流。网络链接120通常通过一个或多个网络向其它数据服务提供数据通信。例如,网络链接120可提供通过本地网络122到主机124或由互联网服务提供商(ISP)126操作的数据设备的连接。ISP126依次通过如今通常称为“互联网”128的全世界的分组数据通信网络按顺序提供数据通信服务。本地网络122和互联网128都采用加载数字数据流的电学的、电磁的或光学信号。通过各种网络的信号和网络链接120上的并通过通信接口118的信号,是载波传输信息的示例性形式,其中通信接口118向计算机系统100加载或从计算机系统100获取数字数据。
计算机系统100可通过网络、网络链接120和通信接口118发送信息并接收数据,包括编程代码。在一个互联网例子里,服务器130可通过互联网128、ISP126、本地网络122和通信接口118传输应用程序所需要的代码。与本发明一致,例如,一种这样的下载应用场合提供实施方式的照明优化。接收到的代码当其被接收时可被处理器执行,和/或存储在存储装置110或其它非易失性存储中,用于稍后执行。在这种方式中,计算机系统可获得载波形式的应用代码。
图7示意性描述其性能可采用本发明的工艺模拟的示范性光刻投影装置。该装置包括:
-辐射系统Ex、IL,其用于提供辐射投影束PB。在这个特定情况下,辐射系统也包括放射源LA;
-第一目标工作台(掩模台)MT,其设有用于支撑掩模MA(如掩模版)的掩模支撑,并连接到第一定位装置以相对于PL精确定位掩模;
-第二目标工作台(衬底台)WT,其提供用于支撑衬底W(如抗蚀剂涂敷的硅晶片)的衬底支撑,并连接到第二定位装置以相对于物品PL精确定位衬底;
-投影系统(“透镜”)PL(如折射的、反射的或兼反射光及折射光的光学系统),其将掩模MA的被辐射部分成像在衬底W的目标部分C(如包括一个或多个管芯)。
如同在此描述的,该装置是透射类型的(如具有透射掩模)。然而,通常,例如,它也可是反射类型的(具有反射掩模)。可选择地,该装置可采用另一种图案化装置作为掩模使用的替换;例子包括可编程反射镜阵列或LCD矩阵。
源LA(如汞灯或受激准分子激光器)产生辐射束。例如,该束直接或在穿越(traversed)诸如束扩展器Ex之类的调节装置之后进入照明系统(照明装置)IL。照明装置IL可包括用于设定束中的强度分布的外部和/或内部径向范围(通常分别称为σ-外部和σ-内部)的调节装置AM。此外,它通常包括各种组件,如积分器(integrator)IN和聚光器(condenser)CO。在本方式中,撞击掩模MA的束PB在其剖面上具有所需要的均匀性和强度分布。
应当注意到关于图7,源LA可位于光刻投影装置的壳体内(例如,当源LA是汞灯时,通常是这种情况),但它也可远离光刻投影装置,它产生的放射束被引导进入装置(如通过合适的方向镜的帮助);当源LA是受激准分子激光器(如基于KrF、ArF或F2激发)时,通常是后面的这种情况。本发明至少包含这些情形中的两者。
随后束PB截取(intertcept)支撑在掩模台MT上的掩模MA。在穿越掩模MA后,束PB穿过透镜PL,该透镜将束PB聚焦到衬底W的目标部分C上。在第二定位装置(和干涉测量装置IF)的帮助下,可精确移动衬底台WT,如使得不同目标部分C定位于束PB的路径中。类似地,第一定位装置可用来相对于束PB的路径精确地定位掩模MA,如在从掩模库中机械获取掩模MA之后,或在扫描期间。通常,在长行程模块(粗调定位)和短行程模块(精确定位)的帮助下,将容易实现目标台MT、WT的移动,这在图7中没有明确地描述。然而,在晶片步进电动机(相对于步进扫描工具)的情况下,掩模台MT可仅连接到短行程致动器,或可被固定。
所描述的工具可以两种不同的模式使用:
-在步进模式,掩模台MT基本上保持固定,且整个掩模图像一口气(如单个闪光)投射到目标部分C。然后衬底台WT在x和/或y方向移动使得不同的目标部分C可被束PB辐射。
-在扫描模式,基本上使用相同的情形,除了给定目标部分C不在单个闪光内曝光。作为替换,掩模台MT在给定方向(所谓“扫描方向”,如y方向)是可移动的,并具有速度V,从而引起投影束PB扫描整个掩模图像;同时,衬底台WT在相同或相反的方向以速度V=Mv同步移动,其中M是透镜PL的放大倍数(通常,M=1/4 or 1/5)。在这种方式下,可曝光相对大的目标部分C,而不必在分辨率上进行折衷。
在此公开的观点可仿真或数学模拟任何成像亚波长特征的一般成像系统,且特别是在能够产生日益减小尺寸的波长的新兴成像技术方面是有用的。已经使用的新兴成像技术包括采用ArF激光器能够产生193nm波长,甚至采用傅立叶激光器能够产生157nm波长的EUV(极紫外)光刻。而且,EUV光刻能够产生20-50nm范围内的波长,为了产生这个范围的光子,可采用同步加速器或用高能电子撞击材料(固态或等离子体)。因为大多数材料在这个范围是吸收性的,通过具有钼和硅的多个叠层的反射镜,可产生照明。多个叠层镜具有40层成对的钼和硅,其中每层的厚度是四分之一波长。即使更小的波长可采用X-射线光刻产生。通常,同步加速器用来产生X-射线波长。因为大多数材料在X-射线波长下是吸收性的,吸收材料的薄片(thin piece)定义其中印刷(正抗蚀剂)或不印刷(负抗蚀剂)特征的位置。
虽然在此公开的观点可用于在衬底如硅晶片上成像,应当明白,所公开的观点可用于任何类型的光刻成像系统,如那些用来在衬底而不是硅晶片上成像的系统。
虽然已经详细地描述和图示本发明,但应当清楚地明白,同样仅是为了图示和举例,而不是用来限制,本发明的范围仅由所附加的权利要求的条件来限定。

Claims (11)

1.一种模拟光刻工艺的成像性能的方法,所述光刻工艺用来对具有多个特征的目标设计成像,该方法包括:
确定用于产生被模拟的图像的函数,所述函数表征与所述光刻工艺相关的工艺窗口变化;及
采用所述函数产生所述被模拟的图像,所述被模拟的图像表示用于所述光刻工艺的所述目标设计的成像结果。
2.根据权利要求1所述的模拟光刻工艺的成像性能的方法,其中所述函数包括工艺窗口变化的多项式函数,及所述工艺窗口变化包括焦距和曝光剂量中至少一个中的变化。
3.根据权利要求2所述的模拟光刻工艺的成像性能的方法,其中所述工艺窗口变化进一步包括光学设置中的变化,该光学设置至少包括数值孔径和σ中的至少一个。
4.根据权利要求2所述的模拟光刻工艺的成像性能的方法,其中所述函数定义为:
I(x,f,1+ε)=I0(x)+[ε·I0(x)+(1+ε)·a(x)·(f-f0)+(1+ε)·b(x)·(f-f0)2]
其中x表示点的位置坐标,I表示在像平面内的空间图像强度,I0表示在额定焦距和曝光下的图像强度,f0表示额定焦距,f和ε表示计算被模拟的图像时的实际焦距-曝光水平,以及参数“a”和“b”表示关于焦距变化的一阶和二阶导数图像。
5.根据权利要求2所述的模拟光刻工艺的成像性能的方法,其中所述函数定义为:
I(x,f,1+ε)=I0(x)+[ε·I0(x)+(1+ε)·a(x)·(f-f0)+(1+ε)·b(x)·(f-f0)2]
其中x表示点的位置坐标,I表示在像平面内的空间图像强度,I0表示在额定焦距和曝光条件下的图像强度,f0表示额定焦距,f和ε表示计算被模拟的图像时的实际焦距-曝光水平,以及参数“a”和“b”表示在不同焦距下的系列空间图像的最佳拟合。
6.根据权利要求2所述的模拟光刻工艺的成像性能的方法,其中所述函数定义为:
I(x,f,1+ε)=I0(x)+[ε·I0(x)+(1+ε)·a(x)·(f-f0)+(1+ε)·b(x)·(f-f0)2]
其中x表示点的位置坐标,I表示在像平面内的空间图像强度,I0表示在额定焦距和曝光条件下的图像强度,f0表示额定焦距,f和ε表示计算被模拟的图像时的实际焦距-曝光水平,及参数“a”和“b”表示根据交叉传输系数A和B计算出的空间图像,该交叉传输系数A和B是在不同焦距下的系列交叉传输系数的线性组合。
7.根据权利要求2所述的模拟光刻工艺的成像性能的方法,其中所述函数定义为:
I(x,f,1+ε)=I0(x)+[ε·I0(x)+(1+ε)·a(x)·(f-f0)+(1+ε)·b(x)·(f-f0)2]
其中x表示点的位置坐标,I表示在像平面内的空间图像强度,I0表示在额定焦距和曝光条件下的图像强度,f0表示额定焦距,f和ε表示计算被模拟的图像时的实际焦距-曝光水平,以及参数“a”和“b”表示从交叉传输系数A和B计算出的空间图像,该交叉传输系数A和B是关于焦距变化的一阶和二阶导数交叉传输系数。
8.根据权利要求1所述的模拟光刻工艺的成像性能的方法,其中所述被模拟的图像对应于抗蚀剂图像。
9.根据权利要求8所述的模拟光刻工艺的成像性能的方法,其中用于模拟焦距和剂量变化情况下的所述抗蚀剂图像的函数定义为:
R=R0+ε·P{I0}+(1+ε)·(f-f0)·P{a}+(1+ε)·(f-f0)2·P{b}
其中R表示抗蚀剂图像强度,R0表示在额定焦距和曝光的图像强度,f0表示额定焦距,I0表示额定空间图像,f和ε表示计算被模拟的图像时的实际焦距-曝光水平,参数“a”和“b”表示关于焦距变化的一阶和二阶导数空间图像,P{}表示应用滤波器作为抗蚀剂模型的函数作用。
10.一种评估由光刻工艺的工艺窗口参数变化导致的特征边缘移位或临界尺寸(CD)变化的方法,该方法包括:
确定用于产生被模拟的图像的函数,所述函数表征与所述光刻工艺相关的工艺窗口变化;
采用所述函数产生所述被模拟的图像,所述被模拟的图像表示所述光刻工艺的目标设计的成像结果;及
通过分析所述被模拟的图像评估所述特征边缘移位或CD变化。
11.根据权利要求10所述的评估由光刻工艺的工艺窗口参数变化导致的特征边缘移位或CD变化的方法,其中所述函数表征焦距和剂量的变化,所述函数定义为:
&Delta;EP ( x i , &epsiv; , f ) = 1 S ( x i ) [ &epsiv; &CenterDot; P { I 0 ( x i ) } + ( 1 + &epsiv; ) &CenterDot; ( f - f 0 ) &CenterDot; P { a ( x i ) } + ( 1 + &epsiv; ) &CenterDot; ( f - f 0 ) 2 &CenterDot; P { b ( x i ) } ]
其中ΔEP表示抗蚀剂轮廓的横向移位,S表示在额定焦距和曝光条件下的抗蚀剂图像的斜率,xi表示评估点的位置坐标,f0表示额定焦距,I0表示额定空间图像,f和ε表示计算模拟图像时的实际焦距-曝光水平,参数“a”和“b”表示关于焦距变化的一阶和二阶导数空间像,P{}表示应用滤波器作为抗蚀剂模型的函数作用。
CN2008101798297A 2007-12-05 2008-12-05 光刻工艺窗口模拟的方法和系统 Expired - Fee Related CN101452221B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US99254607P 2007-12-05 2007-12-05
US60/992,546 2007-12-05

Publications (2)

Publication Number Publication Date
CN101452221A CN101452221A (zh) 2009-06-10
CN101452221B true CN101452221B (zh) 2011-04-20

Family

ID=40734528

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101798297A Expired - Fee Related CN101452221B (zh) 2007-12-05 2008-12-05 光刻工艺窗口模拟的方法和系统

Country Status (6)

Country Link
US (3) US8200468B2 (zh)
JP (2) JP5016585B2 (zh)
KR (1) KR101043016B1 (zh)
CN (1) CN101452221B (zh)
NL (1) NL1036189A1 (zh)
TW (1) TWI402631B (zh)

Families Citing this family (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007133755A2 (en) * 2006-05-15 2007-11-22 Rudolph Technologies, Inc. Structure model description and use for scatterometry-based semiconductor manufacturing process metrology
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
NL1036018A1 (nl) * 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
JP5040803B2 (ja) * 2008-05-15 2012-10-03 富士通セミコンダクター株式会社 プログラム及び記録媒体
US8542340B2 (en) * 2008-07-07 2013-09-24 Asml Netherlands B.V. Illumination optimization
US7954071B2 (en) * 2008-10-31 2011-05-31 Synopsys, Inc. Assist feature placement based on a focus-sensitive cost-covariance field
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8402399B2 (en) * 2010-04-30 2013-03-19 International Business Machines Corporation Method and system for computing fourier series coefficients for mask layouts using FFT
US8910093B2 (en) * 2010-09-29 2014-12-09 Nikon Corporation Fast photoresist model
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
US8577489B2 (en) * 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US9059101B2 (en) * 2011-07-07 2015-06-16 Lam Research Corporation Radiofrequency adjustment for instability management in semiconductor processing
WO2013010162A2 (en) 2011-07-14 2013-01-17 General Electric Company Method and system for rail vehicle control
RU2481555C1 (ru) * 2011-10-20 2013-05-10 Корпорация "САМСУНГ ЭЛЕКТРОНИКС Ко., Лтд." Оптическая измерительная система и способ измерения критического размера наноструктур на плоской поверхности
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
FR2985827B1 (fr) * 2012-01-17 2014-01-31 St Microelectronics Sa Procede de test d'un circuit integre
NL2010163A (en) 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
NL2010196A (en) 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
JP5869942B2 (ja) * 2012-04-03 2016-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation マスクのデザイン方法、プログラムおよびマスクデザインシステム
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US9322640B2 (en) * 2012-08-07 2016-04-26 Samsing Electronics Co., Ltd. Optical measuring system and method of measuring critical size
CN103631083B (zh) * 2012-08-20 2016-08-31 中芯国际集成电路制造(上海)有限公司 一种光学邻近修正的焦平面选择方法
NL2011592A (en) 2012-10-31 2014-05-06 Asml Netherlands Bv Compensation for patterning device deformation.
KR101757777B1 (ko) 2013-02-22 2017-07-14 에이에스엠엘 네델란즈 비.브이. 3­차원 패터닝 디바이스에 대한 리소그래피 모델
US10365557B2 (en) * 2013-02-24 2019-07-30 Synopsys, Inc. Compact OPC model generation using virtual data
CN105008997B (zh) 2013-02-25 2017-03-08 Asml荷兰有限公司 离散源掩模优化
CN103543598B (zh) * 2013-09-22 2016-04-13 华中科技大学 一种光刻掩模优化设计方法
US11054750B2 (en) 2013-10-01 2021-07-06 Asml Netherlands B.V. Profile aware source-mask optimization
KR101898087B1 (ko) 2013-12-30 2018-09-12 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 장치 및 방법
SG11201606179QA (en) 2014-02-11 2016-08-30 Asml Netherlands Bv Model for calculating a stochastic variation in an arbitrary pattern
CN105980934B (zh) * 2014-02-12 2018-10-09 Asml荷兰有限公司 过程窗口的优化方法
KR20160131110A (ko) 2014-03-18 2016-11-15 에이에스엠엘 네델란즈 비.브이. 패턴 배치 에러 인식의 최적화
WO2015158444A1 (en) 2014-04-14 2015-10-22 Asml Netherlands B.V. Flows of optimization for lithographic processes
US9262820B2 (en) 2014-05-19 2016-02-16 United Microelectronics Corporation Method and apparatus for integrated circuit design
WO2015189026A2 (en) 2014-06-10 2015-12-17 Asml Netherlands B.V. Computational wafer inspection
CN106462086B (zh) 2014-06-25 2019-10-15 Asml荷兰有限公司 蚀刻变化容差优化
US10310386B2 (en) 2014-07-14 2019-06-04 Asml Netherlands B.V. Optimization of assist features and source
KR102180027B1 (ko) * 2014-09-19 2020-11-17 삼성전자 주식회사 최적의 포커스 및 도즈를 결정하기 위한 노광 공정 계측 방법 및 이를 이용한 노광 공정 모니터링 방법
WO2016045901A1 (en) 2014-09-22 2016-03-31 Asml Netherlands B.V. Process window identifier
WO2016050584A1 (en) 2014-10-02 2016-04-07 Asml Netherlands B.V. Rule-based deployment of assist features
WO2016096309A1 (en) 2014-12-15 2016-06-23 Asml Netherlands B.V. Optimization based on machine learning
US10372043B2 (en) 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
US10685158B2 (en) 2014-12-18 2020-06-16 Asml Netherlands B.V. Lithography model for 3D features
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
WO2016128189A1 (en) 2015-02-13 2016-08-18 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
US10459345B2 (en) 2015-03-06 2019-10-29 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
KR102182005B1 (ko) 2015-03-16 2020-11-24 에이에스엠엘 네델란즈 비.브이. 레지스트 변형을 결정하는 방법들
TWI571701B (zh) * 2015-04-30 2017-02-21 力晶科技股份有限公司 偵測微影熱點的方法
WO2016184664A1 (en) 2015-05-20 2016-11-24 Asml Netherlands B.V. Coloring aware optimization
KR102063229B1 (ko) 2015-05-29 2020-01-07 에이에스엠엘 네델란즈 비.브이. 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
US10416566B2 (en) 2015-12-14 2019-09-17 Asml Netherlands B.V. Optimization of source and bandwidth for new and existing patterning devices
IL259633B (en) * 2015-12-22 2022-07-01 Asml Netherlands Bv A device and method for characterizing a window process
US11094502B2 (en) 2015-12-24 2021-08-17 Asml Netherlands B.V. Method and apparatus for inspection
KR102148875B1 (ko) 2015-12-31 2020-08-28 에이에스엠엘 네델란즈 비.브이. 에칭-어시스트 피처
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
WO2017162471A1 (en) 2016-03-24 2017-09-28 Asml Netherlands B.V. Optimization of a lithographic projection apparatus accounting for an interlayer characteristic
WO2017178276A1 (en) 2016-04-14 2017-10-19 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
KR102376200B1 (ko) 2016-05-12 2022-03-18 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의한 결함 또는 핫스폿의 식별
KR102189871B1 (ko) 2016-05-12 2020-12-14 에이에스엠엘 네델란즈 비.브이. 변위 기반 오버레이 또는 정렬
KR102205364B1 (ko) 2016-05-17 2021-01-20 에이에스엠엘 네델란즈 비.브이. 관통-파장 유사성에 기초한 계측 견실성 향상 기술
WO2017202602A1 (en) 2016-05-23 2017-11-30 Asml Netherlands B.V. Selection of substrate measurement recipes
WO2017215944A1 (en) 2016-06-15 2017-12-21 Asml Netherlands B.V. Substrate measurement recipe configuration to improve device matching
WO2018010940A1 (en) 2016-07-12 2018-01-18 Asml Netherlands B.V. Visualizing performance metrics of computational analyses of design layouts
KR20190039579A (ko) 2016-08-19 2019-04-12 에이에스엠엘 네델란즈 비.브이. 노광후 공정들의 모델링
EP3291007A1 (en) 2016-08-30 2018-03-07 ASML Netherlands B.V. Patterning stack optimization
WO2018041550A1 (en) 2016-09-01 2018-03-08 Asml Netherlands B.V. Automatic selection of metrology target measurement recipes
WO2018050432A1 (en) 2016-09-13 2018-03-22 Asml Netherlands B.V. Optimization of a lithography apparatus or patterning process based on selected aberration
CN117406544A (zh) 2016-10-24 2024-01-16 Asml荷兰有限公司 用于优化图案化装置图案的方法
CN110023839B (zh) 2016-12-01 2022-02-22 Asml荷兰有限公司 用于图案化配置的方法和系统
WO2018099742A1 (en) 2016-12-02 2018-06-07 Asml Netherlands B.V. Model for estimating stochastic variation
WO2018114246A2 (en) 2016-12-23 2018-06-28 Asml Netherlands B.V. Method and apparatus for pattern fidelity control
WO2018121988A1 (en) 2016-12-28 2018-07-05 Asml Netherlands B.V. Methods of guiding process models and inspection in a manufacturing process
CN110114726B (zh) 2016-12-28 2021-11-30 Asml荷兰有限公司 确定由图案形成装置上的有限厚度的结构引起的辐射的散射的方法
CN110325921B (zh) 2017-01-26 2022-02-18 Asml荷兰有限公司 微调过程模型的方法
KR102376267B1 (ko) 2017-02-22 2022-03-18 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
JP6906058B2 (ja) 2017-02-24 2021-07-21 エーエスエムエル ネザーランズ ビー.ブイ. 機械学習によるプロセスモデルの決定方法
CN110612483B (zh) 2017-05-12 2022-06-28 Asml荷兰有限公司 用于评估抗蚀剂显影的方法
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
KR102349124B1 (ko) 2017-06-06 2022-01-10 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 장치
WO2019011604A1 (en) 2017-07-12 2019-01-17 Asml Netherlands B.V. PREDICTION OF DEFECTS
US11067902B2 (en) 2017-08-07 2021-07-20 Asml Netherlands B.V. Computational metrology
EP3441819A1 (en) 2017-08-07 2019-02-13 ASML Netherlands B.V. Computational metrology
CN107633010B (zh) * 2017-08-14 2020-06-19 中南大学 一种复杂造型grc板块图像的识别方法和系统
CN111149063B (zh) 2017-09-27 2022-04-22 Asml荷兰有限公司 确定器件制造工艺的控制参数的方法
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
CN111213090B (zh) 2017-10-11 2024-04-09 Asml荷兰有限公司 图案化过程的优化流程
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN115935854A (zh) 2017-12-04 2023-04-07 Asml荷兰有限公司 用于预测层变形的系统和方法
EP3492983A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Systems and methods for predicting layer deformation
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
KR102517966B1 (ko) 2017-12-19 2023-04-03 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 기법 기반 정정 및 제어
WO2019121491A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
CN116125756A (zh) 2017-12-22 2023-05-16 Asml荷兰有限公司 用于减少抗蚀剂模型预测误差的系统和方法
US11079687B2 (en) 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability
KR102454303B1 (ko) 2018-01-24 2022-10-12 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법 기반 샘플링 스킴
WO2019145278A1 (en) 2018-01-26 2019-08-01 Asml Netherlands B.V. Pre-scan feature determination methods and systems
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
EP3531206A1 (en) 2018-02-23 2019-08-28 ASML Netherlands B.V. Systems and methods for improving resist model predictions
WO2019162346A1 (en) 2018-02-23 2019-08-29 Asml Netherlands B.V. Methods for training machine learning model for computation lithography
KR102606115B1 (ko) 2018-02-23 2023-11-29 에이에스엠엘 네델란즈 비.브이. 패턴의 시맨틱 분할을 위한 딥 러닝
KR102481755B1 (ko) 2018-02-23 2022-12-29 에이에스엠엘 네델란즈 비.브이. 가이드 패터닝 디바이스 검사
US10209636B1 (en) 2018-03-07 2019-02-19 Sandisk Technologies Llc Exposure focus leveling method using region-differentiated focus scan patterns
KR102481727B1 (ko) 2018-03-19 2022-12-29 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법
US10705420B2 (en) * 2018-05-15 2020-07-07 Asml Us, Llc Mask bias approximation
KR20210010897A (ko) 2018-06-15 2021-01-28 에이에스엠엘 네델란즈 비.브이. 기계 학습 기반 역 광 근접 보정 및 공정 모델 캘리브레이션
US11586114B2 (en) 2018-06-25 2023-02-21 Asml Netherlands B.V. Wavefront optimization for tuning scanner based on performance matching
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
WO2020020759A1 (en) 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
CN110824829B (zh) * 2018-08-07 2023-06-02 中芯国际集成电路制造(上海)有限公司 一种建立opc模型的方法以及光学邻近修正方法
EP3614194A1 (en) 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
US11953823B2 (en) 2018-08-31 2024-04-09 Asml Netherlands B.V. Measurement method and apparatus
JP7126412B2 (ja) * 2018-09-12 2022-08-26 東京エレクトロン株式会社 学習装置、推論装置及び学習済みモデル
TW202020577A (zh) 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
WO2020078762A1 (en) 2018-10-17 2020-04-23 Asml Netherlands B.V. Methods for generating characteristic pattern and training machine learning model
US11354484B2 (en) 2018-11-08 2022-06-07 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
KR102610060B1 (ko) 2018-11-30 2023-12-06 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
JP7209835B2 (ja) 2018-11-30 2023-01-20 エーエスエムエル ネザーランズ ビー.ブイ. 機械学習モデル予測における不確実性を減少させる方法
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
US20220028052A1 (en) 2018-12-14 2022-01-27 Asml Netherlands B.V. Apparatus and method for grouping image patterns to determine wafer behavior in a patterning process
KR20240052072A (ko) 2018-12-28 2024-04-22 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
KR20230175346A (ko) 2018-12-28 2023-12-29 에이에스엠엘 네델란즈 비.브이. 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
KR102652685B1 (ko) 2018-12-31 2024-04-01 에이에스엠엘 네델란즈 비.브이. 패턴화 장치의 광학적 특성의 성분의 서브세트의 결정
CN113302557A (zh) 2018-12-31 2021-08-24 Asml荷兰有限公司 用于预测抗蚀剂变形的方法
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
EP3906495A1 (en) * 2019-02-08 2021-11-10 Siemens Industry Software Inc. Die yield assessment based on pattern-failure rate simulation
KR102641682B1 (ko) 2019-02-20 2024-02-27 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스의 제조 프로세스를 특성화하기 위한 방법
US11567413B2 (en) 2019-02-25 2023-01-31 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
US20220113632A1 (en) * 2019-02-27 2022-04-14 Asml Netherlands B.V. Gauge selection for model calibration
WO2020207696A1 (en) 2019-04-09 2020-10-15 Asml Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
CN113924525A (zh) 2019-04-15 2022-01-11 Asml荷兰有限公司 用于确定对掩模的特征的校正的方法
CN113874787B (zh) 2019-05-21 2024-04-16 Asml荷兰有限公司 用于确定与期望图案相关联的随机变化的方法
US20220276563A1 (en) 2019-07-10 2022-09-01 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty
US20220291590A1 (en) 2019-08-13 2022-09-15 Asml Netherlands B.V. Modeling method for computational fingerprints
US20220284344A1 (en) 2019-08-13 2022-09-08 Asml Netherlands B.V. Method for training machine learning model for improving patterning process
KR20220034900A (ko) 2019-08-20 2022-03-18 에이에스엠엘 네델란즈 비.브이. 이미지 내 구조물의 공정 기반 윤곽 정보 개선 방법
CN114402262A (zh) 2019-08-30 2022-04-26 Asml荷兰有限公司 半导体器件几何方法和系统
CN114341742A (zh) 2019-09-03 2022-04-12 Asml荷兰有限公司 确定图案的像差灵敏度的方法
EP3789826A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. Method for determining defectiveness of pattern based on after development image
CN114556228A (zh) 2019-09-05 2022-05-27 Asml荷兰有限公司 用于基于显影后图像确定图案缺陷的方法
US20220335290A1 (en) 2019-09-06 2022-10-20 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
CN114402342A (zh) 2019-09-16 2022-04-26 Asml荷兰有限公司 用于生成特性图案以及训练机器学习模型的方法
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
IL291367B1 (en) 2019-10-08 2024-06-01 Asml Netherlands Bv A method for determining the definition of a field of view
CN114981724A (zh) 2019-12-13 2022-08-30 Asml荷兰有限公司 用于改善掩模图案生成一致性的方法
CN110703438B (zh) * 2019-12-16 2020-04-17 墨研计算科学(南京)有限公司 一种基于极坐标矢量计算光刻模型的方法及装置
KR20220127925A (ko) 2020-02-21 2022-09-20 에이에스엠엘 네델란즈 비.브이. 결함 기반의 프로세스 윈도우에 기초하여 시뮬레이션 프로세스를 캘리브레이팅하기 위한 방법
CN111338179B (zh) * 2020-04-17 2021-07-06 中国科学院上海光学精密机械研究所 基于多宽度表征的全芯片光源掩模优化关键图形筛选方法
JP2023528208A (ja) 2020-06-03 2023-07-04 エーエスエムエル ネザーランズ ビー.ブイ. パターニングデバイス及びそのパターンを生成するためのシステム、製品、及び方法
CN115715381A (zh) * 2020-06-10 2023-02-24 Asml荷兰有限公司 像差影响系统、模型和制造过程
CN115735162A (zh) 2020-07-03 2023-03-03 Asml荷兰有限公司 基于失效率的过程窗口
WO2022012888A1 (en) 2020-07-14 2022-01-20 Asml Netherlands B.V. Apparatus and methods for generating denoising model
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
CN116157744A (zh) 2020-07-22 2023-05-23 Asml荷兰有限公司 用于控制制造工艺的方法和相关联的装置
US20230273528A1 (en) 2020-08-19 2023-08-31 Asml Netherlands B.V. Systems, products, and methods for image-based pattern selection
NL2026610B1 (en) * 2020-10-02 2022-06-03 Xeikon Prepress Nv Method and system to determine an exposure time and/or intensity to be used for obtaining a desired feature of a relief structure
US20240005457A1 (en) 2020-10-13 2024-01-04 Asml Netherlands B.V. Apparatus and methods to generate deblurring model and deblur image
US20240054669A1 (en) 2020-12-15 2024-02-15 Asml Netherlands B.V. Apparatus and method for determining three dimensional data based on an image of a patterned substrate
WO2022128500A1 (en) 2020-12-18 2022-06-23 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
CN116648704A (zh) 2020-12-21 2023-08-25 Asml荷兰有限公司 用于提取特征向量以辨识图案物体的特征提取方法
US20240104284A1 (en) 2020-12-21 2024-03-28 Asml Netherlands B.V. Feature based cell extraction for pattern regions
US20240045341A1 (en) 2020-12-23 2024-02-08 Asml Netherlands B.V. Optimization of lithographic process based on bandwidth and speckle
EP4298478A1 (en) 2021-02-23 2024-01-03 ASML Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
US20240119212A1 (en) 2021-03-03 2024-04-11 Asml Netherlands B.V. Configuration of patterning process
WO2022248217A1 (en) 2021-05-25 2022-12-01 Asml Netherlands B.V. Determining mask rule check violations and mask design
WO2022258398A1 (en) 2021-06-07 2022-12-15 Asml Netherlands B.V. Determining rounded contours for lithography related patterns
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
WO2023280511A1 (en) 2021-07-06 2023-01-12 Asml Netherlands B.V. Determining localized image prediction errors to improve a machine learning model in predicting an image
WO2023016752A1 (en) 2021-08-10 2023-02-16 Asml Netherlands B.V. Match the aberration sensitivity of the metrology mark and the device pattern
WO2023016723A1 (en) 2021-08-11 2023-02-16 Asml Netherlands B.V. Mask defect detection
KR20240063929A (ko) 2021-09-09 2024-05-10 에이에스엠엘 네델란즈 비.브이. 계측 데이터 변환 방법
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
EP4194950A1 (en) 2021-12-08 2023-06-14 ASML Netherlands B.V. Systems and methods for reducing pattern shift in a lithographic apparatus
WO2023104504A1 (en) 2021-12-09 2023-06-15 Asml Netherlands B.V. Surrounding pattern and process aware metrology
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110347A1 (en) 2021-12-16 2023-06-22 Asml Netherlands B.V. Systems and methods for optimizing lithographic design variables using image-based failure rate model
WO2024012800A1 (en) 2022-07-11 2024-01-18 Asml Netherlands B.V. Systems and methods for predicting post-etch stochastic variation
WO2024013273A1 (en) 2022-07-14 2024-01-18 Asml Netherlands B.V. Determining mask rule check violations and mask design based on local feature dimension
WO2024022854A1 (en) 2022-07-28 2024-02-01 Asml Netherlands B.V. Training a machine learning model to generate mrc and process aware mask pattern
WO2024037837A1 (en) 2022-08-18 2024-02-22 Asml Netherlands B.V. Suppressing specular reflection of mask absorber and on- resolution field stitching
WO2024046691A1 (en) 2022-09-02 2024-03-07 Asml Netherlands B.V. Method for configuring a field of view of an inspection apparatus
WO2024094385A1 (en) 2022-10-31 2024-05-10 Asml Netherlands B.V. Source optimization for mitigating mask error impact
WO2024110141A1 (en) 2022-11-22 2024-05-30 Asml Netherlands B.V. Curvilinear polygon recovery for opc mask design
CN115933328B (zh) * 2022-12-16 2023-07-18 武汉宇微光学软件有限公司 一种基于凸优化的光刻模型标定方法和系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1202119A1 (en) * 2000-09-12 2002-05-02 ASML Masktools Netherlands B.V. Method and apparatus for fast aerial image simulation
EP1424595A2 (en) * 2002-11-26 2004-06-02 Lsi Logic Corporation Automatic calibration of a masking process simulator
CN1658076A (zh) * 2004-01-30 2005-08-24 Asml蒙片工具有限公司 使用已校准的本征分解模型的光刻过程的制造可靠性检查与验证的方法
CN1683998A (zh) * 2004-01-30 2005-10-19 Asml蒙片工具有限公司 产生模拟曝光工具成像性能模型的方法、装置和程序产品
CN1800971A (zh) * 2004-09-14 2006-07-12 Asml蒙片工具有限公司 一种用于实施全芯片制造可靠性检查和校正的方法

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) * 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP3189215B2 (ja) * 1992-06-02 2001-07-16 エイチエヌエイ・ホールディングス・インコーポレーテッド ピールアパート法により現像された感光性印刷版
WO1997033205A1 (en) * 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69717975T2 (de) * 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
US6171731B1 (en) * 1999-01-20 2001-01-09 Lsi Logic Corporation Hybrid aerial image simulation
TW436886B (en) * 1999-10-22 2001-05-28 United Microelectronics Corp Optical proximity correction method applied in negative photoresist
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
JP2001350250A (ja) * 2000-06-05 2001-12-21 Mitsubishi Electric Corp パターン歪み補正装置、パターン歪み補正方法、およびパターン歪み補正プログラムを記録した記録媒体
JP4064617B2 (ja) * 2000-10-26 2008-03-19 株式会社東芝 マスクパターン補正方法、マスクパターン補正装置、マスクパターン補正プログラムを格納した記録媒体、及び半導体装置の製造方法
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
JP2002260979A (ja) * 2001-02-27 2002-09-13 Toshiba Corp パターン評価方法
KR100610441B1 (ko) * 2001-03-20 2006-08-08 뉴메리컬 테크날러지즈 인코퍼레이티드 마스크 결함 인쇄적성 분석을 제공하는 시스템과 방법
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
CN1732412A (zh) * 2002-12-30 2006-02-08 皇家飞利浦电子股份有限公司 确定最佳工艺窗口的最佳工艺设定的方法,该最佳工艺窗口优化了确定光刻工艺最佳工艺窗口的工艺性能
US20050015233A1 (en) * 2003-07-17 2005-01-20 International Business Machines Corporation Method for computing partially coherent aerial imagery
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7343271B2 (en) * 2003-10-27 2008-03-11 International Business Machines Corporation Incorporation of a phase map into fast model-based optical proximity correction simulation kernels to account for near and mid-range flare
US7261985B2 (en) * 2004-03-12 2007-08-28 Litel Instruments Process for determination of optimized exposure conditions for transverse distortion mapping
WO2005103819A2 (en) * 2004-04-20 2005-11-03 Litel Instruments Method of emulation of lithographic projection tools
EP1747520B1 (en) * 2004-05-07 2018-10-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7544449B1 (en) * 2004-11-12 2009-06-09 Litel Instruments Method and apparatus for measurement of crossfield chromatic response of projection imaging systems
US7882456B2 (en) * 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
US7642019B2 (en) * 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
JP4425239B2 (ja) * 2005-05-16 2010-03-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
US7528934B2 (en) * 2005-05-16 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
JP4413825B2 (ja) 2005-07-13 2010-02-10 株式会社東芝 潜像計算方法、マスクパターン作成方法および半導体装置の製造方法
JP4806020B2 (ja) * 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
CN101305320B (zh) 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US20070121090A1 (en) * 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7642020B2 (en) * 2006-08-17 2010-01-05 International Business Machines Corporation Method for separating optical and resist effects in process models
US20080168410A1 (en) * 2006-10-09 2008-07-10 Mentor Graphics Corporation Properties In Electronic Design Automation
US7624369B2 (en) * 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7512927B2 (en) * 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
JP4707701B2 (ja) * 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US7564545B2 (en) * 2007-03-15 2009-07-21 Kla-Tencor Technologies Corp. Inspection methods and systems for lithographic masks
US8059884B2 (en) * 2007-11-08 2011-11-15 International Business Machines Corporation Method and system for obtaining bounds on process parameters for OPC-verification

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1202119A1 (en) * 2000-09-12 2002-05-02 ASML Masktools Netherlands B.V. Method and apparatus for fast aerial image simulation
EP1424595A2 (en) * 2002-11-26 2004-06-02 Lsi Logic Corporation Automatic calibration of a masking process simulator
CN1658076A (zh) * 2004-01-30 2005-08-24 Asml蒙片工具有限公司 使用已校准的本征分解模型的光刻过程的制造可靠性检查与验证的方法
CN1683998A (zh) * 2004-01-30 2005-10-19 Asml蒙片工具有限公司 产生模拟曝光工具成像性能模型的方法、装置和程序产品
CN1800971A (zh) * 2004-09-14 2006-07-12 Asml蒙片工具有限公司 一种用于实施全芯片制造可靠性检查和校正的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Yu Cao,Yen-Wen Lu,Luoqi Chen,Jun Ye.Optimized Hardware and Software for Fast, Full Chip Simulation.《Proceedings of SPIE》.2005,第5754卷407-414. *

Also Published As

Publication number Publication date
JP5016585B2 (ja) 2012-09-05
CN101452221A (zh) 2009-06-10
JP2012044222A (ja) 2012-03-01
KR101043016B1 (ko) 2011-06-21
US20120253774A1 (en) 2012-10-04
NL1036189A1 (nl) 2009-06-08
JP2009141352A (ja) 2009-06-25
US20090157360A1 (en) 2009-06-18
JP5756739B2 (ja) 2015-07-29
TW200931200A (en) 2009-07-16
US8527255B2 (en) 2013-09-03
TWI402631B (zh) 2013-07-21
KR20090059058A (ko) 2009-06-10
US20140005998A1 (en) 2014-01-02
US9390206B2 (en) 2016-07-12
US8200468B2 (en) 2012-06-12

Similar Documents

Publication Publication Date Title
CN101452221B (zh) 光刻工艺窗口模拟的方法和系统
CN101738872B (zh) 用于光刻校准的方法和系统
CN101751502B (zh) 用于光刻过程窗口最大化光学邻近效应校正的方法和系统
US10866524B2 (en) Method and system for overlay control
US8542340B2 (en) Illumination optimization
CN101738871B (zh) 用于光刻术模型校准的图案选择
JP5225462B2 (ja) モデルベースのスキャナ調整方法
CN112543892A (zh) 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
CN112236723A (zh) 利用模型基础对准来改善边缘放置量测准确度
TWI750648B (zh) 用於判定與期望圖案相關聯之隨機變異之方法
TW202006346A (zh) 基於自電子束影像擷取的3d資訊的隱藏缺陷偵測和epe估計
WO2021175570A1 (en) Machine learning based subresolution assist feature placement
KR20180011263A (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ASML NETHERLANDS CO., LTD.

Free format text: FORMER OWNER: RUICHU TECHNOLOGY COMPANY

Effective date: 20100519

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA, U.S.A. TO: WEIDEHUOWEN, HOLLAND

TA01 Transfer of patent application right

Effective date of registration: 20100519

Address after: Holland Weide Eindhoven

Applicant after: ASML Holland Co., Ltd.

Address before: American California

Applicant before: Brion Technologies Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110420

Termination date: 20181205

CF01 Termination of patent right due to non-payment of annual fee