CN114981724A - 用于改善掩模图案生成一致性的方法 - Google Patents

用于改善掩模图案生成一致性的方法 Download PDF

Info

Publication number
CN114981724A
CN114981724A CN202080086061.8A CN202080086061A CN114981724A CN 114981724 A CN114981724 A CN 114981724A CN 202080086061 A CN202080086061 A CN 202080086061A CN 114981724 A CN114981724 A CN 114981724A
Authority
CN
China
Prior art keywords
pattern
variables
target pattern
values
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080086061.8A
Other languages
English (en)
Inventor
张权
周大栋
陈炳德
卢彦文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN114981724A publication Critical patent/CN114981724A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/047Probabilistic or stochastic networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Probability & Statistics with Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本文中描述了一种确定待印制在衬底上的目标图案的掩模图案的方法(400)。该方法包括:参考目标图案上的给定位置,将包括目标图案的设计布局(401)的一部分划分(P401)成多个单元(402);在多个单元中的特定单元内分配(P403)多个变量(403),特定单元包括目标图案或目标图案的一部分;以及基于多个变量的值,确定(P405)目标图案的掩模图案(405),使得使用掩模图案的图案化过程的性能度量在期望的性能范围内。

Description

用于改善掩模图案生成一致性的方法
相关申请的交叉引用
本申请要求于2019年12月13日提交的美国申请62/947,707的优先权,其通过引用整体并入本文。
技术领域
本发明涉及光刻技术,更具体地,涉及生成图案形成装置图案的机制。
背景技术
光刻设备是将期望的图案施加至衬底的目标部分上的机器。光刻设备可以用于例如集成电路(IC)的制造中。在那种情况下,图案形成装置(其替代地称为掩模或掩模版)可以用以产生对应于IC的单层上的电路图案,并且这种图案可以被成像到具有一层辐射敏感材料(抗蚀剂)的衬底(例如,硅晶片)上的目标部分(例如,包括管芯的部分、一个管芯或若干管芯)上。通常,单个衬底包含被连续地曝光的相邻目标部分的网络。已知的光刻设备包括所谓的步进器,其中通过将整个图案一次性曝光到目标位置来辐照每个目标位置,以及所谓的扫描器,其中通过在使束以给定方向(“扫描”方向)扫描图案的同时同步地扫描与该扫描方向平行或反平行的衬底,来辐照每个目标位置。
在将电路图案从图案形成装置转印到衬底之前,衬底可以经历各种过程,诸如涂底漆、抗蚀剂涂覆和软烘烤。在曝光之后,衬底可以经历其他过程,诸如曝光后烘烤(PEB)、显影、硬烘烤和对所转印的电路图案的测量/检查。将该过程阵列用作形成器件(例如,IC器件)的单层的基础。然后,衬底可以经历各种过程来产生器件的单层,诸如蚀刻、离子注入(掺杂)、金属化、氧化、化学机械抛光等。如果在器件中需要若干层,那么可以针对每个层重复整个程序或其变型。最终,器件将存在于衬底上的每个目标部分中。然后,通过技术(诸如,切块或锯块)将这些器件彼此分离,以便可以将单个器件安装在载体上、连接到引脚等。
因此,制造半导体器件通常涉及使用多个制造过程处理衬底(例如,半导体晶片)来形成器件的各种特征和多个层。这种层和特征通常使用例如沉积、光刻、蚀刻、化学机械抛光和离子注入来制造和处理。多个器件可以在衬底上的多个管芯上制造,然后被分离成单个器件。器件制造通常包括图案化过程。图案化过程涉及图案化步骤(诸如,使用光刻设备中的图案形成装置(例如,掩模)的光学光刻和/或纳米压印光刻)来将图案形成装置上的图案转印到衬底,并且通常但可选地涉及一个或多个相关的图案处理步骤,诸如通过显影设备的抗蚀剂显影、使用烘烤工具烘烤衬底、使用蚀刻设备对图案进行蚀刻等。
发明内容
在实施例中,提供了一种确定用于待印制在衬底上的目标图案的掩模图案的方法。该方法包括:将包括目标图案的设计布局的一部分划分成多个单元,每个单元与目标图案上的给定位置具有关系;在多个单元中的特定单元内分配多个变量,特定单元包括目标图案或目标图案的一部分;以及基于多个变量的值,确定目标图案的掩模图案,使得使用掩模图案的图案化过程的性能度量在期望的性能范围内。在实施例中,确定掩模图案包括:使用目标图案和多个变量模拟图案化过程,以确定使得图案化过程的性能度量在期望的性能范围内的多个变量的值;以及基于所确定的多个变量的值来生成用于目标图案的掩模图案。
此外,在实施例中,提供了一种非暂时性计算机可读介质,该非暂时性计算机可读介质包括指令,该指令在由一个或多个处理器执行时引起的操作包括:将包括目标图案的设计布局的一部分划分成多个单元,每个单元与目标图案上的给定位置或所选择位置具有关系;在多个单元中的特定单元内分配多个变量,特定单元包括目标图案或目标图案的一部分;以及基于多个变量的值,确定目标图案的掩模图案,使得使用掩模图案的图案化过程的性能度量在期望的性能范围内。确定掩模图案包括:使用目标图案和多个变量模拟图案化过程,以确定使得图案化过程的性能度量在期望的性能范围内的多个变量的值;以及基于所确定的多个变量的值来生成用于目标图案的掩模图案。
附图说明
现在将参考附图仅通过示例的方式描述实施例,其中:
图1示出了根据实施例的光刻系统的多种子系统的框图;
图2描绘了根据实施例的用于建模和/或模拟图案化过程的至少一部分的示例流程图;
图3A和图3B示出了根据实施例的与模拟图案化过程相关的示例性网格依赖误差;
图4A和图4B是根据实施例的用于生成掩模图案的流程图;
图5是根据实施例的在第一坐标系和第二坐标系之间转换的示例;
图6是根据实施例的与图4A的方法相关的划分单元和在单元中分配的多个变量的示例;
图7A是根据图4A的方法划分的示例性设计布局空间;
图7B是根据实施例的非重复模式中的变量的示例;
图7C示出了根据实施例的重复模式中的变量的示例;
图8是使用图4A的方法生成的示例性连续透射图(CTM);
图9是根据实施例的使用图4A的方法的结果确定全芯片的掩模图案的示例性方法的流程图;
图10是根据实施例的示例性计算机系统的框图;
图11是根据实施例的示例性光刻投影设备的示图;
图12是根据实施例的示例性极紫外(EUV)光刻投影设备的示图;
图13是根据实施例的图12中的示例性设备的更详细视图;以及
图14是根据实施例的图12和图13的设备的源收集器模块的更详细视图。
具体实施方式
图1示出了根据本发明实施例的示例性光刻投影设备10A。设备10A包括辐射源12A(其可以为深紫外(DUV)准分子激光源),或者其它类型的源(包括极紫外(EUV)源)。然而,如上所述,在一些其他实施例中,辐射源可以不是光刻投影设备本身的集成部分。设备10A还包括:照射光学器件,该照射光学器件例如限定部分相干性(表示为西伽马),并且可以包括被配置成整形来自源12A的辐射的光学器件14A、16Aa和16Ab;图案形成装置18A;以及透射光学器件16Ac,该透射光学器件16Ac将图案形成装置图案的图像投影到衬底平面22A上。在投影光学器件的光瞳平面处的可调滤波器或孔20A可以限制投射在衬底平面22A上的束角度的范围,其中最大可能角度限定了投影光学器件的数值孔径NA=n sin(Θmax),其中n是衬底和投影光学器件的最后元件之间的介质的折射率,并且Θmax是从投影光学器件发出的仍可以投射到衬底平面22A上的束的最大角度。
在光刻投影设备中,源提供对图案形成装置的照射(即,辐射),并且投影光学器件经由图案形成装置整形所述照射到衬底上。投影光学器件可以包括部件14A、16Aa、16Ab和16Ac中的至少一些。空间图像(AI)是在衬底水平处的辐射强度分布。衬底上的抗蚀剂层被曝光,并且空间图像被转印到抗蚀剂层作为其中的潜在“抗蚀剂图像”(RI)。抗蚀剂图像(RI)可以被定义为抗蚀剂在抗蚀剂层中的溶解度的空间分布。抗蚀剂模型可以用于根据空间图像来计算抗蚀剂图像,其示例可以在公开号为US20090157360的美国专利申请中找到,其公开内容通过引用整体并入本文。抗蚀剂模型与抗蚀剂层的性质(例如,在曝光、PEB和显影期间发生的化学过程的影响性)有关。光刻投影设备的光学特性(例如,源、图案形成装置和投影光学器件的性质)决定了空间图像。由于可以改变在光刻投影设备中使用的图案形成装置,因此可以期望将图案形成装置的光学特性与光刻投影设备的其余部分(至少包括源和投影光学器件)的光学特性分离。
在实施例中,基于如何根据本公开的方法优化设计布局,可以将辅助特征(子分辨率辅助特征和/或可印制分辨率辅助特征)放置到设计布局中。在实施例中,使用基于机器学习的模型来确定图案形成装置图案。机器学习模型可以是神经网络(诸如,卷积神经网络),其可以以特定方式被训练,以快速获得准确的预测,因此能够实现全芯片图案化过程模拟。
可以使用训练数据集来训练神经网络(即,确定神经网络的参数)。训练数据可以包括训练样本集或由训练样本集组成。每个样本可以是一对输入对象(通常是向量,其可以称为特征向量)和期望的输出值(也称为管理信号)。训练算法分析训练数据,并且通过基于训练数据,调整神经网络的参数(例如,一个或多个层的权重)来调整神经网络的行为。训练之后的神经网络可以用于映射新样本。
在确定图案形成装置图案的情况中,特征向量可以包括由图案形成装置包括或形成的设计布局的一个或多个特性(例如,形状、布置、尺寸等)、图案形成装置的一个或多个特性(例如,一个或多个物理性质,诸如尺寸、折射率、材料成分等)、以及在光刻过程中使用的照射的一个或多个特性(例如,波长)。管理信号可以包括图案形成装置图案的一个或多个特性(例如,图案形成装置图案的CD、轮廓等)。
给定形式为{(x1,y1),(x2,y2),…,(xN,yN)}的N个训练样本集,其中xi是第i示例的特征向量,并且yi是第i示例的管理信号,训练算法寻求神经网络g:X→Y,其中X是输入空间并且Y是输出空间。特征向量可以是表示一些对象的数字特征的n维向量。与这些向量相关联的向量空间通常被称为特征空间。有时为了方便,使用记分函数f:X×Y→R来表示g,使得g被定义为返回给出最高记分的y值:
Figure BDA0003688071870000051
使F表示记分函数的空间。
神经网络可以是概率性的,其中g采用条件概率模型的形式g(x)=P(y|x),或者f采用联合概率模型的形式f(x,y)=P(x,y)。
可以使用两种方法来选择f或g:经验风险最小化和结构风险最小化。经验风险最小化寻求最适合训练数据的神经网络。结构风险最小化包括控制偏差/方差权衡的罚函数。例如,在实施例中,罚函数可以基于成本函数,成本函数可以是平方误差、缺陷数量、EPE等。可以修改函数(或函数内的权重),使得方差被减小或最小化。
在两种情况下,假设训练集包括或由独立且相同分布的对(xi,yi)的一个或多个样本组成。在实施例中,为了测量函数拟合训练数据的程度,定义了损失函数
Figure BDA0003688071870000061
对于训练样本(xi,yi),预测值
Figure BDA0003688071870000062
的损失是
Figure BDA0003688071870000063
函数g的风险R(g)被定义为g的预期损失。这可以根据训练数据而被估计为
Figure BDA0003688071870000064
在实施例中,可以训练图案化过程的机器学习模型来预测例如掩模图案的轮廓、图案、CD,和/或在晶片上的抗蚀剂和/或蚀刻图像中的轮廓、CD、边缘放置(例如,边缘定位误差)等。训练的目的是实现对晶片上的印制图案的例如轮廓、空间图像强度斜率、和/或CD等的准确预测。预期设计(例如,待被印制于晶片上的晶片目标布局)通常被定义为光学邻近校正(OPC)前设计布局,该光学邻近校正(OPC)前设计布局可以以标准化数字文件格式(诸如,GDSII或OASIS或其它文件格式)提供。
图2中示出了用于对图案化过程的部分进行建模和/或模拟的示例性流程图。如将理解的,模型可以表示不同的图案化过程,并且不需要包括下面描述的所有模型。源模型1200表示对图案形成装置的照射的光学特性(包括辐射强度分布、带宽和/或相位分布)。源模型1200可以表示包括但不限于以下的照射的光学特性:数值孔径设置、照射西格玛(σ)设置、以及任何特定照射形状(例如离轴辐射形状,诸如环形、四极、偶极等),其中σ(西格玛)是照射器的外部径向范围。
投影光学器件模型1210表示投影光学器件的光学特性(包括由投影光学器件引起的辐射强度分布和/或相位分布的变化)。投影光学器件模型1210可以表示包括以下的投影光学器件的光学特性:像差、失真、一个或多个折射率、一个或多个物理尺寸、一个或多个物理维度等。
图案形成装置/设计布局模型模块1220捕获如何将设计特征布局在图案形成装置的图案中,并且可以包括图案形成装置的详细物理性质的表示,如例如在专利号为7,587,704的美国专利中所描述的,该专利通过引用整体并入本文。在实施例中,图案形成装置/设计布局模型模块1220表示设计布局(例如,与集成电路、存储器、电子装置等的特征相对应的装置设置布局)的光学特性(包括由给定设计布局引起的辐射强度分布和/或相位分布的变化),其是由图案形成装置形成的或在图案形成装置上的特征布置表示。由于可以改变光刻投影设备中使用的图案形成装置,因此期望将图案形成装置的光学性质与光刻投影设备的其余部分(至少包括源和投影光学器件)的光学性质分离。模拟的目的通常是准确地预测例如边缘放置和CD,然后可以与装置设计进行比较。该装置设计通常被定义为可以以标准化数字文件格式(诸如,GDSII或OASIS)提供的预OPC图案形成装置布局。
可以通过源模型1200、投影光学器件模型1210和图案形成装置/设计布局模型1220来模拟空间图像1230。空间图像(AI)是在衬底水平处的辐射强度分布。光刻投影设备的光学特性(例如,照射、图案形成装置和投影光学器件的性质)决定了空间图像。
衬底上的抗蚀剂层通过空间图像进行曝光,并且空间图像被转印到抗蚀剂层作为抗蚀剂层中的潜在“抗蚀剂图像”(RI)。抗蚀剂图像(RI)可以被定义为抗蚀剂在抗蚀剂层中的溶解度的空间分布。可以使用抗蚀剂模型1240从空间图像1230模拟抗蚀剂图像1250。抗蚀剂模型1240可以用于根据空间图像计算抗蚀剂图像,其示例可以在公开号为US20090157360的美国专利申请中找到,其公开内容通过引用整体并入本文。抗蚀剂模型通常描述在抗蚀剂曝光、曝光后烘烤(PEB)和显影期间发生的化学过程的影响,以便预测例如在衬底上形成的抗蚀剂特征的轮廓,因此抗蚀剂特征的轮廓通常仅与抗蚀剂层的这些性质相关(例如,在抗蚀剂曝光、曝光后烘烤和显影期间发生的化学过程的影响)。在实施例中,可以捕获抗蚀剂层的光学性质(例如,折射率、膜厚度、传播和偏振效应)作为投影光学器件模型1210的一部分。
通常,光学器件和抗蚀剂模型之间的连接是抗蚀剂层内的模拟空间图像强度,其从辐射投影到衬底上、在抗蚀剂界面处折射以及在抗蚀剂膜堆叠中多次反射而产生。辐射强度分布(空间图像强度)通过吸收入射能量而被转换成潜在的“抗蚀剂图像”,这可以通过扩散过程和各种负载效应被进一步修改。对于全芯片应用足够快的有效模拟方法通过2维空间图像(和抗蚀剂图像)而接近于真实3维的抗蚀剂堆叠中的强度分布。
在实施例中,抗蚀剂图像可以用作图案转印后过程模型模块1260的输入。图案转印后过程模型模块1260定义了一个或多个抗蚀剂显影后过程(例如,蚀刻、显影等)的执行。
图案化过程的模拟可以例如预测抗蚀剂和/或蚀刻图像中的轮廓、CD、边缘放置(例如,边缘定位误差)等。因此,模拟的目的是准确地预测例如所印制图案的边缘放置、和/或空间图像强度斜率、和/或CD等。可以将这些值与预期设计进行比较,以例如校正图案化过程、识别所预测将发生缺陷的位置等。预期设计通常被定义为预OPC设计布局,该预OPC设计布局可以以标准化数字文件格式(诸如,GDSII或OASIS或其它文件格式)提供。
因此,模型公式描述了整个过程的大部分(如果不是全部的情况下)已知物理和化学,并且每个模型参数理想地对应于不同的物理或化学效果。因此,模型公式可以设置关于模型可以用于模拟整个制造过程的程度的上限。
连续透射掩模(CTM)技术是可以生成掩模图案的灰度指导映射的反向光刻解决方案。基于灰度指导映射,可以提取设计布局的主要征的辅助特征和修改。在实施例中,这种指导映射可以用于生成多边形特征(例如,主要征、辅助特征、SRAF、SERIF等)。使用通过用CTM+技术生成的图案而制造的掩模被称为曲线掩模。在实施例中,CTM+技术涉及到使用水平集方法来确定指导映射,其中水平集阈值辅助确定弯曲多边形特征(例如,主要征、辅助特征、SRAF、SERIF等)。
在公开号为WO 2019179747 A1的PCT专利中讨论了生成曲线掩模的示例方法,该专利通过引用整体并入本文。在示例方法(例如,CTM、CTM+或组合的CTM和CTM+)中,通过针对与光刻相关的性能度量(例如,EPE、旁瓣等)优化灰度图像来生成指导映射。该方法可以用于生成优化曲线掩模图案,该优化曲线掩模图案包括从灰度图像跟踪的曲线多边形。
在另一示例方法中,能够利用嵌块(patch)边界处理实现全芯片能力,如在2018年12月28日提交的申请号为62/785,981的美国专利申请中讨论的,该专利申请通过引用整体并入本文。所讨论的方法确保了不同嵌块结果的无缝转换,而不存在对由嵌块边界引入的对结果的任何劣化。
在另一示例方法中,为了确保掩模可制造性,在2018年11月30日提交的申请号为62/773,475的美国专利申请中讨论了基于图像的MRC方法,该专利申请通过引用整体并入本文。该示例方法有助于调节掩模图案几何形状,使得满足MRC。
为了减少利用前述方法进行全芯片掩模生成的运行时间,可以使用基于机器学习的方法,如公开号为WO 2018215188 A1的PCT专利中所讨论的,该专利通过引用整体并入本文。基于机器学习的方法训练机器学习模型,以使用DCNN框架来预测对应于优化掩模图案的灰度图像。所预测的灰度图像非常接近于“地面真值”,因此仅通过少量的迭代(例如,少于在反向光刻解决方案中所需的迭代),就可以生成最终曲线掩模图案。
前述的CTM和CTM+技术涉及使用基于网格的图案修改的迭代优化。如果目标图案的网格位置改变,则这种基于网格的修改可以生成目标图案的不同CTM。此外,众所周知,反向光刻具有多个解决方案的问题,当通过光刻性能(DOF、PVB等)进行判断时,具有小的或者甚至清楚的差异的解决方法都可能被认为是可接受的解决方案。
图3A-3B示出了引起网格依赖误差的相对于网格的示例图案移位。图3A和3B示出了预测轮廓301/311(虚线)和输入轮廓302/312(例如,设计或期望轮廓)。在图3A中,整个输入轮廓301在网格上,然而在图3B中,输入轮廓311的一部分偏离网格(例如,在角部点处)。这可以引起模型所预测的轮廓302和312之间的差异。在实施例中,例如在光刻可制造性检查(LMC)或OPC应用中,相同的图案可以在网格上的不同位置处重复呈现,并且期望无论图案的位置如何都具有不变的模型预测。然而,模型可能难以实现完美的移位不变性。一些病态模型可能在图案移位中导致大的轮廓差异。
在实施例中,可以如下测量网格依赖(GD)误差。为了测量GD误差,以子像素步骤沿着轮廓的图案和量规一起被移位。例如,对于像素尺寸=14nm,可以每步骤使图案/量规沿着x和/或y方向移位1nm。随着每次移位,测量沿量规的模型预测的CD。然后,模型预测的CD集中的变化指示网格依赖误差。从用户的角度来看,这种预测轮廓的差异可能是不可接受的。对于相同的目标图案,客户、OPC工程师或其他图案化过程相关的用户可能更喜欢使用相同的掩模,以确保更好地控制过程变化。
网格依赖性问题可能延伸到反向光刻的应用,诸如用于生成CTM和CTM+。随着CTM/CTM+生成过程经历迭代优化来生成结果(对应的灰度掩模映射和/或曲线掩模),由于在每个迭代步骤中的模型网格依赖的影响以及由于每个迭代步骤中的可能引起附加的网格依赖的对CTM/CTM+的其他处理,相同目标图案的结果可能在优化期间变得越来越不同。网格依赖问题还可以延伸到基于机器学习的方法的应用,该基于机器学习的方法用于加速例如子分辨率辅助特征(SRAF)生成。例如,由于地面真值数据本身存在不同的解决方案,这使得机器学习模型训练难以根据地面真值结果收敛到具有较低RMS的更好模型。而且,当应用基于机器学习的方法来预测结果时,它们也是网格依赖的来源。在本公开中,讨论了方法(例如,400),以减少CTM/CTM+结果的变化,从而提高一致性。
图4A是根据本公开实施例的确定包括一个或多个目标图案的任何设计布局的一个或多个掩模图案的示例性方法的流程图。例如,可以生成包括与一个或多个目标图案(诸如,存储器电路)相对应的一个或多个掩模图案的掩模布局。进一步地,采用掩模布局的掩模可以被用于将目标图案印制在衬底上。
在实施例中,方法400包括若干步骤或过程,并且当被执行时(例如,经由处理器或计算机硬件系统)生成期望图案(例如,目标图案)的掩模图案。
过程P401涉及将设计布局401的一部分划分为多个单元402,每个单元与目标图案上的给定位置(或所选择位置)具有关系。在实施例中,该关系可以是每个单元满足的对等关系(例如,对称)。在实施例中,该关系可以表示为给定位置和边界之间的函数,例如每个单元的边界到给定位置之间的最小距离。这种关系可以用于确定得出对设计布局401的划分的每个单元的边界。在实施例中,给定位置是目标图案的中心、目标图案的边缘上的点、或者由与目标图案的预定义几何关系(例如,距离、三角函数等)确定的任何点。在实施例中,设计布局401包括多个目标图案(例如,孔、条、线等),并且它们的给定位置可以是目标图案的中心、目标图案的边缘上的点、或者具有相同的与目标图案的几何关系的点。
在实施例中,对设计布局的部分的划分是基于几何划分(例如,通过使用Voronoi方法),其中基于单元的边界与目标图案上的给定位置之间的距离来确定多个单元402中的每个单元的边界。在实施例中,多个单元402中的每个单元的边界被确定为使得,相比于设计布局内的其他位置,每个单元中的点最接近于给定位置。
在实施例中,设计布局与第一坐标系相关联,并且多个单元402与第二坐标系相关联。在实施例中,第一坐标系是指在现有方法(例如,先前提到的专利公开WO 2019179747A1、专利申请PCT/EP2019/081574和PCT/EP2019/079562中的CTM/CTM+方法)中使用的坐标系。
在实施例中,第二坐标系被定义为使得,原点位于单元的基点(例如,来自目标设计的点、或角部)处,第一轴垂直于目标特征的边缘,第二轴垂直于第一轴(或者用于定义坐标系的其他等同选择)。在实施例中,例如,基点可以是放置在目标图案的边缘处的点。在实施例中,设计布局包括多个目标图案;基点可以被放置在每个目标图案的每个边缘或划分的边缘处以定义特定单元的原点;以及可以相对于与目标图案中的每一个相关的原点定义坐标。
图5示出了根据本公开实施例的示例性第一坐标系和示例性第二坐标系。例如,第一坐标系可以表示为设计布局的嵌块510的网格。在实施例中,第一坐标系中的原点可以是嵌块510的角部(例如,左下角),并且x方向和y方向可以沿着网格的水平线和垂直线。如所示出的,通过放置等距离竖直线和等距离水平线来定义网格。当目标图案(例如,T1)被放置在这种网格上时,可以使用网格来描述目标图案。嵌块510的网格类似于关于图3A和3B讨论的网格。
参考图5中的嵌块520,第二坐标系被定义为使得原点O1在目标图案T1的边缘处,x方向垂直于该边缘并且y方向平行于该边缘。在实施例中,原点可以是与目标图案T1的边缘或划分的边缘相关联的评估点或几何点。在实施例中,评估点是用于确定物理性质(诸如,CD、EPE等)的预期测量点(例如,放置于目标图案的边缘处)。例如,原点O1可以是位于目标图案的边缘的中心处的EPE评估点。因此,可以参考原点(例如,划分的边缘的中心点)来描述任何变量(例如,图5中的单元C1内的点表示的变量)(例如,坐标、距离等),从而针对任何类似的目标图案(例如,T2)提供一直的参考点。在实施例中,每个点对应于离散位置(例如,像素位置),该离散位置是变量。在实施例中,每个点对应于与每个离散位置相关联的值(例如,该位置处的像素的强度值),这样的值可以是变量。另一方面,使用嵌块510的网格不会提供这种一致性。相反,嵌块510的网格(或者图3A和3B的网格)会在评估和所得的OPC图案中引入网格依赖误差。
返回参考图4A,过程P403涉及在多个单元402中的特定单元内分配多个变量403,该特定单元包括目标图案或目标图案的一部分。在实施例中,所述分配还包括为多个变量403分配初始值。如图5所示,单元C1包括目标图案T1的一部分。多个变量403可以被称为CTM变量,CTM变量的值可以基于(例如,过程模拟中所使用的)性能度量被修改(例如,在图案化过程模拟、CTM/CTM+模拟等期间),以实现期望的性能。在不脱离本公开的范围的情况下,可以以任何合适的方式分配多个变量的初始值,例如用户定义的值、随机值、或为CTM/CTM+模拟的更快收敛而确定的其他初始值。在实施例中,多个变量403对应于多个像素,并且多个变量中的给定变量的值是与多个像素中的给定像素或像素组合相关联的强度。像素的强度值可以指示特征轮廓(例如,OPC特征(诸如SRAF))的边缘。例如,如果以等级0至1来表示强度值,那么大于或等于0.75的强度值指示边缘像素,而小于0.75的强度值可以指示非边缘像素。换言之,随着强度值改变,边缘可以出现或消失,最终产生待在掩模图案中使用的图案(例如,SRAF)。
在实施例中,多个变量403的值在第二坐标系中,并且可转换为第一坐标系中的值。参考本文中的图5来讨论示例性转换。
过程P405包括:基于多个变量403的值来确定目标图案的掩模图案405,使得利用该掩模图案的图案化过程的性能度量在期望的范围内。在实施例中,确定掩模图案405包括:使用目标图案和多个变量403来模拟图案化过程以确定多个变量403的值,使得图案化过程的性能度量在期望的性能范围内;以及,基于所确定的多个变量403的值来生成目标图案的掩模图案405。
在实施例中,确定掩模图案涉及迭代过程。图4B示出了包括以下详细讨论的子过程(诸如,P411-P419)的过程P405的示例流程图。
过程P411涉及调整特定单元的多个变量403中的一个或多个变量的值。在实施例中,调整一个或多个变量的值涉及调整特定单元内的强度。调整表示改变与目标图案相关联的特定单元内的一个或多个变量的值。例如,参考图5,变量集V1的值被改变(例如,增加或减小)。在实施例中,(例如,在集V1中的)一个或多个变量的值可以是在0至1之间、-1至1之间、0至100之间、100至1000之间、或其他实数值或整数值范围之间的任何值。例如,相比于远离目标图案T1的变量,接近目标图案T1的边缘的变量可以改变为相对较高的值。在第一迭代中,变量集的初始值可以是相同的,并且在后续迭代中,在边缘处的变量值可以被增加,并且远离边缘的值可以被减小。在进一步的后续迭代中,可以根据先前迭代中的值来增大或减小变量值。在实施例中,与性能度量(例如,CD、EPE)相关联的梯度映射可以用作改变变量的值的指导。例如,梯度映射可以是EPE的关于变量V1的一阶导数的映射。在实施例中,针对所有单元(例如,图4中的单元C1-C4)重复过程P411。
过程P413涉及将一或多个变量的经调整的值转换到设计布局的第一坐标系。例如,可以将单元(例如,图5中的C1、C2、C3、C4)中的一个或多个变量(例如,V1)的经调整的值可以掩模图像或GDS格式转换到嵌块的第一坐标系,使得可以评估变量的经调整的值的影响。例如,可以通过模拟例如图2所讨论的图案化过程来评估影响。在实施例中,可以使用(例如,在先前提到的专利申请WO 2019179747 A1、62/785981和62/773475中所讨论的)CTM或CTM+模拟过程流程来评估影响。因此,将经调整的值从第二坐标系转换到第一坐标系允许评估它们对性能度量的影响,使得可以实现期望的性能。
在实施例中,转换一个或多个变量的经调整的值涉及,建立设计布局的第一坐标系与多个单元402的第二坐标系之间的相关性。例如,参考图5,建立了嵌块520的单元(例如,C1、C2、C3等)与嵌块510的网格之间的相关性。在实施例中,相关性可以是可以将第一坐标系中所描述的目标图案的几何形状映射到第二坐标系中所描述的几何形状的数学函数。基于所述相关性,可以将一个或多个变量的经调整的值从第二坐标系转换到设计布局的第一坐标系。然后,通过使用一个或多个变量的经转换的值,可以执行模拟图案化过程以确定性能度量。
在实施例中,多个变量403与多个像素相关,并且多个变量中的给定变量的值是与多个像素中的给定像素或像素组合相关联的强度。
图5示出了在第一坐标系和第二坐标系之间转换值的示例。例如,第一坐标系是指用于描述设计布局的坐标系。在实施例中,第一坐标系相对于预定网格(诸如,设计布局的嵌块510的网格)描述目标图案。通常,嵌块510的网格包括等距竖直线和等距水平线。然后,可以相对于网格描述目标图案(例如,T1和T2)的位置(例如,坐标、相对于相邻特征的相对位置等)和/或几何形状(例如,形状、尺寸等)。在实施例中,目标图案T1(或T2)可以完全占据、部分占据、或可以不占据网格的单元。在用于确定OPC的CTM/CTM+的现有方法中,在确定用于生成掩模图案的光学邻近校正(OPC)时,目标图案相对于网格或第一坐标系的位置可能不被期望是关键性的。例如,如参考图3A和图3B所讨论的,可能在OPC中引入网格依赖错误。这种网格依赖错误导致了不一致的掩模图案。例如,掩模图案可能具有与多个相同目标图案(例如,40nm的接触孔)相对应的不同OPC。
另一方面,根据本公开的实施例,设计布局嵌块被划分,例如,如嵌块520中所示的。嵌块520包括目标特征T1,并且嵌块520的空间被分成单元C1、C2、C3、C4等。在示例中,可以通过应用Voronoi方法来划分嵌块520,从而获得围绕目标图案T1的单元C1、C2、C3、C4。这些Voronoi单元在与510的第一坐标系不同的坐标系中。因此,在嵌块520的特定单元(例如,C1)内定义的任何变量(例如,变量集V1和V2)将具有相对于嵌块510的网格的不同定位。在嵌块520中,变量集V1由点表示,其中每个点表示不同的变量。在实施例中,一个或多个点像素和值可以是像素强度。
因此,在本示例中,将变量(诸如,V1和V2)的值转换成与嵌块510的网格相对应的值。在实施例中,变量集V1是多个像素,并且多个变量中的给定变量的值是强度。然后,通过对与嵌块520中的目标图案T1相关联的像素的强度求和或加权求和,可以将变量V1的值转换到第一坐标系(例如,510的坐标系)。类似地,例如通过取数学函数的反函数,将第一坐标系中的值转换到第二坐标系。因此,当图案化过程模拟确定了目标图案T1的边缘处的OPC校正或目标图案T1周围的辅助特征时,可以基于变量V1的值来转换OPC校正,反之亦然。
返回参考图4B,过程P415涉及:经由使用一个或多个变量的转换值模拟图案化过程,来确定图案化过程的性能度量。在实施例中,性能度量包括:在目标图案与由模拟图案化过程所生成的模拟图案之间的边缘定位误差、模拟图案的临界尺寸(CD)、和/或模拟图案与目标图案之间的CD误差。在实施例中,性能度量可以是掩模特征相比于目标图案的额外印制(例如,辅助特征)和不足印制(例如,不完整特征)的数量。过程P417涉及确定性能度量是否在期望的性能范围内。过程P419涉及响应于性能度量在期望的性能范围内,基于经调整的值来确定掩模图案405。在实施例中,响应于性能度量不在期望的性能范围,或者迭代步骤没有达到期望的设置值(例如,100),重复步骤P411-P417,直到实现期望的性能度量或达到期望的迭代次数(例如,100)为止。图8示出了根据本公开的实施例的使用示例性方法400生成的示例CTM映射。
在实施例中,生成目标图案的掩模图案405涉及将多个变量的值转换成像素化图像,该像素化图像是灰度掩模图像(例如,CTM)的数学表示,或者该像素化图像生成曲线掩模图案作为水平集函数(例如,CTM+)。
在实施例中,方法400涉及将使用目标图案确定的多个变量的值应用到设计布局中的目标图案的其他实例;以及基于所应用到目标图案的实例的值,确定掩模图案405,使得以一致的方式修改目标图案的所有实例来生成掩模图案。例如,参考图5,与目标特征T1相关联的变量V1的值用于生成灰度图像。在实施例中,目标特征T1可能出现在设计布局中的多个位置处,然后可以将相同值的V1用于多个图案,从而针对相同目标图案生成具有一致的OPC校正的掩模图案。
在实施例中,方法400进一步包括:确定多个单元之间的或在特定单元内的对称部分;以及对多个单元中的每个单元的对称部分分配相同的变量集。在实施例中,可以基于多个单元402之间的或特定单元内的几何相似性来确定对称部分。例如,如果图5中的目标图案是重复触点阵列,则像C1、C2、C3和C4的所有单元都是对称的,因此可以由相同的变量集表示。另外,如果每个单元是翻转/镜像对称的,则单元C1的部分(三角形部分)中的变量V1可以表示其他部分,例如具有变量V2的镜像对称部分(例如,另一三角形部分)。
采用这种基于对称的变量分配可以有利地将变量集的尺寸减小几个数量级。例如,如果存在全部彼此对称的1000个单元实例,并且在每个单元中存在100个变量,则通过使用基于对称的变量分配,变量的数量被减少1000倍。因此,图案化过程的模拟基于这种减少的变量数量,从而相比于使用变量全集,可以使图案化过程模拟或OPC确定快得多。另外,可以在遍及设计布局(例如,1000个实例)的许多目标特征处使用与这种减少的变量(例如,100个变量)相关的OPC的结果,从而在采用所确定的OPC的掩模图案中实现一致性。
图6示出了根据本公开实施例的空间划分和基于对称的变量分配的不同示例。在图6中,设计图案的嵌块包括目标特征T1、T2、T3、T4和T5,所有这些都是触点阵列图案无限重复中的一部分。基于待被划分的空间中的目标图案之间的节距重复性,来将嵌块划分成多个单元,并且示出了特定单元600(例如,覆盖或部分覆盖目标特征T1-T5的正方形)。在单元600内,点表示分配给单元的变量。在实施例中,对称部分(例如,三角形部分)被分配有相同的变量集610。例如,单元600包括16个对称部分(例如,由三角形表示)。在本示例中,在三角形部分(对称部分的示例)内分配变量集610,并且这些相同的变量610可以被应用于单元600的其余部分。在实施例中,具有变量610的对称部分可以被称为重复模式,由于可以重复变量610的值来覆盖触点阵列图案无限重复的整个空间。在实施例中,作为基于目标图案几何形状的几何划分的替代方案,可以将该方法用于具有给定重复节距的阵列图案(可以由无限阵列表示)的中心。
在实施例中,方法400进一步涉及使用掩模图案405作为初始图案来执行光学邻近校正(OPC)过程,其中OPC过程涉及修改掩模图案来确定经光学邻近校正的掩模图案。在实施例中,掩模图案被修改成,使得提高图案化过程的性能度量。例如,提高性能度量可以最小化目标图案和由图案化过程的模拟生成的模拟图案之间的边缘定位误差、最小化掩模特征相比于目标图案的额外印制和不足印制的数量、最小化模拟图案和目标图案之间的CD误差、或其组合。将理解的是,在不脱离本公开的范围的情况下,可以使用任何其他提高性能度量的合适OPC过程或机制。
图7A-7C示出了重复模式(例如,对称部分)和非重复模式(例如,不使用对称部分)的图形比较。图7A示出了示例设计布局710,其被划分成多个单元712(例如,使用Voronoi方法的Voronoi单元)。图7B示出了分配给多个单元712中的每个单元的多个变量722(例如,阴影区域)。由于在不考虑对称性的情况下,每个单元中的多个变量被独立地处理,因此变量的数量可能非常大。另一方面,如根据本公开的实施例的图7C所示,当识别对称部分时,则变量的数量被显著减少。例如,需要调整732、733、734、735和736处的变量。然后,与732-736处的变量相关联的结果可以被应用于不同单元中的它们各自的对称部分。因此,非重复模式的阴影部分(在7B中)与重复模式的阴影部分(在7C中)的比较显示了在尺寸或变量数量上的明显减少。这显著地提高了掩模图案的一致性。
图9是根据本公开的实施例的使用方法400的结果的示例性全芯片OPC模拟过程的流程图。例如,与单元的多个变量403相关联的结果(例如,经调整的值)可以通过相应目标图案的几何形状(例如,具有特定的孔、具有特定CD的线、相邻特征之间的距离等)分类,并且被存储作为数据库。然后,可以在确定掩模图案的OPC期间加载该数据库。然后,可以将数据库中所存储的结果应用于在几何形状上匹配的目标图案。所存储的结果可以直接应用或进行微小调整,而不需要重新运行冗长的模拟过程。
在实施例中,与目标图案相关联的多个单元相关的结果(例如,图5的T1和T2)也可以用于训练机器学习模型。如前所述,为了减少使用CTM/CTM+过程的全芯片掩模图案生成的运行时间,开发了基于使用深度卷积神经网络(DCNN)的机器学习(例如,在申请号为16/606,791的美国专利申请中所讨论的)的方法,以预测与非常接近于“地面真实”的优化掩模图案相对应的灰度图像。然而,使用OPC工具可能不满足掩模一致性要求。为了提高CTM和CTM+的一致性,可以采用方法400来训练机器学习模型,因为它可以能够实现用于全芯片应用的新方式。图9的训练方法900有利地具有在更短的运行时间内以更高的一致性生成结果的能力,并且与曲线嵌块边界处理和机器学习方法的现有方法(例如,Newron Freeform)完全兼容。图9的方法为处理高度重复布局(像存储器图案)提供了很大的益处,并且也有益于随机逻辑布局。该方法还适用于CTM方法,可以用作例如基于机器学习的SRAF方法的补充。
图9的方法可以分成两个阶段:(i)使用方法400生成“结果库”的数据生成阶段,以及(ii)将“结果库”与机器学习模型一起应用于全设计布局或目标布局来生成曲线模型的全芯片应用阶段。这些阶段详细讨论如下。
在过程900中,可以使用目标图案901中的所选择片段来生成初始CTM/CTM+映射903,以用于CTM/CTM+生成或优化过程905。在实施例中,可以使用优化过程905来优化初始映射903,以针对CTM生成优化图像907,以及针对CTM+的优化图像phi(φ)907(例如,来自水平集输出的多边形)和曲线掩模图案907。可以使用该结果907来训练机器学习模型909,如在申请号为16/606,791的美国专利申请中讨论的,该专利申请通过引用整体并入本文。
在实施例中,优化过程905可以涉及基于反向光刻(例如,诸如在PCT专利公开WO2019179747 A1中的CTM)的模拟、基于水平集的方法(例如,CTM+)模拟、基于机器学习模型的CTM生成(例如,在美国专利申请16/606,791中)、或其组合。在实施例中,可以将方法400与CTM过程905一体化,如在图4A和4B中所讨论的。因此,可以将所选择的目标图案901划分成多个单元,其中每个单元可以包括多个CTM变量。然后,可以根据CTM优化过程905调整CTM变量的值(例如,优化以提高诸如EPE的性能度量)。每个单元(或单元的对称部分)的这种CTM变量的值可以与所选择的目标图案901相关联,并且被存储在结果库906中。
在实施例中,结果库906包括用于所选择的目标图案的优化CTM变量值。在实施例中,设计布局可以包括其他目标图案。在实施例中,所选择目标图案可以是设计布局的在不同位置处频繁出现的图案、关键图案、热点图案等。在实施例中,可以执行覆盖分析,来从设计布局中选择代表整个设计布局或覆盖例如多于90%的图案的几个图案。例如,设计布局可以包括数百万或甚至数十亿个图案,并且可以仅选择100000、10000或1000个图案作为目标图案。因此,对于每个所选择图案(例如,1000个图案),结果库可以包括单元(例如,对应于100个图案的1000个单元)和相应的变量值。例如,每个所选择的目标图案901可以与唯一的单元标识符相关联,以从结果库906定位对应的结果。
在方法900的第二步骤中,结果库906可以用于全芯片布局911并且生成用于全芯片的掩模图案。在实施例中,全芯片布局包括多个目标图案,例如,包括在第一步骤中为其生成结果库906的一个或多个所选择的目标图案901。
在第二步骤中,全芯片布局911可以以与第一步骤中相同的方式被划分成多个单元。然后,在掩模图案生成期间,对于全芯片布局911的与所选择的目标图案901相对应的一个或多个单元,可以从结果库906加载结果。对于结果库906中的结果不可用的其他图案,可以执行包括CTM生成903和CTM优化905的单独的CTM过程。可以将来自CTM优化905的结果和结果库906组合在一起,来生成与完整芯片布局911相对应的最终掩模图案920。这种掩模图案920可以以更少的运行时间成本来生成(因为不需要优化),并且在边界处理中更容易(因为结果更一致)。
对于包括高度重复图案的全芯片布局(例如,存储器布局),可以生成所有可能单元的结果,因此在生成全芯片掩模图案时不需要附加的优化。
然而,对于具有更多的图案的变量的全芯片布局(通常像随机逻辑布局),不太可能在合理的计算成本内产生全芯片布局中的所有单元的结果。在这种情况下,可以通过选择例如相对关键、重复的或典型的布局来生成结果库。然后,对于包括在结果库(例如,906)中的单元,可以在例如CTM/CTM+优化或生成过程期间直接加载结果。对于其他单元,可以使用例如机器学习模型预测来初始化CTM/CTM+生成过程903,从而允许对优化905进行一些迭代来产生良好的光刻性能。因此,实施方法400的方法900与现有的基于深度学习的CTM或CTM+生成方法兼容。
在实施例中,本文讨论的方法可以作为其上记录有指令的计算机程序产品或非暂时性计算机可读介质来提供,该指令在由计算机执行时实施如上所述的方法400和900的操作。
例如,图10中的示例计算机系统100包括非暂时性计算机可读介质(例如,存储器),其包括指令,当通过一或多个处理器(例如,104)执行该指令时,所述指令引起的操作包括:将包括目标图案的设计布局的一部分划分成多个单元,每个单元与目标图案上的给定位置具有关系;在多个单元中的特定单元内分配多个变量,该特定单元包括目标图案或目标图案的一部分;以及,基于多个变量的值确定目标图案的掩模图案,使得使用该掩模图案的图案化过程的性能度量在期望的性能范围内。在实施例中,确定掩模图案包括:使用目标图案和多个变量模拟用于确定多个变量的值的图案化过程,使得图案化过程的性能度量在期望的性能范围内;以及基于所确定的多个变量的值来生成目标图案的掩模图案。
在实施例中,如前所述,划分设计布局的部分是基于几何图形划分,例如,Voronoi方法,其中基于到目标图案上的给定位置的距离来确定多个单元中的每个单元的边界。
在实施例中,如前所述,设计布局与第一坐标系相关联,并且多个单元与第二坐标系相关联,其中多个变量的值在第二坐标系中表示,并且可转换为在第一坐标系中表示的值。在实施例中,第二坐标系被定义为使得原点在每个单元的基点处,第一轴垂直于边缘,第二轴垂直于第一轴。
在实施例中,如前所述,掩模图案的确定是迭代过程,包括:(a)调整特定单元的多个变量中的一个或多个变量的值;(b)将一个或多个变量的经调整的值转换到设计布局的第一坐标系;(c)通过使用一个或多个变量的经转换的值来模拟图案化过程,确定图案化过程的性能度量;(d)确定性能度量是否在期望的性能范围内;(e)响应于性能度量在期望的性能范围内,基于经调整的值确定掩模图案;以及(f)响应于性能度量不是期望的性能范围内,执行(a)至(e)。
在实施例中,如前所述,转换一个或多个变量的经调整的值包括:建立设计布局的第一坐标系和多个单元的第二坐标系之间的相关性;基于该相关性将一个或多个变量的经调整的值从第二坐标系转换到设计布局的第一坐标系;使用一个或多个变量的经转换的值模拟图案化过程。
在实施例中,如前所述,多个变量对应于多个像素,并且多个变量中的给定变量的值是与多个像素中的给定像素或像素组合相关联的强度。
在实施例中,非暂时性计算机可读介质还存储可执行指令,所述可执行指令引起的操作包括:将使用目标图案确定的多个变量的值应用于设计布局中的目标图案的其他实例;以及基于应用于目标图案的实例的值来确定掩模图案,使得以一致的方式修改目标图案的所有实例来生成掩模图案。
在实施例中,非暂时性计算机可读介质还存储可执行指令,所述可执行指令引起的操作包括:确定多个单元之间或特定单元内的对称部分;以及,对多个单元中的每个单元的对称部分分配相同的变量集。
在实施例中,非暂时性计算机可读介质还存储可执行指令,所述可执行指令引起的操作包括:基于对应的目标图案的几何形状对多个单元的多个变量的值进行分类;将多个变量的值存储在结果库中;以及,基于结果库确定与全芯片布局对应的掩模图案。
在实施例中,如前所述,确定全芯片布局的掩模图案包括:通过将全芯片布局与存储在结果库中的目标图案进行几何图形上的匹配,来识别全芯片布局的图案;提取与所识别图案相对应的多个变量的值;以及,应用所提取的值来确定全芯片布局的掩模图案。
图10是示出根据本公开实施例的被配置成辅助实施本文所公开的方法和流程的示例性计算机系统100的框图。计算机系统100包括用于通信信息的总线102或其它通信机构,和与总线102耦接以用于处理信息的处理器104(或多个处理器104和105)。计算机系统100也包括耦接至总线102以用于储存待由处理器104执行的指令和信息的主存储器106,诸如随机存取存储器(RAM)或其它动态储存装置。主存储器106也可以用于在待由处理器104执行的指令的执行期间储存临时变量或其它中间信息。计算机系统100还包括耦接至总线102以用于储存用于处理器104的静态信息和指令的只读存储器(ROM)108或其它静态储存装置。设置诸如磁盘或光盘的储存装置110,并且将其耦接至总线102以用于储存信息和指令。
计算机系统100可以经由总线102耦接至用于向计算机用户显示信息的显示器112,诸如阴极射线管(CRT)或平板显示器或触控面板显示器。包括字母数字按键和其它按键的输入装置114被耦接至总线102以用于将信息和命令选择通信至处理器104。另一类型的用户输入装置是用于将方向信息和命令选择通信至处理器104且用于控制显示器112上的光标移动的光标控制件116,诸如鼠标、轨迹球或光标方向按键。这种输入装置通常具有在两个轴线(即第一轴线(例如,x)和第二轴线(例如,y))上的两个自由度,其允许装置指定在平面中的位置。触控面板(屏幕)显示器也可以用作输入装置。
根据一个实施例,可以由计算机系统100响应于处理器104执行主存储器106中所包含的一个或更多个指令的一个或更多个序列而执行过程的部分。可以将这些指令从诸如储存装置110的另一计算机可读介质读取至主存储器106中。主存储器106中所包含的指令序列的执行使处理器104执行本文中所描述的过程步骤。呈多处理布置的一个或更多个处理器也可以被采用来执行主存储器106中所包含的指令序列。在替代实施例中,可代替或结合软件指令而使用硬连线电路。因此,本文中的描述不限于硬件电路与软件的任何特定组合。
如本文中所使用的术语“计算机可读介质”是指参与将指令提供至处理器104以供执行的任何介质。这种介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如储存装置110。易失性介质包括动态存储器,诸如主存储器106。传输介质包括同轴缆线、铜线和光纤,包括了包含总线102的电线。传输介质也可以采取声波或光波的形式,诸如在射频(RF)和红外线(IR)数据通信期间所产生的声波或光波。计算机可读介质的常见形式包括例如软盘、软性磁盘、硬盘、磁带、任何其它磁性介质、CD-ROM、DVD、任何其它光学介质、打孔卡、纸带、具有孔图案的任何其它实体介质、RAM、PROM和EPROM、FLASH-EPROM、任何其它存储器芯片或卡匣、如下文所描述的载波,或可供计算机读取的任何其它介质。
各种形式的计算机可读介质可被参与到将一个或更多个指令的一个或更多个序列携载至处理器104以供执行。例如,最初可以将指令承载在远程计算机的磁盘上。远程计算机可以将指令加载至其动态存储器中,并且使用调制解调器通过电话线发送指令。在计算机系统100本地的调制解调器可以接收电话线上的数据,并且使用红外线传输器将数据转换成红外线信号。耦接至总线102的红外线检测器可接收红外线信号中所承载的数据且将数据放置在总线102上。总线102将数据承载至主存储器106,处理器104从该主存储器获取和执行指令。由主存储器106所接收的指令可以可选地在由处理器104执行之前或之后被储存在储存装置110上。
计算机系统100也期望地包括耦接至总线102的通信接口118。通信接口118提供与连接至局域网络122的网络链路120的双向数据通信耦接。例如,通信接口118可以是综合业务数字网(ISDN)卡或调制解调器,以提供与对应类型的电话线的数据通信连接。作为另一示例,通信接口118可以是局域网络(LAN)卡以提供与兼容LAN的数据通信连接。也可以实施无线链路。在任何这种实施中,通信接口118发送和接收承载表示各种类型的信息的数字数据串流的电信号、电磁信号或光学信号。
网络链路120通常通过一个或更多个网络而将数据通信提供至其它数据装置。例如,网络链路120可以通过局域网络122向主机计算机124或向由因特网服务提供商(ISP)126操作的数据装备提供连接。ISP 126继而通过全球封包数据通信网络(现通常被称作“因特网”128)而提供数据通信服务。局域网络122和因特网128两者都使用承载数字数据串流的电信号、电磁信号或光学信号。经过各种网络的信号和在网络链路120上且经过通信接口118的信号(该信号将数字数据承载至计算机系统100和从计算机系统承载数字数据)是输送信息的载波的示例形式。
计算机系统100可以经过网络、网络链路120和通信接口118发送消息和接收包括过程代码的数据。在因特网示例中,服务器1630可能经过因特网128、ISP 126、局域网络122和通信接口118而传输用于应用程序的所请求的代码。例如,一个这样的下载应用程序可以提供对实施例的照射优化。所接收的代码可以在接收时由处理器104执行,和/或被储存在储存装置110、或其它非易失性储存装置中以供稍后执行。以这种方式,计算机系统100可以获得呈载波形式的应用程序代码。
图11描绘了根据本公的实施例的结合本文描述的技术的示例性光刻投影设备。该设备包括:
-照射系统IL,用于调节辐射束B。在该特定情况下,照射系统还包括辐射源SO;
-第一目标台(例如,图案形成装置台)MT,其设置有图案形成装置保持件来保持图案形成装置MA(例如,掩模版),并且连接到第一定位器以相对于项目PS准确地定位图案形成装置;
-第二目标台(衬底台)WT,其设置有衬底保持件来保持衬底W(例如,涂覆抗蚀剂的硅晶片),并且连接到第二定位器以相对于项目PS准确地定位衬底;
-投影系统(“透镜”)PS(例如,折射光学系统、反射光学系统或折反射光学系统),用于将图案形成装置MA的所照射部分成像到衬底W的目标部分C(例如,包括一个或多个管芯)上。
如本文中所述,该设备是透射型的(即,具有透射式图案形成装置)。然而,通常,该设备也可以是反射型的,例如(具有反射式图案形成装置)。该设备可以采用不同类型的图案形成装置来形成典型掩模;示例包括可编程反射镜阵列或LCD矩阵。
源SO(例如,汞灯或准分子激光器、LPP(激光产生的等离子体)EUV源)产生辐射束。例如,该束直接地或在穿过调节装置(诸如,束扩展器Ex)之后被供给到照射系统(照射器)IL。照射器IL可以包括调节装置AD,该调节装置AD用于设置束中的强度分布的外部和/或内部径向范围(通常分别称为σ-外部和σ-内部)。另外,照射器IL还通常包括各种其它部件,诸如积分器IN和聚光器CO。以这种方式,投射在图案形成装置MA上的束B在其横截面上具有期望的均一性和强度分布。
关于图11应当注意的是,源SO可以是光刻投影设备的一体化部分,例如在光刻投影设备的外壳内(例如,当源SO是汞灯时通常是这种情况),但是源SO也可以与光刻投影设备距离较远,将源SO所产生的辐射束引入到设备中(例如,借助于合适的引导镜);后种情况通常是当源SO是准分子激光器(例如,基于KrF、ArF或F2激光)时的情况。
束PB随后与保持在图案形成装置台MT上的图案形成装置MA相交。在穿过图案形成装置MA之后,束B穿过透镜PL,透镜PL将束B聚焦到衬底W的目标部分C上。借助于第二定位装置(和干涉测量装置IF),衬底台WT可以准确地移动,例如以便将不同的目标部分C定位在束PB的路径中。类似地,例如在从图案形成装置库机械检索图案形成装置MA之后,或者在扫描期间,第一定位装置可以用于相对于束B的路径准确地定位图案形成装置MA。通常,目标台MT、WT的移动将借助于长冲程模块(粗定位)和短冲程模块(精定位)实现,这没有在图11中被明确描述。然而,在步进器的情况下(与步进扫描工具相反),图案形成装置台MT可以仅与短冲程致动器连接,或者可以是固定的。
所描绘的工具可以以两种不同的模式使用:
-在步进模式中,图案形成装置台MT保持基本静止,并且整个图案形成装置图像一次投影(即,单次“闪光”)到目标部分C上。然后,沿x和/或y方向使衬底台WT移位,使得不同的目标部分C可以被束PB照射;
-在扫描模式中,基本上应用相同的情况,除了给定的目标部分C不是以单次“闪光”曝光。相反,图案形成装置台MT可以以速度v在给定方向(所谓的“扫描方向”,例如y方向)上移动,使得投影束B在图案形成装置图像上扫描;同时地,衬底台WT同时以速度V=Mv在相同或相反方向上移动,其中M是透镜PL的放大率(通常,M=1/4或1/5)。在这种方式中,可以曝光相对较大的目标部分C而不必牺牲分辨率。
图12描绘了根据本公开实施例的另一示例性光刻投影设备1000。设备1000包括:
-源收集器模块SO,用于提供辐射。
-照射系统(照射器)IL,被配置成调节来自源收集器模块SO的辐射束B(例如,EUV辐射)。
-支撑结构(例如,掩模台)MT,被构造成支撑图案形成装置(例如,掩模或掩模版)MA,并且连接到被配置成准确地定位图案形成装置的第一定位器PM;
-衬底台(例如,晶片台)WT,被构造成保持衬底(例如,涂覆有抗蚀剂的晶片)W并且连接到被配置成准确地定位衬底的第二定位器PW;以及
-投影系统(例如,折射式投影系统)PS,被配置成将通过图案形成装置而赋予到辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个管芯)。
如本文中描述的,设备1000是反射型(例如,采用反射式掩模)。应当注意,因为大多数材料在EUV波长范围下具有吸收性,所以图案形成装置可以具有包括例如钼和硅的多层堆叠的多层反射器。在一个示例中,多堆叠反射器具有40层的钼和硅对,其中每层的厚度是四分之一波长。利用X射线光刻可以产生甚至更小的波长。由于大多数材料在EUV和x射线波长下具有吸收性,因此图案形成装置形貌上的一片薄的经图案化吸收材料(例如,在多层反射器顶部上的TaN吸收体)限定了将印制(正性抗蚀剂)或不印制(负性抗蚀剂)特征的位置。
参考图12,照射器IL从源收集器模块SO接收极紫外辐射束。产生EUV辐射的方法包括但不必须限于,将材料转换成具有至少一种元素(例如,氙、锂或锡)的等离子体状态,其中一个或多个发射线在EUV范围内。在一个这种方法中,等离子体(通常称为激光产生等离子体(“LPP”))可以通过用激光束照射燃料(诸如,具有线发射元件的材料的液滴、流或簇)来产生。源收集器模块SO可以是包括激光器(图12中未示出)的EUV辐射系统的一部分,以用于提供激发燃料的激光束。所得到的等离子体发射输出辐射(例如,EUV辐射),该输出辐射通过使用设置在源收集器模块中的辐射收集器进行收集。例如,当将CO2激光器用于提供用于燃料激发的激光束时,激光器和源收集器模块可以是单独的实体。
在这种情况下,激光器不被认为形成了光刻设备的一部分,并且辐射束借助于束传递系统而从激光器传递到源收集器模块,该束传递系统包括例如合适的定向镜和/或扩束器。在其他情况下,例如当辐射源是放电产生等离子体EUV生成器(通常称为DPP辐射源)时,辐射源可以是源收集器模块的一体化部分。
照射器IL可以包括用于调整辐射束的角强度分布的调整器。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别被称为σ-外部和σ-内部)。另外,照射器IL可以包括各个其它部件,诸如琢面场反射镜装置和琢面光瞳反射镜装置。照射器可以被用于调节辐射束,以在其横截面中具有期望的均一性和强度分布。
辐射束B入射到被保持在支撑结构(例如,掩模台)MT上的图案形成装置(例如,掩模)MA上,并且通过图案形成装置MA而被图案化。在从图案形成装置(例如,掩模)MA被反射之后,辐射束B穿过投影系统PS,该投影系统PS将束聚焦至衬底W的目标部分C上。借助于第二定位器PW和位置传感器PS2(例如,干涉量测装置、线性编码器、或电容性传感器),可以准确地移动衬底台WT(例如,以便将不同的目标部分C定位在辐射束B的路径中)。类似地,可以使用第一定位器PM和另一位置传感器PS1来相对于辐射束B的路径准确地定位图案形成装置(例如,掩模)MA。可以使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如,掩模)MA和衬底W。
所描述的设备1000可以以下面模式中的至少一个来使用:
1.在步进模式中,在将赋予至辐射束的整个图案一次性投影至目标部分C上时,使支撑结构(例如,掩模台)MT和衬底台WT保持基本上静止(即,单次静态曝光)。接着使衬底台WT在X方向和/或Y方向上移位,使得可以曝光不同的目标部分C。
2.在扫描模式中,在将赋予至辐射束的图案投影至目标部分C上时,同步地扫描支撑结构(例如,掩模台)MT和衬底台WT(即,单次动态曝光)。可以通过投影系统PS的放大率(缩小率)和图像反转性质来确定衬底台WT相对于支撑结构(例如,掩模台)MT的速度和方向。
3.在另一模式中,在将赋予至辐射束的图案投影至目标部分C上时,使支撑结构(例如,掩模台)MT保持基本上静止,从而保持可编程图案形成装置,并且移动或扫描衬底台WT。在这种模式下,通常采用脉冲辐射源,并且在衬底台WT的每次移动之后或在扫描期间的连续辐射脉冲之间,根据需要来更新可编程图案形成装置。这种操作模式可以被容易地应用于利用可编程图案形成装置(诸如,如上文提及的可编程反射镜阵列类型)的无掩模光刻术。
图13更详细地示出了设备100,包括源收集器模块SO、照射系统IL和投影系统PS。源收集器模块SO被构造和布置成使得可以在源收集器模块SO的封闭结构20中维持真空环境。EUV辐射发射等离子体210可以由放电产生等离子体辐射源形成。EUV辐射可以由气体或蒸气(例如Xe气、Li蒸气、或Sn蒸气)产生,其中形成非常热的等离子体210来发射在电磁光谱的EUV范围内的辐射。例如,非常热的等离子体210是由引起至少部分电离的等离子体的放电形成。可能需要例如10Pa分压的Xe、Li、Sn蒸气或任何其它合适气体或蒸气来有效地产生辐射。在实施例中,提供被激发的锡(Sn)的等离子体来产生EUV辐射。
由热等离子体210发射的辐射从源室211经由可选的气体屏障或污染物陷阱230(在一些情况下也称为污染物屏障或翼片阱)而进入收集器室212,气体屏障或污染物陷阱230被定位在源室211的开口中或后面。污染物陷阱230可以包括通道结构。污染物陷阱230还可以包括气体屏障或者气体屏障与通道结构的组合。在本文中,污染物陷阱或污染物屏障230另外被指示为至少包括通道结构,如本领域中已知的。
收集器室212可以包括辐射收集器CO,辐射收集器CO可以是所谓的掠入射收集器。辐射收集器CO具有上游辐射收集器侧251和下游辐射收集器侧252。穿过收集器CO的辐射可以被光栅光谱滤波器240反射出,以沿由点划线‘O’表示的光轴聚焦在虚拟源点IF处。虚拟源点IF通常被称为中间焦点,并且源收集器模块被布置成使得中间焦点IF被定位在封闭结构220的开口219处或附近。虚拟源点IF是辐射发射等离子体210的图像。
随后,辐射穿过照射系统IL,照射系统IL可以包括琢面场反射镜装置22和琢面光瞳反射镜装置24,它们被布置成在图案形成装置MA处提供辐射束21的期望角度分布以及在图案形成装置MA处提供期望的辐射强度均一性。当辐射束21在图案形成装置MA(由支撑结构MT保持)处反射时,形成图案化束26,并且通过投影系统PS经由反射元件28、30将图案化束26成像在由衬底台WT保持的衬底W上。
通常可以在照射光学单元IL和投影系统PS中存在比所示出的更多的元件。光栅光谱滤波器240可以依赖于光刻设备的类型而可选地存在。此外,可以存在比附图中所示的反射镜更多的反射镜,例如相比于图13中所示的,可以在投影系统PS中存在1至6个额外的反射元件。
如图13中所示的收集器光学器件CO被描绘为具有掠入射反射器253、254和255的巢状收集器,这仅仅是收集器(或收集器反射镜)的示例。掠入射反射器253、254和255被设置为围绕光轴O轴向对称,并且这种类型的收集器光学器件CO期望地与放电产生等离子体辐射源结合使用。
可选地,源收集器模块SO可以是如图14所示的LPP辐射系统的一部分。激光LAS被布置成将激光能量沉积到诸如氙(Xe)、锡(Sn)或锂(Li)的燃料中,从而产生具有几10eV的电子温度的高度电离的等离子体210。在这些离子的去激发和复合期间产生的带能辐射从等离子体发射、由近正入射的收集器光学器件CO收集并且聚焦到封闭结构220中的开口221上。
本文中公开的概念可以模拟或在数学上建模用于成像子波长特征的任何通用成像系统,并且对于能够产生逐渐减小尺寸的波长的新兴成像技术可能尤其有用。已经使用的新兴技术包括EUV(极紫外)光刻,其能够使用ArF激光器来产生193nm波长,以及使用氟激光器来甚至产生157nm波长。此外,EUV光刻能够通过使用同步加速器或者通过用高能电子撞击材料(固体或等离子体)来产生在20nm-5nm范围内的波长,从而产生在该范围内的光子。
虽然本文中所公开的概念可以用于在衬底(诸如,硅晶片)上成像,但应理解,所公开的概念可以用于任何类型的光刻成像系统,例如用于在除了硅晶片之外的衬底上成像的光刻成像系统。
尽管在本文中可以具体地参考实施例在IC制造中的使用,但是应当理解,本文中的实施例可以具有许多其它可能的应用。例如,可以应用于制造集成光学系统、用于磁畴存储器的引导和检测图案、液晶显示器(LCD)、薄膜磁头、微电子机械系统(MEM)等。本领域技术人员将理解,在此类替代应用的情境下,术语“掩模版”、“晶片”或“管芯”在本文中的任何使用可以认为分别与更上位的术语“图案形成装置”、“衬底”或“目标部分”同义或可互换的。可以在曝光之前或之后在例如轨道单元(通常将抗蚀剂层施加到衬底并使曝光后的抗蚀剂显影的工具)、量测工具和/或检测工具中处理本文中提及的衬底。在适用的情况下,可以将本文的公开内容应用于这些和其它衬底处理工具。另外,可以将衬底处理一次以上,例如以便形成多层IC,使得本文中使用的术语“衬底”也可以指已经包含多个处理后的层的衬底。。
在本文件中,本文中所使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,包括紫外辐射(例如,具有约365nm、约248nm、约193nm、约157nm或约126nm的波长)和极紫外(EUV)辐射(例如,具有在5nm-20nm的范围内的波长);以及粒子束(诸如,离子束或电子束)。
本文所使用的术语“使…优化”和“优化”是指或表示调整图案形成设备(例如,光刻设备)、图案化过程等,使得结果和/或过程具有更期望的性质,诸如设计图案在衬底上的更高准确度的投影、更大的过程窗口等。因此,本文所使用的术语“使…优化”和“优化”是指或表示识别相比于一个或多个参数的一个或多个值的初始设定,在至少一个相关度量方面提供改进的该一个或多个参数的一个或多个值(例如,局部优化)。应当相应地解释“最佳”和其它相关术语。在实施例中,可以迭代地应用优化步骤以在一个或多个度量方面提供进一步改进。
本发明的方面可以以任何方面的形式实现。例如,实施例可以由可以承载在合适的载体介质(其可以是有形载体介质(例如,磁盘)或者无形载体介质(例如,通信信号))上的一个或多个合适的计算机程序来实现。本发明的实施例可以使用具体为可以采用运行计算机程序的可编程计算机形式的合适设备来实现,该计算机程序被布置成实施如本文中描述的方法。因此,本公开的实施例可以硬件、固件、软件或其任何组合来实现。本公开的实施例还可被实现为存储在机器可读介质上的指令,可以由一个或多个处理器读取和执行该指令。机器可读介质可以包括用于以机器(例如计算装置)可读形式来存储或传输信息的任何机构。例如,机器可读介质可以包括只读存储器(ROM);随机存取存储器(RAM);磁盘存储介质;光存储介质;闪存装置;电传播信号、光传播信号、声传播信号或其他形式的传播信号(例如,载波、红外信号、数字信号等),等等。此外,在本文中可能将固件、软件、程序、指令描述为执行特定动作。然而,应当理解,这些描述仅是为了方便,并且这些动作实际上是从执行固件、软件、程序、指令等的计算装置、处理器、控制器或其他装置产生的。
在框图中,所示出的部件被描绘为分立的功能框,但是实施例不限于其中如所示的那样组织本文描述的功能的系统。由每个部件提供的功能可以由与当前描绘不同的方式组织的软件或硬件模块提供,例如,这样的软件或硬件可以被混合、结合、复制、分解、分布(例如,在数据中心内或地理上)或以其他方式不同地组织。本文描述的功能可以由执行存储在有形的非暂时性机器可读介质上的代码的一个或多个计算机的一个或多个处理器提供。在一些情况下,第三方内容传递网络可以托管通过网络传送的信息中的一些或全部,在这种情况下,在信息(例如,内容)被认为被供应或以其他方式提供时,可以通过发送指令检索来自内容传递网络的信息来提供该信息。
除非另外具体陈述,否则如从讨论显而易见的,可以理解贯穿本说明书的利用诸如“处理”、“计算”、“运算”、“确定”等的术语的讨论是指特定设备(诸如,专用计算机或类似的专用电子处理/计算装置)的动作或过程。
本公开的实施例可以进一步通过以下条项描述。
1.一种确定用于待印制在衬底上的目标图案的掩模图案的方法,所述方法包括:
参考目标图案上的给定位置,将包括所述目标图案的设计布局的一部分划分成多个单元;
在所述多个单元中的特定单元内分配多个变量,所述特定单元包括所述目标图案或所述目标图案的一部分;以及
基于所述多个变量的值,基于图案化过程的性能度量确定所述目标图案的掩模图案,其中确定所述掩模图案包括:
使用所述目标图案和所述多个变量模拟所述图案化过程,以基于所述性能度量确定所述多个变量的值;以及
基于所确定的多个变量的值来生成用于所述目标图案的掩模图案。
2.根据条项1所述的方法,其中,划分所述设计布局的一部分是基于Voronoi方法,其中基于边界与所述目标图案上的给定位置之间的距离来确定所述多个单元中的每个单元的边界。
3.根据条项2所述的方法,其中,所述多个单元中的每个单元的边界被定义成使得,相比于所述设计布局内的其他位置,每个单元中的点最接近于所述给定位置。
4.根据条项1-3中的任一项所述的方法,其中,所述给定位置是所述目标图案的中心、所述目标图案的边缘上的点、或者与所述目标图案具有预定义几何关系的点。
5.根据条项1-4中的任一项所述的方法,其中,所述设计布局与第一坐标系相关联,并且所述多个单元与第二坐标系相关联,其中所述多个变量的值在所述第二坐标系中被表示,并且能够被转换成在所述第一坐标系中表示的值。
6.根据条项5所述的方法,其中,所述第二坐标系包括:在每个单元的基点处的原点;垂直于所述边缘的第一轴;以及垂直于所述第一轴的第二轴。
7.根据条项6所述的方法,其中,所述单元的基点是放置在所述目标图案的边缘处的点。
8.根据条项5-7中的任一项所述的方法,其中,其中确定所述掩模图案是迭代过程,所述迭代过程包括:
(a)调整所述特定单元的多个变量中的一个或多个变量的值;
(b)将所述一个或多个变量的经调整的值转换到所述设计布局的第一坐标系;
(c)通过使用所述一个或多个变量的经转换的值模拟所述图案化过程,确定所述图案化过程的性能度量;
(d)确定所述性能度量是否在期望的性能范围内;
(e)响应于所述性能度量在期望的性能范围内,基于所述经调整的值来确定所述掩模图案;以及
(f)响应于所述性能度量不在期望的性能范围内,执行(a)-(e)。
9.根据条项8所述的方法,其中,转换所述一个或多个变量的经调整的值包括:
建立所述设计布局的第一坐标系与所述多个单元的第二坐标系之间的相关性;
基于所述相关性,将所述一个或多个变量的经调整的值从所述第二坐标系转换到所述设计布局的第一坐标系;以及
使用所述一个或多个变量的经转换的值模拟所述图案化过程。
10.根据条项1-9中的任一项所述的方法,其中,所述多个变量与多个像素相关,并且所述多个变量中的给定变量的值是与所述多个像素中的给定像素或像素组合相关联的强度,其中所述强度指示待包括在所述掩模图案中的特征的边缘。
11.根据条项1-10中的任一项所述的方法,其中,生成用于所述目标图案的掩模图案包括:
将所述多个变量的值转换成像素化图像,所述像素化图像是灰度掩模图像的数学表示,或者所述像素化图像生成曲线掩模图案作为水平集函数。
12.根据条项1-11中的任一项所述的方法,进一步包括:
将使用所述目标图案所确定的所述多个变量的值应用到所述设计布局中的目标图案的其他实例;以及
基于应用到所述目标图案的实例的值,确定掩模图案,以引起所述目标图案的多个实例被修改来生成掩模图案。
13.根据条项1-12中的任一项所述的方法,进一步包括:
确定所述多个单元之间的或所述特定单元内的对称部分;以及
对所述多个单元中的每个单元的对称部分分配相同的变量集。
14.根据条项13所述的方法,其中,基于所述多个单元之间的或所述特定单元内的几何相似性来确定所述对称部分。
15.根据条项1-14中的任一项所述的方法,进一步包括:
使用所述掩模图案作为初始图案来执行光学邻近校正(OPC)过程,其中所述OPC过程包括修改所述掩模图案来确定经光学邻近校正的掩模图案。
16.根据条项1-15中的任一项所述的方法,其中,所述性能度量包括:所述目标图案与通过模拟图案化过程而生成的模拟图案之间的边缘定位误差、掩模图案相比于所述目标图案的额外印制和不足印制的数量、所述模拟图案的临界尺寸(CD)、和/或所述模拟图案与所述目标图案之间的CD误差。
17.根据条项1-16中的任一项所述的方法,进一步包括:
基于相应目标图案的几何形状,对所述多个单元的多个变量的值进行分类;
将所述多个变量的值存储在结果库中;以及
基于所述结果库确定与全芯片布局相对应的掩模图案。
18.根据条项17所述的方法,其中,确定用于所述全芯片布局的掩模图案包括:
通过将所述全芯片布局与存储在所述结果库中的目标图案进行几何形状匹配来识别所述全芯片布局的图案;
提取与所识别图案相对应的多个变量的值;以及
应用所提取的值来确定所述全芯片布局的掩模图案。
19.一种非暂时性计算机可读介质,所述非暂时性计算机可读介质包括指令,所述指令在由一个或多个处理器执行时引起的操作包括:
参考目标图案上的给定位置,将包括所述目标图案的设计布局的一部分划分成多个单元;
在所述多个单元中的特定单元内分配多个变量,所述特定单元包括所述目标图案或所述目标图案的一部分;以及
基于所述多个变量的值,确定所述目标图案的掩模图案,使得使用所述掩模图案的图案化过程的性能度量在期望的性能范围内,其中确定所述掩模图案包括:
使用所述目标图案和所述多个变量模拟所述图案化过程,以确定使得所述图案化过程的性能度量在期望的性能范围内的所述多个变量的值;以及
基于所确定的多个变量的值来生成用于所述目标图案的掩模图案。
20.根据条项19所述的非暂时性计算机可读介质,其中,划分所述设计布局的一部分是基于Voronoi方法,其中基于边界与所述目标图案上的给定位置之间的距离来确定所述多个单元中的每个单元的边界。
21.根据条项19-20中的任一项所述的非暂时性计算机可读介质,其中,所述设计布局与第一坐标系相关联,并且所述多个单元与第二坐标系相关联,其中所述多个变量的值在所述第二坐标系中,并且能够转换成在所述第一坐标系中的值。
22.根据条项21所述的非暂时性计算机可读介质,其中,所述第二坐标系被定义成使得原点在每个单元的基点处、第一轴垂直于边缘、第二轴垂直于所述第一轴。
23.根据条项21-22中的任一项所述的非暂时性计算机可读介质,其中,其中确定所述掩模图案是迭代过程,所述迭代过程包括:
(a)调整所述特定单元的多个变量中的一个或多个变量的值;
(b)将所述一个或多个变量的经调整的值转换到所述设计布局的第一坐标系;
(c)通过使用所述一个或多个变量的经转换的值模拟所述图案化过程,来确定所述图案化过程的性能度量;
(d)确定所述性能度量是否在期望的性能范围内;
(e)响应于所述性能度量在期望的性能范围内,基于所述经调整的值来确定所述掩模图案;以及
(f)响应于所述性能度量不在期望的性能范围内,执行(a)-(e)。
24.根据条项23所述的非暂时性计算机可读介质,其中,转换所述一个或多个变量的经调整的值包括:
建立所述设计布局的第一坐标系与所述多个单元的第二坐标系之间的相关性;
基于所述相关性,将所述一个或多个变量的经调整的值从所述第二坐标系转换到所述设计布局的第一坐标系;以及
使用所述一个或多个变量的经转换的值模拟所述图案化过程。
25.根据条项19-24中的任一项所述的非暂时性计算机可读介质,其中,所述多个变量与多个像素相关,并且所述多个变量中的给定变量的值是与所述多个像素中的给定像素或像素组合相关联的强度,其中所述强度指示待包括在所述掩模图案中的特征的边缘。
26.根据条项19-25中的任一项所述的非暂时性计算机可读介质,进一步引起包括以下的操作:
将使用所述目标图案所确定的所述多个变量的值应用到所述设计布局中的目标图案的其他实例;以及
基于应用到所述目标图案的实例的值,确定掩模图案,使得以一致的方式修改所述目标图案的所有实例来生成掩模图案。
27.根据条项19-26中的任一项所述的非暂时性计算机可读介质,进一步引起包括以下的操作:
确定所述多个单元之间的或所述特定单元内的对称部分;以及
对所述多个单元中的每个单元的对称部分分配相同的变量集。
28.根据条项19-27中的任一项所述的非暂时性计算机可读介质,进一步包括:
基于相应目标图案的几何形状,对所述多个单元的多个变量的值进行分类;
将所述多个变量的值存储在结果库中;以及
基于所述结果库确定与全芯片布局相对应的掩模图案。
29.根据条项28所述的非暂时性计算机可读介质,其中,确定所述全芯片布局的掩模图案包括:
通过将所述全芯片布局与存储在所述结果库中的目标图案进行几何形状匹配来识别所述全芯片布局的图案;
提取与所识别图案相对应的多个变量的值;以及
应用所提取的值来确定所述全芯片布局的掩模图案。
读者应当理解,本申请描述了几个发明。这些发明并没有被分成多个孤立的专利申请,而是这些发明被分组成单个文件,因为它们的相关主题有助于本申请过程中的经济性。但是,这些发明的独特优点和方面不应该被混谈。在一些情况下,实施例解决了本文提到的所有缺陷,但是应当理解,本发明是独立有用的,并且一些实施例仅解决了这些问题的子集或提供了其他未提到的益处,这些益处对于审阅本公开的本领域技术人员而言将是显而易见的。由于成本限制,本文中公开的一些发明目前可能没有要求保护,并且可能在之后的提交文件中要求保护,诸如继续申请或通过修改本权利要求。类似地,由于空间限制,本文件的摘要和发明内容部分都不应被视为包含所有这些发明或这些发明的所有方面的综合列举。
应当理解,说明书和附图并非旨在将本公开限制于所公开的特定形式,而是相反,本发明覆盖落入在由所附权利要求限定的本发明的精神和范围内的所有修改形式、等同形式和替代形式。
鉴于本说明书,本发明的各个方面的修改和替代实施例对于本领域技术人员将是显而易见的。因此,本说明书和附图仅被解释为说明性的,并且是为了教导本领域技术人员关于执行本发明的一般方式。应当理解,本文所示和描述的本发明的形式将被视为实施例的示例。可以替代本文中示出和描述的元件和材料,可以颠倒或省略部件和过程,可以独立地利用某些特征,并且可以组合实施例或实施例的特征,所有这些对于本领域技术人员在受益于本说明书之后将是显而易见的。可以在不背离所附权利要求书中所描述的本发明的精神和范围的情况下对本文所描述的元件作出改变。本文中使用的标题仅用于组织目的,并不意味着用于限制说明书的范围。
如贯穿本申请所使用的,词语“可以”被用于容许意义(即,表示具有可能性),而不是强制意义(即,表示必须)。词语“包括”、“包含”和“包括有”等表示包括但不限于。如在整个本申请中所使用的,单数形式“一个”、“一”和“该”包括复数表示,除非内容中清楚地另外说明。因此,例如,尽管对于一个或多个元件使用了其他术语和短语(诸如“一个或多个”),但是对“一个”元件或“一”元件的引用也包括两个或更多个元件的组合。除非另外说明,否则术语“或”是非排他性的,即涵盖“和”以及“或”。描述条件关系的术语(例如,“响应于X、Y”、“在X、Y上”、“如果X、Y”、“在X、Y时”等)涵盖因果关系,其中先行词是必要的因果条件,先行词是足够的因果条件,或者先行词是结果的共同因果条件,例如,“状态X在获得条件Y时发生”与“X仅当Y时发生”和“X当Y和Z时发生”是通用的。这样的条件关系不限于紧跟先行词获得的结果,由于一些结果可能是延迟的,并且在条件陈述中,先行词与它们的结果存在联系,例如先行词与发生结果的可能性相关。除非另有说明,否则多个属性或功能被映射到多个对象(例如,执行步骤A、B、C和D的一个或多个处理器)的陈述涵盖,被映射到所有这种对象的所有这种属性或功能以及被映射到属性或功能的子集的属性或功能的子集(例如,所有处理器各自执行步骤A-D的情况,以及处理器1执行步骤A、处理器2执行步骤B和步骤C的一部分并且处理器3执行步骤C的一部分和步骤D的情况)。此外,除非另有指示,否则一个值或动作是“基于”另一条件或值的陈述涵盖,条件或值是唯一因子的情况和条件或值是多个因子之中的一个因子的情况。除非另外指示,否则一些集合的“每个”实例具有一些性能的陈述不应被解读为排除较大集合的一些其他相同或相似的成员不具有该性能的情况,即每个不一定表示每个和每一个。对从范围中进行选择的引用包括范围的端点。
在上面的描述中,流程图中的任何过程、描述或框应当被理解为表示包括用于实现过程中的特定逻辑功能或步骤的一个或多个可执行指令的代码的模块、片段或部分,并且替代实施方式被包括在本改进的示例性实施例的范围内,其中功能可以不按所示或所讨论的顺序执行,包括基本上同时执行或以相反的顺序执行,这取决于所涉及的功能,如本领域技术人员将理解的。
就特定美国专利、美国专利申请、PCT专利申请或出版物或其他材料(例如,文章)以引用方式并入的程度,这些美国专利、美国专利申请和其他材料的文本仅以在这种材料与本文所述的陈述和附图之间不存在冲突的程度以引用方式并入。在存在冲突的情况下,通过引用并入的美国专利、美国专利申请和其他材料中的任何这种冲突文本明确地不通过引用并入本文。
虽然已经描述了一些实施例,但是这些实施例仅通过示例的方式提供,并且并不旨在限制本公开的范围。实际上,本文中描述的新颖方法、设备和系统可以以各种其他形式实施;此外,在不脱离本公开的精神的情况下,可以对本文描述的方法、设备和系统的形式进行各种省略、替换和改变。所附权利要求及其等同方案旨在覆盖落入本公开的范围和精神内的这些形式或修改。

Claims (15)

1.一种非暂时性计算机可读介质,所述非暂时性计算机可读介质包括指令,所述指令在由一个或多个处理器执行时,引起所述一个或多个处理器执行确定用于待印制在衬底上的目标图案的掩模图案的方法,所述方法包括:
参考所述目标图案上的给定位置,将包括所述目标图案的设计布局的一部分划分成多个单元;
在所述多个单元中的特定单元内分配多个变量,所述特定单元包括所述目标图案或所述目标图案的一部分;以及
基于所述多个变量的值,基于图案化过程的性能度量确定所述目标图案的掩模图案,其中确定所述掩模图案包括:
使用所述目标图案和所述多个变量模拟所述图案化过程,以基于所述性能度量确定所述多个变量的值;以及
基于所确定的多个变量的值来生成用于所述目标图案的掩模图案。
2.根据权利要求1所述的介质,其中,划分所述设计布局的一部分是基于Voronoi方法,其中基于边界与所述目标图案上的给定位置之间的距离来确定所述多个单元中的每个单元的边界。
3.根据权利要求2所述的介质,其中,所述多个单元中的每个单元的边界被定义成使得,相比于所述设计布局内的其他位置,每个单元中的点最接近于所述给定位置。
4.根据权利要求1所述的介质,其中,所述给定位置是所述目标图案的中心、所述目标图案的边缘上的点、或者与所述目标图案具有预定义几何关系的点。
5.根据权利要求1所述的介质,其中,所述设计布局与第一坐标系相关联,并且所述多个单元与第二坐标系相关联,其中所述多个变量的值在所述第二坐标系中被表示,并且能够被转换成在所述第一坐标系中表示的值。
6.根据权利要求5所述的介质,其中,确定所述掩模图案是迭代过程,所述迭代过程包括:
(a)调整所述特定单元的多个变量中的一个或多个变量的值;
(b)将所述一个或多个变量的经调整的值转换到所述设计布局的第一坐标系;
(c)通过使用所述一个或多个变量的经转换的值模拟所述图案化过程,确定所述图案化过程的性能度量;
(d)确定所述性能度量是否在期望的性能范围内;
(e)响应于所述性能度量在期望的性能范围内,基于所述经调整的值来确定所述掩模图案;以及
(f)响应于所述性能度量不在期望的性能范围内,执行(a)-(e)。
7.根据权利要求6所述的介质,其中,转换所述一个或多个变量的经调整的值包括:
建立所述设计布局的第一坐标系与所述多个单元的第二坐标系之间的相关性;
基于所述相关性,将所述一个或多个变量的经调整的值从所述第二坐标系转换到所述设计布局的第一坐标系;以及
使用所述一个或多个变量的经转换的值模拟所述图案化过程。
8.根据权利要求1所述的介质,其中,所述多个变量与多个像素相关,并且所述多个变量中的给定变量的值是与所述多个像素中的给定像素或像素组合相关联的强度,其中所述强度是待包括在所述掩模图案中的特征的边缘的指示。
9.根据权利要求1所述的介质,其中,生成用于所述目标图案的掩模图案包括:
将所述多个变量的值转换成像素化图像,所述像素化图像是灰度掩模图像的数学表示,或者所述像素化图像生成曲线掩模图案作为水平集函数。
10.根据权利要求1所述的介质,其中,所述方法进一步包括:
将使用所述目标图案所确定的所述多个变量的值应用到所述设计布局中的目标图案的其他实例;以及
基于应用到所述目标图案的实例的值,确定掩模图案,以引起所述目标图案的多个实例被修改来生成掩模图案。
11.根据权利要求1所述的介质,其中,所述方法进一步包括:
确定所述多个单元之间的或所述特定单元内的对称部分;以及
对所述多个单元中的每个单元的对称部分分配相同的变量集。
12.根据权利要求11所述的介质,其中,基于所述多个单元之间的或所述特定单元内的几何相似性来确定所述对称部分。
13.根据权利要求1所述的介质,其中,所述性能度量包括:所述目标图案与通过模拟图案化过程而生成的模拟图案之间的边缘定位误差、掩模特征相比于所述目标图案的额外印制和不足印制的数量、所述模拟图案的临界尺寸(CD)、和/或所述模拟图案与所述目标图案之间的CD误差。
14.根据权利要求1所述的介质,其中所述方法进一步包括:
基于相应目标图案的几何形状,对所述多个单元的多个变量的值进行分类;
将所述多个变量的值存储在结果库中;以及
基于所述结果库确定与全芯片布局相对应的掩模图案。
15.根据权利要求14所述的介质,其中,确定用于所述全芯片布局的掩模图案包括:
通过将所述全芯片布局与存储在所述结果库中的目标图案进行几何形状匹配来识别所述全芯片布局的图案;
提取与所识别图案相对应的多个变量的值;以及
应用所提取的值来确定所述全芯片布局的掩模图案。
CN202080086061.8A 2019-12-13 2020-11-21 用于改善掩模图案生成一致性的方法 Pending CN114981724A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962947707P 2019-12-13 2019-12-13
US62/947,707 2019-12-13
PCT/EP2020/082995 WO2021115766A1 (en) 2019-12-13 2020-11-21 Method for improving consistency in mask pattern generation

Publications (1)

Publication Number Publication Date
CN114981724A true CN114981724A (zh) 2022-08-30

Family

ID=73554426

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080086061.8A Pending CN114981724A (zh) 2019-12-13 2020-11-21 用于改善掩模图案生成一致性的方法

Country Status (5)

Country Link
US (1) US20230044490A1 (zh)
KR (1) KR20220097502A (zh)
CN (1) CN114981724A (zh)
TW (2) TWI753681B (zh)
WO (1) WO2021115766A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11815818B2 (en) * 2021-05-10 2023-11-14 Applied Materials, Inc. Method to achieve non-crystalline evenly distributed shot pattern for digital lithography
TWI833241B (zh) * 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
CN114357928A (zh) * 2021-12-31 2022-04-15 东方晶源微电子科技(北京)有限公司 一种光刻模型优化方法
WO2024017808A1 (en) * 2022-07-19 2024-01-25 Asml Netherlands B.V. Deep learning models for determining mask designs associated with semiconductor manufacturing

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006276079A (ja) * 2005-03-28 2006-10-12 National Institute Of Advanced Industrial & Technology 光リソグラフィの光近接補正におけるマスクパターン設計方法および設計装置ならびにこれを用いた半導体装置の製造方法
EP1941321A2 (en) 2005-09-09 2008-07-09 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
KR101264114B1 (ko) * 2007-08-31 2013-05-13 삼성전자주식회사 포토마스크 레이아웃의 생성 방법 및 이를 수행하는프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한저장 매체 및 마스크 이미징 시스템
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7975244B2 (en) * 2008-01-24 2011-07-05 International Business Machines Corporation Methodology and system for determining numerical errors in pixel-based imaging simulation in designing lithographic masks
NL2005522A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
US10409165B2 (en) * 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
US10001698B2 (en) * 2015-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Company, Ltd Layout hierachical structure defined in polar coordinate
WO2018215188A1 (en) 2017-05-26 2018-11-29 Asml Netherlands B.V. Assist feature placement based on machine learning
KR102349124B1 (ko) * 2017-06-06 2022-01-10 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 장치
WO2019162346A1 (en) * 2018-02-23 2019-08-29 Asml Netherlands B.V. Methods for training machine learning model for computation lithography
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device

Also Published As

Publication number Publication date
KR20220097502A (ko) 2022-07-07
US20230044490A1 (en) 2023-02-09
WO2021115766A1 (en) 2021-06-17
TW202242556A (zh) 2022-11-01
TW202131105A (zh) 2021-08-16
TWI753681B (zh) 2022-01-21

Similar Documents

Publication Publication Date Title
US20230013919A1 (en) Machine learning based inverse optical proximity correction and process model calibration
US20220284344A1 (en) Method for training machine learning model for improving patterning process
US20230044490A1 (en) Method for improving consistency in mask pattern generation
US20230107556A1 (en) Machine learning based subresolution assist feature placement
WO2021032448A1 (en) Methods for improving process based contour information of structure in image
TW202028849A (zh) 產生特徵圖案與訓練機器學習模型之方法
TWI759660B (zh) 判定光罩圖案之方法及相關非暫時性電腦程式產品
TWI778722B (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
TW202006317A (zh) 利用圖案識別以自動地改善掃描電子顯微鏡(sem)的輪廓量測精準度及穩定性
US11966167B2 (en) Systems and methods for reducing resist model prediction errors
US20210033978A1 (en) Systems and methods for improving resist model predictions
TWI667553B (zh) 判定圖案之特性之方法
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
TWI833241B (zh) 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
US20240184213A1 (en) Method of pattern selection for a semiconductor manufacturing related process
WO2022189180A1 (en) Method of pattern selection for a semiconductor manufacturing related process
WO2023016752A1 (en) Match the aberration sensitivity of the metrology mark and the device pattern
CN115729052A (zh) 记录有指令的非瞬态计算机可读介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination