JP2009141352A - リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム - Google Patents

リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム Download PDF

Info

Publication number
JP2009141352A
JP2009141352A JP2008305942A JP2008305942A JP2009141352A JP 2009141352 A JP2009141352 A JP 2009141352A JP 2008305942 A JP2008305942 A JP 2008305942A JP 2008305942 A JP2008305942 A JP 2008305942A JP 2009141352 A JP2009141352 A JP 2009141352A
Authority
JP
Japan
Prior art keywords
focus
image
exposure
function
represent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008305942A
Other languages
English (en)
Other versions
JP5016585B2 (ja
Inventor
Jun Ye
イェ,ジュン
Yu Cao
カオ,ユ
Hanying Feng
フェン,ハニング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brion Technologies Inc
Original Assignee
Brion Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brion Technologies Inc filed Critical Brion Technologies Inc
Publication of JP2009141352A publication Critical patent/JP2009141352A/ja
Priority to NL2003143A priority Critical patent/NL2003143A1/nl
Priority to PCT/US2009/049792 priority patent/WO2010005957A1/en
Priority to TW098123009A priority patent/TW201007383A/zh
Priority to US13/003,294 priority patent/US8542340B2/en
Application granted granted Critical
Publication of JP5016585B2 publication Critical patent/JP5016585B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum

Abstract

【課題】複数のフィーチャを有するターゲットデザインを結像するためのリソグラフィプロセスの結像性能をシミュレートする方法を提供する。
【解決手段】この方法は、シミュレートされた画像を生成するための、リソグラフィプロセスに関連したプロセス変動を説明する関数を決定すること、および、リソグラフィプロセスのターゲットデザインの結像結果を表す前記シミュレートされた画像を、前記関数を用いて生成することを含む。ある所与の実施形態では、焦点及びドーズ量(露光)が変動する空間像をシミュレートする前記関数が、
【数1】

と定義され、ここで、Iは、公称焦点及び露光での画像強度を表し、fは、公称焦点を表し、f及びεは、シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、焦点の変動に関する1次及び2次導出画像を表す。
【選択図】なし

Description

[001] 本発明の技術分野は、概して、リソグラフィプロセスに関連する結像結果のシミュレーションを実行するための方法及びプログラム製品に関し、特に、プロセスウィンドウ上のパラメータ変動に適合するコンピュータ計算上効率的なシミュレーションプロセスに関する。
[002] リソグラフィ装置は、例えば、集積回路(IC)の製造などに使用することができる。その場合、マスクは、ICの個々のレイヤに対応する回路パターンを含むことができ、このパターンを放射感応性材料(レジスト)のレイヤでコーティングされた基板(シリコンウェーハ)上のターゲット部分(例えば、1つ又は複数のダイを含む)上に結像することができる。一般に、1つのウェーハは、投影システムを介して一度に1つずつ連続的に照射される隣接するターゲット部分のネットワーク全体を含む。あるタイプのリソグラフィ投影装置では、マスクパターン全体をターゲット部分上に一度に露光することで各ターゲット部分が照射される。そのような装置は、一般にウェーハステッパと呼ばれる。ステップアンドスキャン装置と一般に呼ばれる別の装置では、投影ビームが当たったマスクパターンを所与の基準方向(「スキャン」方向)に漸進的にスキャンしながら、これに同期してこの方向に平行又は逆平行に基板テーブルをスキャンすることで各ターゲット部分が照射される。一般に、投影システムは、倍率係数M(一般に、<1)を有するので、基板テーブルがスキャンされる速度Vは、係数Mにマスクテーブルのスキャン回数を乗じた値になる。本明細書に記載するリソグラフィデバイスに関する詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許第6,046,792号から入手することができる。
[003] リソグラフィ投影装置を用いた製造プロセスでは、放射感応性材料(レジスト)のレイヤで少なくとも部分的に覆われた基板上にマスクパターンが結像される。この結像ステップに先立って、プライミング、レジストコーティング、及びソフトベークなどの種々の手順を基板に対して行うことができる。露光後に、基板に対して、結像されたフィーチャの露光後ベーク(PEB)、現像、ハードベーク及び測定/検査などの他の手順を実行することができる。この一連の手順は、デバイス、例えば、ICの個々のレイヤにパターン形成する基礎として使用される。そのようなパターン形成されたレイヤについて、次に、個々のレイヤを完成させるためのエッチング、イオン注入(ドーピング)、金属化、酸化、化学的機械的研磨などの種々のプロセスを行うことができる。幾つかのレイヤが必要な場合、手順全体、又はその変形手順を新しいレイヤごとに繰り返す必要がある。最終的に、デバイスのアレイが基板(ウェーハ)上に形成される。これらのデバイスは、次に、ダイシング又はのこ引きなどの技法によって互いに分離され、それによって個々のデバイスをピンなどに接続されたキャリア上に実装することができる。
[004] 話を分かりやすくするため、以下、投影システムを「レンズ」と呼ぶことがある。しかし、この用語は、例えば、屈折光学系、反射光学系、及び反射屈折系を含む各種投影システムを含むものと広義に解釈すべきである。放射システムも、放射投影ビームを方向付け、整形し、又は制御する任意のデザインタイプに従って動作するコンポーネントを含むことができ、そのようなコンポーネントも、以下に集合的又は単独で「レンズ」と呼ぶことがある。さらに、リソグラフィ装置は、2つ以上の基板テーブル(及び/又は2つ以上のマスクテーブル)を有するタイプであってもよい。そのような「マルチステージ」デバイスでは、追加のテーブルを平行して使用するか、又は1つ又は複数の他のテーブル上で準備ステップを実行しながら1つ又は複数のテーブルを露光に使用することができる。例えば、本明細書に参照により組み込むものとする米国特許第5,969,441号には、ツインステージリソグラフィ装置が記載されている。
[005] 上記フォトリソグラフィマスクは、シリコンウェーハ上に集積する回路コンポーネントに対応する幾何学パターンを含む。そのようなマスクを作成するためのパターンは、このプロセスが多くの場合EDA(電子設計オートメーション)と呼ばれるCAD(コンピュータ支援設計)プログラムを用いて生成される。大半のCADプログラムは、機能マスクを作成するために一組の所定のデザインルールに従う。これらのルールは、処理及び設計の制限によって設定される。例えば、デザインルールは、回路デバイス(ゲート、コンデンサなど)又は相互接続線間の空間許容範囲を定義して、回路デバイス又は線が好ましくない形で相互動作しないようにする。デザインルールの限界は、通常、「クリティカルディメンション」(CD)と呼ばれる。回路のクリティカルディメンションは、線又は穴の最小幅又は2本の線又は2つの穴の間の最小空間として定義することができる。それ故、CDは、設計された回路の全体のサイズと密度とを決定する。集積回路の製作の目標の1つが元の回路設計をウェーハ上に(マスクを介して)忠実に再現することであるのは当然である。
[006] 上記のように、マイクロリソグラフィは、半導体集積回路の製造の主要なステップであり、半導体ウェーハ基板上に形成されたパターンがマイクロプロセッサ、メモリチップなどの半導体デバイスの機能要素を画定する。類似のリソグラフィ技法をフラットパネルディスプレイ、マイクロ電子機械システム(MEMS)及び他のデバイスにも使用することができる。
[007] 半導体製造プロセスが進化するにつれて、回路要素の寸法は、繰り返し低減され、一方、トランジスタなどのデバイス当たりの機能要素の量は、一般に「ムーアの法則」と呼ばれる傾向に従って数十年にわたり着実に増加している。現行技術では、最新のデバイスの重要なレイヤは、深紫外線レーザ光源からの照明を用いて基板上にマスク画像を投影し、100nmをはるかに下回る、すなわち、投影光の波長の半分に満たない寸法の個々の回路フィーチャを作成するスキャナとして周知の光リソグラフィ投影システムを用いて製造される。
[008] 光投影システムの従来の解像度の限界より小さい寸法のフィーチャが印刷されるこのプロセスは、一般に、解像度公式CD=k×λ/NAによるlow−kリソグラフィとして知られている。ここで、λは使用する放射の波長(現在、大半の場合、248nm又は193nm)、NAは投影光学系の開口数、CDは「クリティカルディメンション」(一般に印刷可能な最小フィーチャサイズ)、及びkは実験的な解像度係数である。一般に、kが小さいほど、特定の電気的機能及び性能を達成するために回路設計者が設計した形状及び寸法に類似のパターンをウェーハ上に再現することはより困難になる。これらの困難を克服するために、投影システムとマスクデザインに高度な微調整ステップが適用される。これらは、これに限定されないが、例えば、NA及び光コヒーレンス設定の最適化、照明方法のカスタム化、位相シフトマスクの使用、マスクレイアウト内の光学近接補正、又は「高解像度化技術」(RET)として一般に定義される別の方法を含む。
[009] 1つの重要な例として、光学近接補正(OPC、「光学及びプロセス補正」とも呼ばれる)は、ウェーハ上に印刷されたフィーチャの最終サイズ及び配置がマスク上の対応するフィーチャのサイズ及び配置の関数であるというような簡単な話ではないという事実を扱う。「マスク」及び「レチクル」という用語は、本明細書では交換可能であることに留意されたい。典型的な回路設計に存在する微小なフィーチャサイズと高いフィーチャ密度を達成するため、所与のフィーチャの特定のエッジの位置は他の隣接するフィーチャの存否にある程度影響される。これらの近接効果は、フィーチャ間を結合する微小な量の光から発生する。同様に、近接効果は、露光後ベーク(PEB)の間の拡散及び他の化学効果、レジストの現像、及び一般にリソグラフィ露光後に行うエッチングから発生することもある。
[010] これらのフィーチャが所与のターゲット回路設計の要件に従って半導体基板上で生成されるために、高度の数値モデルを用いて近接効果を予測しなければならず、また、ハイエンドデバイスの製造を可能するために先にマスクのデザインに補正又は事前歪みを与えなければならない。「"Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", C. Spence, Proc. SPIE, Vol.5751, pp 1-14(2005)という論文は、現在の「モデルベースの」('model-based')光近接効果補正プロセスを概説する。典型的なハイエンド設計では、ほとんどすべてのフィーチャエッジをある程度変形してターゲットデザインに十分に近い印刷パターンを達成する必要がある。これらの変形は、エッジの位置又は線幅のシフト又は付勢とそれ自体は印刷するためのものではないが、関連する一次的フィーチャの特性に影響する「アシスト」('ssist')フィーチャを含むことがある。
[011] ターゲットデザインにモデルベースのOPCを適用する場合、チップデザインには、通常、数百万のフィーチャが存在するので、優れたプロセスモデルと相当のコンピュータ資源を必要とする。しかし、OPCの適用は、一般に「精密科学」('exact science')ではなく、レイアウト上のすべての可能な弱点を解決するわけではない経験的で反復的なプロセスである。従って、OPC後のデザイン、すなわち、OPCと他のあらゆるRETによる全パターンの変形の適用後のマスクレイアウトは、デザイン検査、すなわち、較正された数値プロセスモデルを用いた集中的なフルチップシミュレーションによって検証して、マスクセットの製造時にデザインの欠点が堆積する可能性を最小限にしなければならない。これは、数百万ドルの範囲にわたるハイエンドマスクセットの製造という巨費をかけ、また製造後に実際のマスクを再加工又は修理するための所要時間への影響によって駆動される。
[012] OPC及びフルチップRET検証は、例えば、米国特許出願第10/815,573号と、Y. Cao 他によるProc. SPIE, Vol.5754, 405 (2005) "Optimized Hardware and Software For Fast, Full chip simulation"と題された論文に記載する数値モデルシステム及び方法に基づくものであってもよい。
[013] リソグラフィパターニングプロセスのフルチップ数値シミュレーションを1つのプロセス条件、通常、ベストフォーカス及び最良の露光ドーズ量又は最良の「公称」('nominal')条件で説明してきたが、デザインの製造性は、実際の製造では回避できないプロセス条件の小さい変動に対するパターン忠実度の十分な許容範囲が必要であることは周知である。この許容範囲は、CD又はエッジの配置の変動が事前定義されたマージン(すなわち、許容誤差)の範囲、例えば、公称線幅の±10%以内である露光デフォーカス空間内の幅と高さ(又は「緯度」('latitude'))として定義される一般にプロセスウィンドウとして表現される。現実には、実際のマージン要件は、異なるフィーチャタイプによってその機能と重要度に応じて異なることがある。さらに、プロセスウィンドウの概念は、露光ドーズ量及びデフォーカスに加えて、又はこれの他に、他の基本パラメータへ拡張することができる。
[014] 所与のデザインの製造性は、一般に、単一レイヤ内のすべてのフィーチャの共通のプロセスウィンドウに依存する。最新技術のOPC適用及びデザイン検査で公称条件でデザインを最適化及び検証することができるが、減少の一途をたどる許容誤差とCD要件のために将来のプロセスノードでの製造性を確保するためにプロセスウィンドウ認識型OPCモデルが必要であることが最近分かっている。
[015] 現在、所与のデザインのプロセスウィンドウを十分な精度と範囲とで作成するために、N個のパラメータ設定のシミュレーション(例えば、デフォーカス及び露光ドーズ量)が必要であり、ここで、Nは、およそ12以上である。従って、種々の設定でのこれらのシミュレーションの繰り返しが直接にOPC適用及び検証フローに組み込まれ、通常、フルチップリソグラフィシミュレーションの多数回の繰り返しを含む場合、計算時間はN倍になる。しかし、所与のターゲット回路を検証及び/又は設計しようとする場合、計算時間のそのような増加は禁じられている。
[016] 従って、OPC及びRET検証に用いるプロセスウィンドウ内の変動に適合し、周知の従来技術のシステムによって現在実行される種々の条件下でのシミュレーションの繰り返しという「総当たり」('brute-force')手法よりも演算面で効率的なシミュレーション方法及びシステムが必要である。
[017] さらに、単一の特定のパラメータ設定に限らず、プロセスウィンドウ全体にわたって、有効、堅牢、正確なモデルを提供するリソグラフィモデルの較正手順が必要である。
[018] 従って、本発明は、シミュレーションプロセスで使用するプロセスウィンドウ内の変動を考慮する計算効率が高い技法を可能にし、従来技術の前述の欠点を克服する方法に関する。
[019] より詳細には、本発明は、複数のフィーチャを有するターゲットデザインを結像するためのリソグラフィプロセスの結像性能をシミュレートする方法に関する。この方法は、シミュレートされた画像を生成するための関数を決定するステップであって、該関数がリソグラフィプロセスに関連したプロセス変動を説明する、ステップと、シミュレートされた画像を上記関数を用いて生成するステップであって、シミュレートされた画像がリソグラフィプロセスのターゲットデザインの結像結果を表す、ステップとを含む。所与の一実施形態では、この関数は次のように定義される。
ここで、Iは公称焦点での画像強度、fは公称焦点、fはシミュレートされた画像が計算される実際の焦点レベル、パラメータ「a」及び「b」は1次及び2次導出画像を表す。
[020] 本発明は、従来技術の方法と比較して大きな利点を提供する。最も重要なことには、本発明は、プロセスウィンドウ内の変動(例えば、焦点変動及び露光ドーズ量の変動)に適合する計算効率が高いシミュレーションプロセスを提供し、周知の従来技術の方法で現在実行されている種々の条件下でのシミュレーションの繰り返しという「総当たり」手法を実行する必要性を解消する。実際、以下に詳述するように、シミュレーションのためのN個のプロセスウィンドウの条件を考慮すると、本発明の計算時間は約2Tで、従来技術の方法では、約NT(Tは、1つのプロセスウィンドウ条件をシミュレートするために必要な計算時間)が必要である。
[021] 本発明の方法は、これに限定されないが、モデルの較正、リソグラフィデザインの検査、共通のプロセスウィンドウの評価に基づく歩留りの見積もり、ホットスポット(障害スポット)の識別及びプロセスウィンドウ認識型OPCを利用したそのようなホットスポットの補正、及びモデルベースのプロセス制御補正(例えば、リソグラフィプロセスでの所与のリソグラフィレイヤで共通のプロセスウィンドウをセンタリングすること)などの他の用途に容易に適用することができる。
[022] 本稿では、ICの製造における本発明の使用を特に参照できるが、本発明は、多数の他の可能な用途を有することを明確に理解されたい。例えば、磁気ドメインメモリ、液晶表示パネル、薄膜磁気ヘッド用の集積光学系、案内及び検出パターンの製造に採用することができる。そのような別の用途の文脈では、本明細書で用いる「レチクル」、「ウェーハ」、又は「ダイ」という用語のいかなる使用もより一般的な用語である「マスク」、「基板」及び「ターゲット部分」という用語にそれぞれ置き換えられると考えるべきであることは当業者であれば周知である。
[023] 本明細書では、「放射」及び「ビーム」という用語は、紫外線(例えば、365、248、193、157又は126nmの波長を有する)及びEUV(極端紫外線、例えば、波長が5〜20nmの範囲)を含むすべてのタイプの電磁放射を含むために使用される。
[024] 本明細書で使用するマスクという用語は、基板のターゲット部分に作成するパターンに対応するパターン形成された断面を備えた入射放射ビームを提供するために使用することができる一般的なパターニング手段を意味するものと広義に解釈することができる。「光弁」という用語もこの文脈で使用することができる。古典的なマスク(透過性又は反射性、バイナリ、位相シフト、ハイブリッドなど)の他に、他のそのようなパターニング手段の例は以下を含む。
−プログラマブルミラーアレイ。上記デバイスの例は、粘弾性制御レイヤを有するマトリクスアドレス指定可能な表面である。上記装置の基本原理は、(例えば)反射面のアドレス指定された領域は、入射光を回折光として反射するが、アドレス指定されていない領域は入射光を非回折光として反射するということである。適当なフィルタを用いて上記非回折光は、反射ビームからフィルタリングでき、回折光のみを残すことができる。こうして、ビームは、マトリクスアドレス指定可能な表面のアドレス指定パターンに従ってパターン形成される。必要なマトリクスアドレス指定は、適した電子手段を用いて実行することができる。上記ミラーアレイの詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許US5,296,891号及びUS5,523,193号から入手することができる。
−プログラマブルLCDアレイ。上記構成の例は、参照により本明細書に組み込むものとする米国特許US5,229,872号に記載されている。
[025] 本発明と、別の目的及び利点は、以下の詳細な説明と添付の図面を参照することで理解が深まる。
[026] 典型的なリソグラフィ投影システムを示す例示的なブロック図である。 [027] リソグラフィシミュレーションモデルの機能モジュールを示す例示的なブロック図である。 [028] 本発明の第1の実施形態の例示的なフローチャートである。 [029] 本発明の第2の実施形態の例示的なフローチャートである。 [030] 本発明の第3の実施形態の例示的なフローチャートである。 [031] 本発明のシミュレーション方法の実施を支援できるコンピュータシステムを示すブロック図である。 [032] 本発明の方法と併用するのに適したリソグラフィ投影装置の概略図である。
[033] 本発明を説明する前に、シミュレーションと結像プロセスの全体に関して簡潔に説明する。図1は、例示的なリソグラフィ投影システム10を示す。主要なコンポーネントは、深紫外線エキシマレーザ光源、部分的なコヒーレンス(シグマで示す)を画定し、特定の光源整形光学系14、16a及び16bを含むことができる照明光学系などの光源12、マスク又はレチクル18、及びウェーハ面22上にレチクルパターンの画像を生成する投影光学系16cである。瞳面の調整式フィルタ又は開口20は、ウェーハ面22に入射するビーム角の範囲を制限することができる。ここで可能な最大角は、投影光学系の開口数:
を定義する。
[034] リソグラフィシミュレーションシステムでは、これらの主要なシステムコンポーネントは、例えば、図2に示す別々の機能モジュールによって記述できる。図2を参照すると、機能モジュールは、ターゲットデザインを定義するデザインレイアウトモジュール26と、結像プロセスで使用するマスクを定義するマスクレイアウトモジュール28と、シミュレーションプロセスで使用するマスクレイアウトのモデルを定義するマスクモデルモジュール30と、リソグラフィシステムの光学コンポーネントの性能を定義する光学モデルモジュール32と、所与のプロセスで使用されているレジストの性能を定義するレジストモデルモジュール34とを含む。周知のように、シミュレーションプロセスの結果は、例えば、結果モジュール36内の予測輪郭とCDとを生成する。
[035] より詳細には、照明及び投影光学系の特性は、これに限定されないが、NA−シグマ(σ)の設定と任意の特定の照明源の形状とを含む光学モデル32内で捕捉されることに留意されたい。基板上にコーティングされたフォトレジストレイヤの光学特性、すなわち、屈折率、膜厚、伝搬及び偏光効果も光学モデル32の一部として入手することができる。マスクモデル30は、レチクルのデザインフィーチャを入手し、また、例えば、米国特許出願第60/719,837号に記載のマスクの詳細な物理特性の表記を含むことができる。最後に、レジストモデル34は、例えば、基板ウェーハ上に形成されたレジストフィーチャの輪郭を予測するために、レジスト露光、PEB及び現像の際に実行される化学的プロセスの効果について記述する。シミュレーションの目的は、例えば、後でターゲットデザインと比較することができるエッジの配置及びCDを正確に予測することである。ターゲットデザインは、一般に、OPC前のマスクレイアウトとして定義され、GDSII又はOASISなどの標準化ディジタルファイルフォーマットで提供される。
[036] 一般に、光学要素とレジストモデルとの間の接続は、基板上への光の投影、レジスト界面での屈折、及びレジスト薄膜スタック内の多重反射から発生するレジストレイヤ内のシミュレートされた空間像である。光の強度分布(空間像)は、光子の吸収によって潜像の「レジスト画像」('resist image')に変換され、拡散プロセス及び種々の負荷効果によってさらに変形される。フルチップの用途で十分に高速の効率的なシミュレーション方法は、2次元空間(及びレジスト)画像によってレジストスタック内の実際的な3次元強度分布を近似する。リソグラフィモデルの効率的な実施態様は、画像(偏光ベクトル効果を含むように拡張可能なスカラー形式の)画像が瞳面内の信号振幅に対するフーリエ和として表される以下の公式を用いて可能である。標準のホプキンス理論によれば、空間像は下式で定義することができる。
(式1)
ここで、I(x)は、画像面内の点xの空間像強度(表記を簡単にするために、1つの変数で表す2次元座標を用いた)、kは光源面上の点、A(k)は点k、k’からの光源振幅、k”は瞳面上の点、Mはマスク画像のフーリエ変換、Pは瞳関数を表し、
である。上記導出の重要な側面は、総和順の変動(内部のkに対する総和の移動)及び指標の変動(k’をk+k’に置き換え、k”をk+k”に置き換える)であり、その結果、式の3行目の角括弧内の項によって定義される相互透過係数(TCC)が分離される。それらの係数はマスクパターンから独立しており、光学要素又は構成だけ(例えば、詳細な照明プロファイルのNA及びσ)の知識を用いて事前計算することができる。また、所与の例では、(式1)は、スカラー結像モデルから導出されるが、この式はTE及びTM偏光成分が別々に合計されるベクトル結像モデルにも拡張することができる。
[037] さらに、近似空間像は、TCCマトリクスを対角化しその最大固有値に対応する項を保持することで限られた数の優勢TCC項のみを用いて計算することができる。すなわち、
(式2)
ここで、λ(i=1,...,N)は、N個の最大固有値、φ(・)は、TCCマトリクスの対応する固有ベクトルを表す。(式2)は、すべての項が固有の級数展開内に保持されている時に、すなわち、NがTCCマトリクスのランクに等しい時に成立することに留意されたい。しかし、実際の用途では、より小さいNを選択して級数を切り捨て、計算プロセスの速度を増加させることが通常である。
[038] それ故、(式1)は、以下のように記述できる。
(式3)
ここで、
及び|・|は、複素数の大きさを表す。
[039] 十分な数のTCC項と適当なモデル較正方法を用いることで光投影プロセスを正確に記述でき、リソグラフィシミュレーションモデルの光学系及びレジストモデル又は部品への「分離可能性」('separability')が確保される。理想的な分離可能モデルでは、NA、シグマ、デフォーカス、収差などのすべての光学的効果は、光学モデルモジュール内で正確に捕捉されるがレジスト効果だけがレジストモデルによってシミュレートされる。しかし、実際、すべての「効率的な」リソグラフィシミュレーションモデル(フルチップシミュレーションで実際に扱うには、一般に、速度が足らず調整可能なパラメータの数が多すぎる第1の原理のモデルとは異なり)は、ある程度実験的なものであり限られたパラメータセットを使用する。場合によっては、光学及びレジスト特性の両方の組合せの純効果に対応する「ランプ」('lumped')パラメータが存在する。例えば、レジストのPEBの際の拡散プロセスは、レジスト内に形成された画像をぼやけさせるガウスフィルタによってモデリングされるが、類似のフィルタによっても、投影システムの迷光の効果、ステージ振動の効果、又は高次収差の組合せ効果を説明することができる。ランプパラメータは、フィットされた較正ポイントに近いプロセス挙動を再生することができるが、分離可能モデルと比較すると予測力が劣る。例えば、光学的ぼかしとレジスト拡散に2つの独立したフィルタを用いる上記の例と、レジスト効果から光学的効果を隔離する適した較正方法では、分離可能性は、通常、十分に詳細なモデル形態を必要とする。
[040] 大半の用途で分離可能なモデルが一般に好まれるが、以下に述べる本発明の方法に関連するプロセスウィンドウ「PW」を通して見える空間像の変動の記述は、厳格なモデル分離可能性を必要としないことに留意されたい。PWを通して見える空間像の変動を正確に捕捉するために、一般のレジストモデルを採用するための方法を本発明の方法に関連して以下に詳述する。
[041] 本発明は、プロセスウィンドウ全体にわたるパラメータ変動、すなわち、追加プロセスパラメータの露光ドーズ量及びデフォーカスをカバーするリソグラフィパターン形成性能の効率的なシミュレーションを提供する。すなわち、画像ベースの手法を用いて、この方法は、焦点及び露光ドーズ量の変動又は一般PWの他の追加の座標の関数としての空間像又はレジスト画像の多項級数展開を提供する。これらの式は、TCC及び導出TCCマトリクスに関連する画像及び導出画像を含む。これらの式の線形組合せによって任意のPWポイントで生成された画像の極めて効率的な評価が可能になる。さらに、PW全体にわたるエッジ位置のシフト又はCDの変動もシミュレートされた画像の限られたセットの簡単な線形組合せとして分析形態で表現される。この画像セットは、N回の別々のPW条件下での画像の計算によるN倍ではなく、NC(公称条件)下での1つの画像の計算に要する計算時間の約2倍の計算時間内で生成することができる。この画像セットが確認されると、設計上の各々の単一のエッジ又はCDのPWを通して見た完全な挙動が直ちに決定することができる。
[042] 本発明の方法を、モデル較正、リソグラフィデザイン検査、共通PWの評価に基づく歩留り見積もり、ホットスポットの識別、PW認識型OPCによるホットスポットの修正及び修復、及びモデルベースのプロセス制御補正などと連携して活用し、リソグラフィレイヤの共通PWをセンタリングすることもできることに留意されたい。
[043] この方法の基本手法は、一般的なレジストラインのレジストライン幅(又はエッジ配置)の焦点を通して見た変動を考慮することで理解できる。レジストラインのCDは、通常、ベストフォーカスで最大値又は最小値をとるが、CDはいずれの方向にも円滑に変動することは周知である。従って、特定のフィーチャの焦点を通して見たCDの変動は、十分に小さいデフォーカス範囲のCD対デフォーカスの多項式フィット、例えば、2次フィットによって近似できる。しかし、CDの変動の方向と大きさは、レジストしきい値(クリアドーズ量)、特定の露光ドーズ量、フィーチャタイプ、及び近似効果に大幅に依存する。それ故、露光ドーズ量及び焦点を通して見たCDの変動は、PW空間全体にわたるCDの直接の一般パラメータ化又はエッジ配置の変動を防止する非線形形態で強く結合されている。
[044] しかし、空間像も焦点を通して見た連続的な変動を示すと予測される。各マスクポイントは、投影システムのポイント拡散機能によって特徴付けられる画像面内の有限サイズの点に結像することができる。このスポットはベストフォーカスで最小サイズをとるが、正及び負のデフォーカスの両方についてより広い分布に連続的にぼやける。従って、露光フィールド内の各々の個別画像ポイントの2次多項式として焦点を通して見た画像強度の変動を近似することができる。
(式4)
ここで、fは公称又はベストフォーカス位置、fは画像Iが計算される実際の焦点レベルである。2次近似が十分小さいデフォーカス範囲で成立すると予測されるが、近似の精度は、必要に応じて高次項(例えば、3次及び/又は4次項)を含めることによって容易に改良することができる。実際、(式4)は、公称ベストフォーカス面周囲の空間像のテイラー級数展開の開始項として識別できる。
(式5)
上式は、追加の高次項を含むことで空間像の実際の焦点を通して見た変動を任意に十分に表すところまで原則的に適用することができる。多項式基底関数の選択は、焦点を通して見た空間像変動の級数展開を表現できる唯一の可能性であり、本発明の方法は、この実施態様に限定されない、例えば、基底関数はベッセル関数、ルジャンドエル関数、チェビシェフ関数、三角関数などの空間関数であることに留意されたい。さらに、プロセスウィンドウの項は、デフォーカス及び露光ドーズ量にわたって拡大する変動として最も普通に理解されるが、プロセスウィンドウの概念を拡張してNA及びシグマの変動などの追加又は別のパラメータの変動をカバーすることができる。
[045] (式4)と(式5)を比較すると1次及び2次の導出画像としてのパラメータ「a」と「b」の物理的な意味が明らかになる。これらは、各画像ポイントについて有限差動関数によって導出として原則的に直接決定される、(式4)及び(式5)に代入されて画像変動を補間する。別の方法としては、より広い範囲での補間と実際の焦点を通して見た変動との全体の一致を改善するために、パラメータa及びbを空間像が{I,I,...,I}として明示的に計算されるいくつかの焦点位置{f,f,...,f}について(式4)の最小二乗フィットから得ることができる。パラメータa及びbは、最小二乗の意味での以下の連立方程式の解であると分かる(連立方程式が多重解決されるL>3と仮定する)。
[046] 一般性を失わずに、表記を簡単化するために、f=0と仮定する。次に固定画像ポイントについて、
(式6)
ここで、Iは、公称条件(NC)、すなわち、f=fでの空間像である。上記連立式の解は、二乗した差の以下の総和を最小にし、添え字lは、L個の異なる焦点条件を指す。
(式7)
ここで、Wは、デフォーカスf(l=1,2,...,L)へのユーザ割り当ての重みである。{W,W,...,W}であるが別々の焦点に別々の重みを割り当てることができる。例えば、2次多項式近似をNCにより近いPWポイントでよりよく一致させるためにNCに近いより大きい重みとNCから離れたより小さい重みを割り当てることができる。又は、すべての焦点に同じ重要度を持たせる場合、単に同じ重み、すなわち、W=W=...=W=1を割り当てればよい。公称条件に対して焦点とドーズ量が大きく変動する場合、印刷で多くのパターンが不安定になり、CDの測定値は信頼できず、その場合、そのようなプロセスウィンドウ条件に小さい重みを割り当てることが望ましい。
[047] (式7)を解くには、最良のフィットが以下の条件を満たすことに留意されたい。
(式8)
(式8)は、分析的に解くことができ、下記のように{I}の線形組合せ又は重み付き総和としての「a」及び「b」の直接表現が得られる。この線形組合せの係数はピクセル座標にもパターンにも依存せず、{f}及び{W}の値にのみ依存する。従って、これらの係数は、空間fの補間のための線形フィルタを形成するものと理解でき、基底関数としての多項式の具体的な選択によって、マスクパターンから独立して係数の特定の値が決定される。より詳細には、値{f}及び{W}が決定されると、特定の露光量設定が分からなくても、又は空間像のシミュレーションを実際に行わなくても、これらの係数の計算が実行される。
[048] (式8)を解く場合に、(式7)を以下のように記述できる。
ここで、l = 1, 2,…,LについてΔIl = I1 - I0
その結果、(式8)は、以下のように展開できる。
それ故、
(式9)
ここで、
なお、
(式10)
以下に説明するように、この特性はレジストモデル部で有用である。上記の連立式を容易に一般化して高次多項式フィットに対応することができる。
[049] 導出画像「a」及び「b」を導入する利点は、PW各々の分析に必要な具体的な各デフォーカス設定でフル画像シミュレーション(すなわち、TCCを用いマスクパターンの畳み込み)ではなく、(式4)を用いて、デフォーカスオフセット及び簡単な加算によってa及びbの画像を直接にスケーリングしてプロセスウィンドウの任意の点で空間像を予測できるという点である。さらに、露光ドーズ量の変動は、画像強度を(1+ε)の率で画像を単にスケーリングすることで表現することができる。
(式11)
ここで、I(x,f)は公称露光ドーズ量での空間像、εはドーズ量の相対変動である。
これを(式4)と組み合わせて次の一般的な結果が得られる。
(式12)
ここで、ΔIは、通常、PWパラメータ変動の合理的な範囲内の小さい変動である。
[050] 上記方法は、輪郭、CD又はエッジ配置誤差(EPE)が異なるデフォーカス条件下の空間像から抽出される図3のフローチャートに例示される。図3を参照すると、プロセスの第1のステップ(ステップ40)でシミュレートするターゲットパターン又はマスクパターンと使用するプロセス条件とが識別される。次のステップ(ステップ42)で上記の(式3)に従って公称画像I及びM個のデフォーカス画像{I}が生成される。その後、(式9)を用いて導出画像「a」及び「b」が生成される(ステップ43)。次のステップ(ステップ44)で(式4)、すなわち、I、a(fによってスケーリングされた)及びb(fによってスケーリングされた)の合成を用いて、デフォーカス画像が生成される。次に、シミュレートされた画像から輪郭が抽出され、CD又はフィーチャEPEが決定される(ステップ46)。次にプロセスはステップ48へ進み、カバレッジが十分にあるか否か(例えば、プロセスウィンドウの境界線を決定できるか否か)が判定される。その答えが「いいえ」であればプロセスはステップ44に戻り、上記プロセスを繰り返す。十分なカバレッジがあれば、プロセスは完了する。
[051] プロセスウィンドウの十分なカバレッジには、N個のプロセスウィンドウのポイントでの評価が必要であり、導出画像a及びbのフィットにL<Nの画像が使用される場合、計算時間の低減はL/Nに近づく。これは、所定の画像I、a及びbのスケーリングは、各々の新しいパラメータ設定で投影画像の計算を個々に繰り返す方法よりも計算時間が大幅に少ないためである。上記方法は、空間像のシミュレーションの詳細にかかわらず、一般的に適用することができる。さらに、この方法は、空間像だけでなくシミュレートされた輪郭が抽出されるレジスト画像にも適用することができる。
[052] 上記の方法は、いかなる特定のモデルにも、また変動するデフォーカスでの空間像のセット{I,I,...,I}をシミュレートするための実施態様にも依存しない。しかし、上記の方法では、2より大きい数であるL個(L>2)の個別画像を対象のマスクレイアウトごとにシミュレートする必要がある。本発明の方法の第2の実施形態では、(式1)で導入したTCC形式(TCC formalism)によってさらに効率的な解決策が可能になる。
[053] (式1)から、焦点f(l=0,1,...,L)での各空間像は、以下のように定義することができる。
ここで、TCCは、焦点fでのTCC、TCCl、k’、k”は、TCCの指標要素、M(・)は、焦点から独立したマスク画像を表す。
[054] これを(式9)と組み合わせ、加算順序を変えると以下のようになる。
(式13)
それ故、新しいTCCが以下のようにTCC(l=0,1,...,L)の線形組合せとして定義される場合、
(式14)
「a」及び「b」は、A及びBから直接に計算することができる「空間像」である。すなわち、
(式15)
ここで、
及び
は、それぞれA及びBのマトリクス要素である。これは、別々の面の空間像の線形組合せがこれらの面に対応するTCCの1つの線形組合せによって計算することができるということも意味する。
[055] L個の焦点を通して見た画像ではなくTCC、A、及びBを使用する利点は、TCC、A、及びBが既知の照明及び投影パラメータについて実際のマスクパターンから独立して事前計算できることである。これによって計算時間がさらに低減される(マスクパターンごとに焦点を通して見たシミュレーションをL回行う方法と比べて)可能性が生まれた。この方法を以下に詳述する。A及びBを生成するには、異なるデフォーカス条件下での1つの空間像のセットの計算も、この空間像のセットからの較正も必要ではない。TCC、A、及びBが計算されると、これらの項は、一般に適用されて(式15)及び(式4)を用いて任意の特定のマスクデザインについて焦点を通して見た画像性能が予測される。焦点を通して見た変動の他に、公称条件付近の露光ドーズ量の変動も(式11)及び(式12)に記載する同じ線形スケーリングによってTCC項に適用することができる。
[056] TCCとしてのA及びBから導出画像a及びbを計算することで、(式2)に関連して説明したように、A及びBという優勢な項だけを用いて計算時間をさらに低減することができる。より詳細には、TCC、A及びBの対角化を以下のように仮定する。
(式16)
ここで、λ0,i(i=1,...,N)は、N個の最大固有値、φ0,i(・)は、TCCマトリクスTCCの固有ベクトルを表す。λA,i(i=1,...,N)は、N個の最大固有値、φA,i(・)は、対応するTCCマトリクスAの固有ベクトルを表す。λB,i(i=1,...,N)は、N個の最大固有値、φB,i(・)は、対応するTCCマトリクスBの固有ベクトルを表す。
[057] 次に、(式3)から、マスク画像M(・)について、
(式17)
ここで、Iは、公称空間像
及び
である。使用するTCC項の数を増やすと、一般に、光学モデルの精度と光及びレジストモデルコンポーネントの分離可能性とが向上する。しかし、画像又はTCC導出はPW内の通常CD変動の10%程度比較的小さい変動に関連するため、公称条件TCCの場合と比較して必要なA及びB項の数は少なくて十分である。例えば、TCCについて64項を考慮する場合、十分なCD予測精度(すなわち、N=64)を達成するのに通常は32項しか必要ではない。この場合、公称条件Iと比較して導出画像a及びbを生成するためにほぼ同量の計算時間が必要であろう。元のTCCマトリクスとは異なり、A又はBなどの係数TCCマトリクスは、一般に、非負定値をとらない。これは、導出TCCマトリクスについて正と負の固有値が存在することを意味する。従って、固有の級数展開からの第1項及び切捨ては、最大絶対値を含む正及び負のすべての固有値を含むはずである。
[058] (式5)と同様に、(式14)を級数展開から導出することもできる。より詳細には、公称又はベストフォーカスf付近でのTCCマトリクス要素の変動も以下の級数展開として表現することができる。
(式18)
[059] それ故、前節で論じた焦点を通して見た空間像のフィッティングと同様に、級数展開の係数は、数値有限差分法から、又は焦点位置に対応するいくつかの計算されたTCC項から直接に評価することができる。フィッティング手法では妥当性の範囲が広く、重み係数を導入してPWのある場所を多少強調する。この手法は、(式6)〜(式9)内の対応するTCCによって試験画像のセットを置き換えた後で(式6)〜(式9)に従う。その結果、TCCによってIを正式に置き換えた後で、上記の同じ線形組合せからベストフィットの導出マトリクスA及びBが以下のように得られる。
(式19)
ここで、hal及びhblが(式9)を用いて計算される。hal及びhblは、パターンにもTCCにも依存しない定数であることに留意されたい。それ故、A及びBは、種々のデフォーカス条件下(TCC〜TCC)での公称条件TCCとTCCのセットの線形組合せにすぎない。
[060] (式19)は(式14)と同じであり、これら2つの代替手法によって同じ最終公式が得られることに留意されたい。同様に、(式4)は(式15)、(式18)、及び(式19)から導出される。
[061] 第2の実施形態の方法は、輪郭、CD又はエッジ配置誤差(EPE)が異なるデフォーカス条件下の空間像から抽出される図4のフローチャートに例示される。プロセスの第1のステップ(ステップ50)で所望のプロセスに関連するプロセス固有の光学条件が識別される。次のステップ(ステップ52)で公称条件TCC及びL個のデフォーカス{TCC}が生成される。その後、(式14)を用いて導出TCCのA及びBが生成される(ステップ54)。次のステップ(ステップ58)で、(式17)を用いて、マスク画像をTCC、A、及びBで畳み込むことで画像I、a、bが生成される。次に、各マスクデザインについて(ステップ56)、デフォーカス画像が((式4)を用いて合成され(ステップ60)、シミュレートされた画像が生成される。次に、シミュレートされた画像から輪郭が抽出され、CD又はフィーチャEPEが決定される(ステップ62)。次に、プロセスはステップ64へ進み、カバレッジが十分にあるか否かが判定され、プロセスウィンドウの境界線を決定できるか否か決定される。その答えが「いいえ」であれば、プロセスはステップ58に戻り、上記プロセスを繰り返す。十分なカバレッジがあれば、プロセスはステップ66へ進み、マスクデザインによって生成された画像が許容誤差の範囲内にあるか否かが判定される。範囲内にある場合、プロセスは完了する。範囲内にない場合、プロセスはステップ56に戻り、マスクの調整と再設計とが可能になる。この最後のステップはプロセス内のオプションのステップであることに留意されたい。
[062] 図4のフローチャートは、特に初期マスクデザインの反復するPW認識型OPC変形に必要な「マスク変動ループ」内に埋め込まれたPW分析を示す。この場合、PWを通して見た画像評価の計算速度が向上すれば特に有利である。
[063] 計算時間のさらなる低減は、光学システムについての別の適した仮定又は先天的な知識によって達成することができる。例えば、強い収差がない場合、焦点を通して見た空間像の強度の変動はデフォーカスの偶(すなわち、対称)関数であると予想される。従って、1次導出「A」及び「a」はこれらの条件下では無視することができる。
[064] この簡単化は、デフォーカスの効果が瞳関数を位相係数p=pexp[ja(f−f](公称焦点は、f=0)で乗算した値に対応することを知ればさらに正当化される。デフォーカスが小さい場合、位相シフトは、テイラー展開:p=p.[1+ja(f−f](線形項を含まない)により近似することができる。
[065] 上記のすべての方法は、露光ドーズ量及びデフォーカスに加えて別の又は追加の基底パラメータによって確立できる一般的なプロセスウィンドウ定義に拡張することもできる。これらは、これに限定されないが、レジストレイヤのNA、シグマ、収差、偏光、又は光学定数などの光学設定(その結像プロセスへの効果が光学モデル、すなわち、TCC内に含まれている)を含む。公称条件付近のNAの変動を含む例として、空間像は以下のように表される。
(式20)
ここで、I,I,a,...,eは、それぞれ2次元画像及び画像導出である。追加のパラメータ「c」、「d」、及び「e」は、f及びNAの変動するパラメータ値でのシミュレートされた画像のセット又はシミュレートされたTCCのセットへの最小二乗フィットによって決定でき、(式11)及び(式12)に示す露光ドーズ量のスケーリングも適用される。(式9)と同様に、これらのパラメータ(a、b、c、d、及び交差項の係数e)は、空間像{I}の線形組合せであることに留意されたい。この線形組合せの係数はピクセル座標にもパターンにも依存しないが、{f}、{NA}の値、及び/又はユーザによって割り当てられた重み{W}にのみ依存する。
[066] この一般化されたPWモデルでは、物理的な考察に基づく簡単化も可能である。例えば、NAが変動する場合、その変動は、画像の変動にむしろ単調で直線的な影響を与えると予想される。その場合、おそらくはデフォーカスの線形項に加えてNAの高次の「d」及び「e」項を切り捨てることで(式20)を簡単化できる。また、任意の一般化されたPW定義について、公称条件下でのIの計算に使用するTCC項の数は、TCC導出A,B,...から画像変動を計算するための項数と同じである必要はない。公称条件付近の小さいパラメータ変動による小さい画像変動の十分に正確な説明は、Iの多数の項と大幅に少ない数の導出とで達成でき、これによって全体の計算時間が低減できる。
[067] 話を分かりやすくするために、以下の説明は、デフォーカス及び露光ドーズ量に基づいて行う。しかし、(式20)に示すように、本発明のすべての開示は、レジストレイヤのNS、シグマ、収差、偏光、又は光学定数を含む一般化されたPWに拡張することができる。
[068] 上記の実施態様では、PWパラメータの範囲のベストフォーカス付近の空間像の分析式が導出された。以下の説明では、PW全体にわたってシミュレートされたレジスト輪郭を取り出す基礎を形成するレジスト画像計算のための類似の式及び方法を導出する。
分離可能な線形レジストモデル
[069] 投影された空間像による照明へのフォトレジストの反応は、限界挙動を有し、極めて非線形的であるが、PEB時の拡散などのレジストレイヤ内で発生する多くのプロセスは、しきい値を適用する前に1つ又は複数の線形フィルタで空間像を畳み込むことでモデル化できる。そのようなモデルを一般に「線形」レジストモデルと呼び、そのようなモデルのレジスト潜像はおよそ次のように表される。
(式21)
ここで、P{}は線形フィルタの適用の関数演算(すなわち、一般に畳み込み)を示し、Rは空間像から独立したマスク負荷バイアスである。レジストしきい値は、レジスト輪郭がR(x)=0である位置に対応するようにR内に含まれる。
[070] このモデルを上で導出された一般的な、スケーリングされ、補間された空間像、すなわち、(F=0で普遍性を失わない式12)に適用すると、以下のようになる。
(式22)
ここで、Rは公称条件(NC)下のレジスト画像である。露光ドーズ量及び焦点(又は他のPWパラメータ)の変動によるすべての補正は画像Iに対するのと同じフィルタを導出画像a、bに適用することで導出される。
[071] さらに、線形フィルタの効果は、結像TTC形式内に含めることができる。これは、空間ドメイン内のフィルタを用いた畳み込みは、周波数ドメイン内のフィルタのフーリエ級数成分で乗算することと同等であるためである。空間像の式(式1)から始めて、下式が得られる。
k’、k”のTCCマトリクス要素は、量TCCk’,k”M(k’)M(k”)だけI(x)の(k’−k”)周波数成分に寄与する。従って、
の式(g(x)は空間フィルタ、フーリエ変換はG(k))で定義されたレジスト画像は、下式で表される。
新しいTCCマトリクスは、
と定義される。
[072] この手順によって、線形フィルタは、双線形TCCマトリクスに組み込まれる。純粋に光学的な空間像適用可能なすべての計算手順は、線形方式でフィルタリングされた空間像に適用することができる。単一の式(式1)の評価だけで、フィルタPのフーリエ係数に対応する重み係数を変更するだけで完全なレジスト画像を生成できるため、この特性によって全体の計算時間を大幅に低減することができる。所与の任意のマスクデザインで、この公式を用いて、事前計算されフィルタで調整されたTCC、A及びBマトリクスから画像P{I}、P{a}、P{b}を各々1回で直接生成することができる。(式22)は、任意のPWポイントの実際のレジスト画像をこれらの3つの画像の組合せとして定義する。
分離不能な線形レジストモデル
[073] 以上の説明では、レジストモデルを確立する線形フィルタのすべてのパラメータがプロセスウィンドウのパラメータの変動があっても一定であることを前提としていた。これは、全体的に分離可能なリソグラフィモデルの1つの条件、すなわち、レジストモデルパラメータが光学モデルパラメータから独立しているという条件に等しい。分離可能性の実際の試験では、モデルを正確に較正し、試験データをPWの全域にわたってフィットさせる能力が試される。実際、フルチップリソグラフィシミュレーションに適したモデルの半経験的な性質は完全な分離可能性を排除し、デフォーカス、NA又はシグマ設定などのPWパラメータと共に変動することができるレジストモデルパラメータを必要とすることがある。物理的に動機付けされたモデルでは、モデルパラメータは、PW変数の変動時に極めてスムーズに変動することが予想される(又は制約として要求される)。この場合、レジスト画像の級数展開は、レジストモデルパラメータの導出項を含むことができる。
[074] 図示の都合上、デフォーカスを唯一のPWパラメータとしている。線形レジストモデルが線形フィルタ(又は多数の線形フィルタ)による畳み込みと同等である場合、分離可能なモデルは下式で記述できる。
(式23)
一方、分離不能なモデルは、フィルタの明示的なf依存を必要とする。
(式24)
[075] 焦点を通して見た変動を考察すると、形式上の級数展開は(式24)に適用することができる。ここでは、1次項のみを示す。
(式25)
ここで、
(式26)
[076] レジストモデルパラメータがPW空間にわたって連続して変動する場合、AI及びTCCについて導出した類似の級数展開及びフィッティングをモデル較正時にレジストモデルパラメータに適用することができる。この場合、線形の導出フィルタaPを計算して(式25)で使用することができ、この式を直接拡張して高次項を含ませることができる。この場合、レジストモデルパラメータと空間像の変動は、全PW領域にわたってスムーズに補間される。試験又はゲージパターンから得た実験的ウェーハデータに基づくPWを通して見たモデル較正でPとaPの両方を決定することができる。
[077] しかし、レジストモデルパラメータがPW内で非単調に変動するように見える場合でも、較正ポイント間でのピースワイズの補間があれば任意のPWポイントで「最良推測」('best-guess')レジストモデルパラメータを提供することができる。
一般レジストモデル
[078] 空間又はレジスト画像の切捨てなどの非線形演算を含む一般のレジストモデルの場合、(式22)に示す公称条件及び導出項への直接の分離はもはや有効ではない。しかし、非線形を扱う3つの代替方法がある。
i)対応線形フィルタ
[079] 第1に、線形フィルタP{}は、NC(公称条件)下でのレジストモデルをもはや正確に記述できないという解釈によって、PWを通したレジスト画像の一般的な変動は(式22)の第2行によって正式に近似できる。代わりに、NCに対する差動レジスト画像の変動の最良の表現を再現するために、線形フィルタP{}が選択される。非線形モデルによってNCでの最も正確なモデルフィッティングが保証されるが、このモデルでは線形モデルよりも大幅に計算時間が長くなることがある。そのような対応する線形フィルタを信頼してPWを通して見た差動挙動をエミュレートすることで、R(x)を生成するのに非線形モデルの評価が1回ですむ一方、多数のPW条件下でのPW分析は、P{I}、P{a}、P{b}のより効率的な評価に基づいて行うことができる。
[080] 公称条件のレジストモデルとそれに対応するフィルタの係数は、米国特許出願第60/719,837号に記載の方法の延長としての、較正試験パターンとウェーハゲージデータ処理パターンの変動及びプロセスウィンドウの変動に基づく統一モデル較正から決定することができる。
[081] さらに、米国特許出願第60/719,837号に記載の方法に従って有効な統一PWモデル(FEM)が生成され較正されると、このモデルはレジスト画像のPWを通して見た変動の最良の予測を提供する。関連する最適なフィルタのパラメータが、追加の実験較正データを使用することなく、関連するフィルタを使用する簡単化されたモデルと完全な較正されたモデルとの全体の(RMS(二乗平均値の)差分を最小限にすることで決定される。
[082] 例えば1−D(線/空間)及び2−D(線端など)のパターンを含む任意の適した数と範囲の試験構造でフルモデルを使用することで、任意の数のPWポイントについて「正確な」レジスト画像及び輪郭をシミュレートすることができる。さらに、導出画像a及びbの値はレジスト輪郭付近で計算することができる。パターンごとに、PWを通して見たR(x)の変動が、例えば、線端部試験パターンの線先端部などのパターン固有のゲージポイントで、又はNCレジスト輪郭の任意のポイントに沿って計算される。これらの評価ポイントxの各々で、次式が使用される。
(式27)
ここで、xはレジスト輪郭上にある前提なので、R(x,ε=0,f=f)=0であろう。
ΔR(x,ε,f)は、下式によって近似する。
(式28)
[083] 従って、対応する最適なフィルタによって、(式27)と(式28)との差分の二乗の総和が最小化され、さまざまな周知の最適なアルゴリズムによって決定することができる。対応するフィルタのフィッティング時の(式27)と(式28)の評価はレジスト輪郭で実行すべきであり、結果として使用するフィルタはエッジ位置付近で変動を最も精密に再生することに留意されたい。エッジ位置から遠くに離れた位置での、レジスト画像モデル内の変動を正確に予測する機能に関する対応するフィルタの性能は、一般に必要ではない。このフィッティングルーチン後に、レジスト画像のフルPW挙動が以下のように与えられる。
(式29)
ここで、フィルタリングされた差分画像はTCC形式で効率的に計算することができ、ΔRは比較的小さい変動を構成し、任意のPWポイントでのレジスト画像は、4つの画像R、P{I}、P{a}、及びP{b}の簡単な線形組合せから予測できる。
ii)埋め込み線形化
[084] 上記の手法は、それがすべてのパターン固有のゲージポイント又はNC(公称条件)レジスト輪郭の任意のポイントについて(RMS)差分を最小限にする単一の線形フィルタであるという点で最適である線形フィルタ(すなわち、対応するフィルタ)を提示する。次に、レジストモデルの線形化を導出レジスト画像の計算に埋め込む別の手法について説明する。
[085] より詳細には、(式2)でa及びbを得た後で、目標は、R、Ra、及びRbの線形組合せ(普遍性を失うことなくf=0であると仮定して)
(式30)
が、重み{W,W,...,W}のセットを含むことが可能な状態でいくつかの焦点位置f={f,f,...,f}について(但し、RはNCでのレジスト画像)、
(式31)
のべストフィットになるようにR、Ra、及びRbを識別することになる。(式31)は、基本的に(式2)で表現された空間像をレジストモデルR{・}を適用する。レジストモデルR{・}は非線形でもよいため、Ra及びRbは必ずしもP{a}及びP{b}又はR{a}及びR{b}とは限らない。
従って、
(式32)
ここで、hal及びhblは、(式9)で定義された係数である。これらの係数は、{f,f,...,f}と、可能であれば重み{W,W,...,W}とに依存し、R(x,f)又はI(x,f)には依存しない。
[086] 一般に、レジストモデルR{・}は、次式のように分離される。
(式33)
ここで、Rは、空間像I(x)又は焦点から独立したマスク負荷バイアス、P{}は、線形フィルタ演算、PNL{}はある種の非線形演算である。
[087] (式32)と(式33)とを組み合わせると、
(式34)
[088] 前述したように、P{}は線形演算であるため、
(式35)
[089] 予測のように、上記の(式9)及び(式10)の助けを借りて以下の結果を導出することができる。
(式36)
それ故、Ra及びRbは、
(式37)
から計算することができる。
[090] この手法の利点は、単一の線形フィルタを用いてすべてのゲージポイントでのPWを通して見た差動挙動を捕捉しようとはしない点である。それどころか、この手法は、各ピクセルについて(RMS)差分を最小化し、全体の精度を向上させる。さらに、この手法では、パターン固有のゲージポイントやすべてのNCレジスト輪郭の隣接ポイントを識別する必要がない。1つの欠点は、この手法では、Ra又はRbの計算の複雑さがわずかに増えることである。しかし、PWを通して見たレジスト画像の合成にはスケーリングとR、Ra及びRbの追加しか必要でないため、導出画像の計算の複雑さの増加は、特に濃密なPWのサンプリングの際のPWを通して見たレジスト画像の計算の複雑さと比べると一般に大きなものではない。
iii)非線形演算の多項式近似
[091] 第3の手法では、非線形レジストモデルの演算が多項式を用いて近似される。より詳細には、画像I(x)上の切捨て演算で、酸及び基底反応効果をエミュレートするために、画像の2次多項式が十分な近似を提供する。別の典型的な非線形演算、すなわち、画像スロープの線形フィルタリングは、画像勾配G{I(x)}=I(x)−I(x−1)、それ故、空間像I(x)それ自体の2次関数の線形フィルタリングとして正確に表現することができる。より詳細には、G{}を勾配演算、線形フィルタをPslope{・}とすると、この非線形演算は次のように表される。
(式38)
[092] 要約すると、空間像I(x)から得られるレジスト画像は以下のように近似できる。
(式39)
[093] ここで、P{・}は、空間像の線形フィルタ、P{・}は、空間像の二乗項の線形フィルタ、Pslope{・}は、空間像の勾配項の線形フィルタを表し、Rは、画像パターンから独立したマスク負荷バイアスである。それ故、レジスト画像はデフォーカス値の4次多項式として表される。しかし、典型的な用途では、R(x)及びR(X)は極めて小さく無視して計算効率を向上させることができる。
[094] 上記のように、リソグラフィデザイン検証の目標は、印刷されたレジストエッジ及び線幅が確実にデザインターゲットからの事前指定された距離の範囲内にあるようにすることである。同様に、プロセスウィンドウのサイズ、すなわち、露光緯度及び焦点深度は、指定のマージン内に収まるCD又はエッジの配置によって定義される。上記種々の方法は、焦点及び露光ドーズ量又は他の一般化されたPWパラメータの変動に伴うレジスト信号レベルの変動を決定する効率的な方法を提供する。各々の方法の結果、NC(公称条件)画像Rの変動としてのPWを通して見たレジスト画像の変動ΔRの近似式が得られた。
[095] R(x)の上記変動をエッジ配置の変動に関連付けるために、大半の場合は、CD又はエッジ配置の許容誤差が小さいため、1次近似で十分である。従って、任意のレジスト輪郭(R=0)の横方向シフト(すなわち、エッジ配置の変動)は、次式のように、元の(すなわち、NCの)輪郭位置での画像勾配Gと、焦点、ドーズ量などの変動によるレジスト画像レベルの変動ΔRとによって簡単に近似される。
(式40)
ここで、初期輪郭位置と勾配は、NC、すなわち、R(x,y)でのレジスト画像から決定される。2次元エッジシフトは、各方向の部分画像導関数によってx及びy方向に別々に計算することができ、あるいは、絶対勾配値、すなわち、S=R(x,y)−R(x−1,y)とS=R(x,y)−R(x,y−1)との幾何学的総和、すなわち、絶対勾配値S=√(S +S )を用いた絶対シフトとして計算することができる。
[096] 上記説明から、エッジシフトは、上に定義した差動画像の関数として直接表すことができる。
(式41)
CD又は線幅の変動は、線のいずれかの側に個々のエッジの配置のシフトを追加することで決定でき、一般にΔCD=2・ΔEPになる。(式41)が典型的な2次などの焦点を通して見たCD又はEPE曲線の挙動を再生できることは明らかである。より重要なことには、NCでの単一の画像のシミュレーションよりわずか約1回多い計算で達成することができる(差の十分な精度のために必要なTCC項の数はより少ないと仮定して)[R,P{I},P{a},P{b}]などの画像のセットの計算後に、(式41)を適用して、時間がかかる画像シミュレーションをそれ以上実行することなく、設計上のあらゆる単一のエッジ位置ごとに完全なPWを分析的に作成することができる。この方法を示す一般的なフローチャートを図5に示す。
[097] 図5を参照すると、第1のステップ(ステップ80)は、リソグラフィプロセスと結像プロセスで使用されるシステムとに関連するプロセス固有のパラメータを定義するステップを含む。その後で、導出TCCであるA及びBが(式14)を用いて生成される(ステップ82)。ステップ84で、複数のプロセスウィンドウ条件について較正試験データが獲得される。ステップ85で、ステップ82の結果を部分的に使用してR{}及び/又はそれに対応するフィルタP{}のモデルパラメータが決定される。次に、ターゲットマスクパターン又はデザインが定義される(ステップ86)。プロセスは進行してR(x)、P{I}、P{a}及びP{b}などの画像をステップ88で生成する。次に、シミュレートされた画像が合成され、NCの輪郭が抽出され、フィーチャEPEがエッジ位置{x}の所与のセットで決定される(ステップ90)。プロセスはステップ92へ進み、エッジ位置{x}でのプロセスウィンドウを通して見たEPE又はCDの変動が決定される。最後に、ステップ94で、ステップ92で得た結果が分析され、結果として得られた画像が事前定義された誤差の許容範囲内にあるか否かが決定され、それ故、共通のプロセスウィンドウが決定され、デザイン内の問題領域(すなわち、ホットスポット)があればそれが識別される。
[098] 上記方法、特に、(式41)は、リソグラフィデザイン検査の幅広いタスクに極めて柔軟に適用することができる。これらの用途のいくつかを以下に概説する。しかし、本発明は、本明細書に記載する用途に限定されない。
[099] 任意の特定のエッジ又はCDについて、(式41)で、CD、EP又は線端部の変動の所与の許容誤差について、公称ドーズ量での焦点緯度(=DOF(焦点深度))を直接決定することができる。
[100] 任意の特定のエッジ又はCDについて、(式41)で、CD,EP又は線端部の変動の所与の許容誤差について、公称焦点での露光ドーズ量を直接決定することができる。
[101] 任意の特定のエッジ又はCDについて、(式41)で、CD、EP又は線端部の変動の所与の許容誤差について、{F,E}空間又は一般化されたPW空間内のPWの形状、中心及び領域を直接マッピングすることができる。
[102] フルチップデザイン及びすべての関連するパターン/フィーチャタイプをカバーするエッジ又はCDのセットについて、デザインの共通プロセスウィンドウを効率的に計算でき、共通のPWをセンタリングするためにプロセス補正を導出することができる。
[103] センタリングされていないPW又は小型のPWを有することで、共通PWの内部境界を画定する限界の制限パターンを識別することができる。
[104] EP又はCDの変動の許容誤差仕様の関数として共通PW領域を作成することができる。
[105] デザインのホットスポットは、一定のしきい値を下回るPW領域、DOF、又は露光緯度を備えたパターンとして、(式41)を用いてフルチップ分析から識別できる。これらの限界パターンの挙動は、フルPWシミュレーションによって、すなわち、PW全体の多数のポイントでの画像とレジスト輪郭のシミュレーションの繰り返しのためのフルシミュレーションモデルを用いて詳細に調査できる。
[106] 図6は、本明細書で開示されたシミュレーション方法を支援できるコンピュータシステム100を示すブロック図である。コンピュータシステム100は、情報を送受信するバス102又は他の通信機構と、情報を処理するためのバス102に接続されたプロセッサ104とを含む。また、コンピュータシステム100は、情報及びプロセッサ104によって実行される命令を記憶するバス102に接続されたランダムアクセスメモリ(RAM)又は他のダイナミックストレージデバイスなどのメインメモリ106を含む。また、メインメモリ106を用いて、プロセッサ104によって実行される命令の実行中に暫定変数又は他の中間情報を記憶することができる。コンピュータシステム100は、プロセッサ104のためのスタティック情報を記憶するバス102に接続された読み出し専用メモリ(ROM)108又は他のスタティックストレージデバイスをさらに含む。情報及び命令を記憶する磁気ディスク又は光ディスクなどのストレージデバイス110が提供され、バス102に結合されている。コンピュータシステム100は、バス102を介してコンピュータユーザに対して情報を表示する陰極線管(CRT)又はフラットパネル又はタッチパネルディスプレイなどのディスプレイ112に結合されている。情報及び選択したコマンドをプロセッサ104へ送信する英数字及び他のキーを含む入力デバイス114がバス102に結合されている。別のタイプのユーザ入力デバイスは、方向情報と選択したコマンドをプロセッサ104へ送信し、ディスプレイ112上のカーソルの動きを制御するマウス、トラックボール、又はカーソル方向キーなどのカーソルコントロール116である。この入力デバイスは、通常、装置が面内の位置を指定するための2つの軸、すなわち、第1の軸(例えば、x)と第2の軸(例えば、y)における2つの自由度を有する。タッチパネル(画面)ディスプレイも入力デバイスとして使用することができる。
[107] 本明細書の一実施形態によれば、プロセッサ104がメインメモリ106内に含まれる1つ又は複数の命令の1つ又は複数のシーケンスを実行するのに応答して、コンピュータシステム100はシミュレーションプロセスの一部を実行することができる。そのような命令は、ストレージデバイス110などの別のコンピュータ読み取り可能媒体からメインメモリ106内に読み込むことができる。メインメモリ106内に含まれる命令のシーケンスが実行されると、プロセッサ104が本明細書に記載するプロセスステップを実行する。マルチ処理構成内の1つ又は複数のプロセッサを使用してメインメモリ106内に含まれる命令のシーケンスを実行することができる。別の実施形態では、本発明を実施するソフトウェア命令の代わりに、又はこれと組み合わせて、固定配線回路を使用することができる。それ故、本発明の実施形態は、ハードウェア回路及びソフトウェアの任意の特定の組合せに限定されない。本明細書で使用する「コンピュータ読み取り可能媒体」('computer-readable medium')という用語は、実行のためにプロセッサ104へ命令を提供する処理に参加する任意の媒体を意味する。そのような媒体は、これに限定されないが、不揮発性媒体、揮発性媒体、及び送信媒体を含む多くの形態をとることができる。不揮発性媒体は、例えば、ストレージデバイス110などの光又は磁気ディスクを含む。揮発性媒体は、メモリ106などのダイナミックメモリを含む。通信媒体は、バス102を構成するワイヤを含む、同軸ケーブル銅線及び光ファイバを含む。また、通信媒体は、無線周波数(RF)及び赤外線(IR)データ通信中に生成されるような音響又は光波の形態をとることができる。コンピュータ読み取り可能媒体の共通形態は、例えば、フロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意の他の磁気媒体、CD−ROM、DVD、任意の他の光媒体、パンチカード、紙テープ、パターン又は穴を備えた任意の他の物理媒体、RAM、PROM、及びEPROM、FLASH−EPROM、任意の他のメモリチップ又はカートリッジ、以下に述べる搬送波、又はコンピュータからの読み出しが可能な任意の他の媒体を含む。
[108] 実行のために1つ又は複数の命令の1つ又は複数のシーケンスをプロセッサ104へ搬送する処理に種々の形態のコンピュータ読み取り可能媒体を関与させることができる。例えば、最初は命令をリモートコンピュータの磁気ディスク上に記憶することができる。リモートコンピュータは、そのダイナミックメモリ内に命令をロードし、モデムを用いて電話回線上で命令を送信できる。コンピュータシステム100のローカル側モデムは電話回線上でデータを受信し、赤外線送信機を用いてデータを赤外線信号に変換できる。バス102に接続された赤外線検波器は、赤外線信号にのせて搬送されるデータを受信し、このデータをバス102上に配置できる。バス102は、データをメインメモリ106へ搬送し、そこからプロセッサ104が命令を受信して実行する。メインメモリ106が受信した命令は、オプションとしてプロセッサ104による実行の前か後のいずれかにストレージデバイス100に記憶することができる。
[109] また、コンピュータシステム100は、好ましくは、バス102に接続された通信インタフェース118を含む。通信インタフェース118は、ローカルネットワーク122に接続されたネットワークリンク120に結合する双方向データ通信を提供する。例えば、通信インタフェース118は、対応するタイプの電話回線へのデータ通信接続を提供する総合サービスディジタル通信網(ISDN)カード又はモデムである。別の例として、通信インタフェース118は互換LANへデータ通信接続を提供するローカルエリアネットワーク(LAN)カードであってもよい。無線リンクも実施することができる。そのような任意の実施態様では、通信インタフェース118は、種々のタイプの情報を表すディジタルデータストリームを搬送する電気、電磁気又は光信号を送信する。ネットワークリンク120は、通常、1つ又は複数のネットワークを介して他のデータ装置へデータ通信を提供する。例えば、ネットワークリンク120は、インターネットサービスプロバイダ(ISP)126によって運用されるホストコンピュータ124又はデータ装置へローカルネットワーク122を介して接続を提供できる。次にISP126は、現在、普通「インターネット」128と呼ばれる全世界規模のパケットデータ通信ネットワークを介してデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128は両方共、ディジタルデータストリームを搬送する電気、電磁又は光信号を使用する。コンピュータシステム100との間でディジタルデータを搬送する、種々のネットワークを通過する信号及びネットワークリンク120にあって通信インタフェース118を通過する信号は、情報を移送する搬送波の例示的な形態である。
[110] コンピュータシステム100は、プログラムコードを含めて、ネットワーク、ネットワークリンク120、及び通信インタフェース118を介して、メッセージを送信してデータを受信する。インターネットの例では、サーバ130がインターネット128、ISP126、ローカルネットワーク122及び通信インタフェース118を介してアプリケーションプログラム用の要求されたコードを送信することができる。本発明によれば、そのようなダウンロードされたアプリケーションによって、例えば、この実施形態の照明の最適化が提供される。受信されたコードは、受信された時点でプロセッサ104によって実行することができ、及び/又は後ほど実行するためにストレージデバイス110もしくは不揮発ストレージデバイスに記憶できる。こうして、コンピュータシステム100は、搬送波の形でアプリケーションコードを入手することができる。
[111] 図7は、その性能が本発明のプロセスを用いてシミュレートできる例示的なリソグラフィ投影システムを示す図である。この装置は、
−放射投影ビームを供給する放射システムEx、ILを含む。この場合、放射システムは放射源LAをさらに含む。
−マスクMA(例えば、レチクル)を保持するマスクホルダを備え、アイテムPLに関してマスクを正確に位置決めする第1の位置決め手段に接続された第1のオブジェクトテーブル(マスクテーブル)MTを含む。
−基板W(例えば、レジストコーティングされたシリコンウェーハ)を保持する基板ホルダを備え、アイテムPLに関して基板を正確に位置決めする第2の位置決め手段に接続された第2のオブジェクトテーブル(基板テーブル)WTを含む。
−基板Wのターゲット部分C(例えば、1つ又は複数のダイを含む)上にマスクMAの放射された部分を結像する投影システム(「レンズ」)PL(例えば、屈折、光反射、反射屈折光学系)を含む。
[112] 本明細書に示すように、この装置は、透過タイプである(すなわち、透過マスクを有する)。しかし、一般には、例えば、反射タイプ(反射マスクを有する)であってもよい。別の方法としては、この装置は、マスクの使用に代えて別の種類のパターニング手段を採用してもよい。その例は、プログラマブルミラーアレイ又はLCDマトリクスを含む。
[113] 光源LA(例えば、エキシマレーザ上の水銀灯)は、放射ビームを生成する。このビームは、直接又は、例えば、ビームエキスパンダExなどの調整手段を通過した後で照明システム(イルミネータ)ILへ供給される。イルミネータILは、ビーム内の強度分配の外側及び内側半径範囲(普通、それぞれσ−outer、σ−innerと呼ばれる)を設定する調整手段AMを含むことができる。さらに、イルミネータILは、一般に、インテグレータINとコンデンサCOなどの種々の他のコンポーネントを含む。こうして、マスクMAに入射するビームPBは、その断面に所望の一様性及び強度分布を有する。
[114] 図7に関連して、光源LAは、リソグラフィ投影装置のハウジング内に配置できる(例えば、多くの場合、光源LAが水銀灯の場合がこれにあたる)が、リソグラフィ投影装置から離れていてもよく、生成する放射ビームが装置内に導かれる(例えば、適した誘導ミラーの助けを借りて)。この後のシナリオは、多くの場合、光源LAがエキシマレーザ(KrF、ArF又はFレーザ処理に基づく)である時にあてはまる。本発明は、少なくともこれらのシナリオの両方を含む。
[115] その後、ビームPBは、マスクテーブルMT上に保持されたマスクMAと交差する。マスクMAを横断してから、ビームPBはレンズPLを通過し、レンズPLはビームPBを基板Wのターゲット部分C上に合焦させる。第2の位置決め手段(及び干渉測定手段IF)の助けを借りて、例えば、異なるターゲット部分CをビームPBの経路に配置するように、基板テーブルWTは正確に移動することができる。同様に、第1の位置決め手段を用いて、例えば、マスクライブラリからのマスクMAの機械的検索の後に、又はスキャン中に、ビームPBの経路に関してマスクMAを正確に位置決めできる。一般に、オブジェクトテーブルMT、WTの移動は、図7には明示していないロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)の助けを借りて実現される。しかし、ウェーハステッパの場合(ステップアンドスキャンツールでなく)、マスクテーブルMTをショートストロークアクチュエータに接続するか、又は固定してもよい。
[116] 図示のツールは、2つのモードで使用することができる。
−ステップモードでは、マスクテーブルMTは基本的に静止状態にされ、マスク画像全体が1回(すなわち、1回の「フラッシュ」)でターゲット部分C上に投影される。基板テーブルWTは、別のターゲット部分CがビームPBで放射されるようにx及び/又はy方向にシフトされる。
−スキャンモードでは、基本的に同じシナリオが適用されるが、所与のターゲット部分Cは1回の「フラッシュ」に露光されない。代わりに、マスクテーブルMTは、所与の方向(いわゆる「スキャン方向」、例えば、y方向)に速度vで移動することができるので、投影ビームPBはマスク画像上をスキャンすることになる。同時に、基板テーブルWTは、速度V=Mvで同方向又は逆方向に同時に移動する(Mは、レンズPLの倍率(通常、M=1/4又は1/5である))。こうして、解像度を犠牲にすることなく、比較的大きいターゲット部分Cを露光することができる。
[117] 本明細書で開示した概念は、波長以下のフィーチャを結像する任意の一般的な結像システムをシミュレートするか数学的にモデリングすることができ、ますますサイズが小さくなる波長を生成することができる新進の結像技術に有用である。すでに普及している新進の技術はArFレーザを用いて193nmの波長を生成することができ、蛍光レーザを用いて157nmの波長まで生成することができるEUV(極端紫外)リソグラフィを含む。さらに、EUVリソグラフィは、シンクロトロンを用いて、又は材料(固体又はプラズマ)に高エネルギーの電子を衝突させてこの範囲内に光子を生成することで20〜5nmの範囲の波長を生成することができる。大半の材料はこの範囲では吸光性があるので、モリブデン及びシリコンのマルチスタックを備えた反射ミラーによって照明を生成することができる。マルチスタックミラーは、モリブデン及びシリコンの40個のレイヤ対を有し、各レイヤの厚さは波長の4分の1である。X線リソグラフィでさらに小さい波長を生成することができる。通常、X線波長の生成にはシンクロトロンを用いる。X線波長では、半の材料は吸光性があるので、薄い吸光材料片でどこにフィーチャを印刷し(正レジスト)、どこに印刷しないか(負レジスト)を定義することができる。
[118] 本明細書で開示する概念は、シリコンウェーハなどの基板上の結像に使用することができるが、開示された概念は、任意のタイプのリソグラフィ結像システム、例えば、シリコンウェーハ以外の基板上の結像に使用するリソグラフィ結像システムにも使用することができる。
[119] 以上、本発明を詳細に説明し図示してきたが、それらは例示的なものであって、本発明を限定するものではなく、本発明の範囲は、添付の特許請求の範囲のみによって限定されることは明らかであろう。

Claims (22)

  1. 複数のフィーチャを有するターゲットデザインを結像するために利用されるリソグラフィプロセスの結像性能をシミュレートする方法であって、
    シミュレートされた画像を生成するための、前記リソグラフィプロセスに関連したプロセスウィンドウ変動を説明する関数を決定すること、および
    前記リソグラフィプロセスの前記ターゲットデザインの結像結果を表す前記シミュレートされた画像を、前記関数を用いて生成すること、
    を含む方法。
  2. 前記関数が、前記プロセスウィンドウの変動の多項式関数を含み、前記プロセスウィンドウの変動が、焦点と露光ドーズ量のうちの少なくとも1つの変動を含む、請求項1に記載のリソグラフィプロセスの結像性能をシミュレートする方法。
  3. 前記プロセスウィンドウの変動が、開口数とシグマのうちの少なくとも1つを含む光学設定の変動を含む、請求項2に記載のリソグラフィプロセスの結像性能をシミュレートする方法。
  4. 前記関数が、
    と定義され、
    ここで、Iは、公称焦点及び露光での画像強度を表し、fは、公称焦点を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、焦点の変動に関する1次及び2次導出画像を表す、請求項2に記載のリソグラフィプロセスの結像性能をシミュレートする方法。
  5. 前記関数が、
    と定義され、
    ここで、Iは、公称焦点及び露光での画像強度を表し、fは、公称焦点を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、異なる焦点での一連の空間像のベストフィットを表す、請求項2に記載のリソグラフィプロセスの結像性能をシミュレートする方法。
  6. 前記関数が、
    と定義され、
    ここで、Iは、公称焦点及び露光での画像強度を表し、fは、公称焦点を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、異なる焦点での一連のTCCの線形組合せであるTCC A及びBから計算される空間像を表す、請求項2に記載のリソグラフィプロセスの結像性能をシミュレートする方法。
  7. 前記関数が、
    と定義され、
    ここで、Iは、公称焦点及び露光での画像強度を表し、fは、公称焦点を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、焦点の変動に関する1次及び2次導出TCCであるTCC A及びBから計算される空間像を表す、請求項2に記載のリソグラフィプロセスの結像性能をシミュレートする方法。
  8. 前記シミュレートされた画像がレジスト画像に対応する、請求項1に記載のリソグラフィプロセスの結像性能をシミュレートする方法。
  9. 焦点及びドーズ量が変動する前記レジスト画像をシミュレートする前記関数が、
    と定義され、
    ここで、Rは、公称焦点及び露光での画像強度を表し、Iは、公称空間像を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、焦点の変動に関する1次及び2次導出空間像を表し、P{}は、レジストモデルとしてフィルタを適用する関数動作を表す、請求項8に記載のリソグラフィプロセスの結像性能をシミュレートする方法。
  10. リソグラフィプロセスのプロセスウィンドウのパラメータ変動によるフィーチャエッジシフト又はクリティカルディメンション(CD)の変動を推定する方法であって、
    シミュレートされた画像を生成するための、前記リソグラフィプロセスに関連したプロセスウィンドウ変動を説明する関数を決定すること、
    前記リソグラフィプロセスの前記ターゲットデザインの結像結果を表す前記シミュレートされた画像を、前記関数を用いて生成すること、および
    前記シミュレートされた画像を分析することで前記フィーチャエッジシフト又はCDの変動を推定すること、
    を含む方法。
  11. 前記関数が、焦点及びドーズ量の変動に適合し、前記関数が、
    と定義され、
    ここで、Sは、公称焦点及び露光でのレジスト画像スロープを表し、Iは、公称空間像を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、焦点の変動に関する1次及び2次導出空間像を表し、P{}は、レジストモデルとしてフィルタを適用する関数動作を表す、請求項10に記載のリソグラフィプロセスのプロセスウィンドウのパラメータ変動によるフィーチャエッジシフト又はCDの変動を推定する方法。
  12. 複数のフィーチャを有するターゲットデザインを結像するためのリソグラフィプロセスの結像性能をシミュレートするコンピュータプログラムを記憶したコンピュータ読み取り可能媒体を有するコンピュータプログラムであって、実行時に、コンピュータに、
    シミュレートされた画像を生成するための、前記リソグラフィプロセスに関連したプロセス変動を説明する関数を決定すること、および
    前記リソグラフィプロセスの前記ターゲットデザインの結像結果を表す前記シミュレートされた画像を、前記関数を用いて生成すること、
    を実行させるコンピュータプログラム。
  13. 前記関数が、前記プロセスウィンドウの変動の多項式関数を含み、前記プロセスウィンドウの変動が、焦点と露光ドーズ量のうちの少なくとも1つの変動を含む、請求項12に記載のコンピュータプログラム。
  14. 前記プロセスウィンドウの変動が、開口数とシグマのうちの少なくとも1つを含む光学設定の変動を含む、請求項13に記載のコンピュータプログラム。
  15. 焦点及びドーズ量(露光)が変動する空間像をシミュレートする前記関数が、
    と定義され、
    ここで、Iは、公称焦点及び露光での画像強度を表し、fは、公称焦点を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、焦点の変動に関する1次及び2次導出画像を表す、請求項12に記載のコンピュータプログラム。
  16. 焦点及びドーズ量(露光)が変動する空間像をシミュレートする前記関数が、
    と定義され、
    ここで、Iは、公称焦点及び露光での画像強度を表し、fは、公称焦点を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、異なる焦点での一連の空間像のベストフィットを表す、請求項12に記載のコンピュータプログラム。
  17. 焦点及びドーズ量(露光)が変動する空間像をシミュレートする前記関数が、
    と定義され、
    ここで、Iは、公称焦点及び露光での画像強度を表し、fは、公称焦点を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、異なる焦点での一連のTCCの線形組合せであるTCC A及びBから計算される空間像を表す、請求項12に記載のコンピュータプログラム。
  18. 焦点及びドーズ量(露光)が変動する空間像をシミュレートする前記関数が、
    と定義され、
    ここで、Iは、公称焦点及び露光での画像強度を表し、fは、公称焦点を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、焦点の変動に関する1次及び2次導出TCCであるTCC A及びBから計算される空間像を表す、請求項12に記載のコンピュータプログラム。
  19. 前記シミュレートされた画像が、レジスト画像に対応する、請求項15に記載のコンピュータプログラム。
  20. 焦点及びドーズ量が変動する前記レジスト画像をシミュレートする前記関数が、
    と定義され、
    ここで、Rは、公称焦点及び露光での画像強度を表し、Iは、公称空間像を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、焦点の変動に関する1次及び2次導出空間像を表し、P{}は、レジストモデルとしてフィルタを適用する関数動作を表す、請求項12に記載のコンピュータプログラム。
  21. リソグラフィプロセスのプロセスウィンドウのパラメータ変動によるフィーチャエッジシフト又はクリティカルディメンション(CD)の変動を推定するコンピュータプログラムを記憶したコンピュータ読み取り可能媒体を有するコンピュータプログラムであって、実行時に、コンピュータに、
    シミュレートされた画像を生成するための、前記リソグラフィプロセスに関連したプロセスウィンドウ変動を説明する関数を決定すること、
    前記リソグラフィプロセスの前記ターゲットデザインの結像結果を表す前記シミュレートされた画像を、前記関数を用いて生成すること、および
    前記シミュレートされた画像を分析することで前記フィーチャエッジシフト又はCDの変動を推定すること、
    を実行させるコンピュータプログラム。
  22. 前記関数が焦点及びドーズ量の変動に適合し、前記関数が、
    と定義され、
    ここで、Sは、公称焦点及び露光でのレジスト画像スロープを表し、Iは、公称空間像を表し、f及びεは、前記シミュレートされた画像が計算される実際の焦点−露光レベルを表し、パラメータ「a」及び「b」は、焦点の変動に関する1次及び2次導出空間像を表し、P{}は、レジストモデルとしてフィルタを適用する関数動作を表す、請求項21に記載のコンピュータプログラム。
JP2008305942A 2007-12-05 2008-12-01 リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム Expired - Fee Related JP5016585B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
NL2003143A NL2003143A1 (nl) 2008-07-07 2009-07-07 Illumination optimization.
PCT/US2009/049792 WO2010005957A1 (en) 2008-07-07 2009-07-07 Illumination optimization
TW098123009A TW201007383A (en) 2008-07-07 2009-07-07 Illumination optimization
US13/003,294 US8542340B2 (en) 2008-07-07 2009-07-07 Illumination optimization

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US99254607P 2007-12-05 2007-12-05
US60/992,546 2007-12-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011257068A Division JP5756739B2 (ja) 2007-12-05 2011-11-25 リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム

Publications (2)

Publication Number Publication Date
JP2009141352A true JP2009141352A (ja) 2009-06-25
JP5016585B2 JP5016585B2 (ja) 2012-09-05

Family

ID=40734528

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008305942A Expired - Fee Related JP5016585B2 (ja) 2007-12-05 2008-12-01 リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
JP2011257068A Expired - Fee Related JP5756739B2 (ja) 2007-12-05 2011-11-25 リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011257068A Expired - Fee Related JP5756739B2 (ja) 2007-12-05 2011-11-25 リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム

Country Status (6)

Country Link
US (3) US8200468B2 (ja)
JP (2) JP5016585B2 (ja)
KR (1) KR101043016B1 (ja)
CN (1) CN101452221B (ja)
NL (1) NL1036189A1 (ja)
TW (1) TWI402631B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009277941A (ja) * 2008-05-15 2009-11-26 Fujitsu Microelectronics Ltd プログラム及び記録媒体
JP2013213973A (ja) * 2012-04-03 2013-10-17 Internatl Business Mach Corp <Ibm> マスクのデザイン方法、プログラムおよびマスクデザインシステム

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090306941A1 (en) * 2006-05-15 2009-12-10 Michael Kotelyanskii Structure Model description and use for scatterometry-based semiconductor manufacturing process metrology
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
NL1036018A1 (nl) * 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
WO2010005957A1 (en) * 2008-07-07 2010-01-14 Brion Technologies, Inc. Illumination optimization
US7954071B2 (en) * 2008-10-31 2011-05-31 Synopsys, Inc. Assist feature placement based on a focus-sensitive cost-covariance field
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8402399B2 (en) * 2010-04-30 2013-03-19 International Business Machines Corporation Method and system for computing fourier series coefficients for mask layouts using FFT
US8910093B2 (en) * 2010-09-29 2014-12-09 Nikon Corporation Fast photoresist model
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
US8577489B2 (en) * 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US9059101B2 (en) * 2011-07-07 2015-06-16 Lam Research Corporation Radiofrequency adjustment for instability management in semiconductor processing
WO2013010162A2 (en) 2011-07-14 2013-01-17 General Electric Company Method and system for rail vehicle control
RU2481555C1 (ru) * 2011-10-20 2013-05-10 Корпорация "САМСУНГ ЭЛЕКТРОНИКС Ко., Лтд." Оптическая измерительная система и способ измерения критического размера наноструктур на плоской поверхности
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
FR2985827B1 (fr) * 2012-01-17 2014-01-31 St Microelectronics Sa Procede de test d'un circuit integre
NL2010163A (en) 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
NL2010196A (en) 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US9322640B2 (en) * 2012-08-07 2016-04-26 Samsing Electronics Co., Ltd. Optical measuring system and method of measuring critical size
CN103631083B (zh) * 2012-08-20 2016-08-31 中芯国际集成电路制造(上海)有限公司 一种光学邻近修正的焦平面选择方法
NL2011592A (en) 2012-10-31 2014-05-06 Asml Netherlands Bv Compensation for patterning device deformation.
WO2014127985A1 (en) 2013-02-22 2014-08-28 Asml Netherlands B.V. A lithography model for three-dimensional patterning device
US10365557B2 (en) * 2013-02-24 2019-07-30 Synopsys, Inc. Compact OPC model generation using virtual data
CN105008997B (zh) 2013-02-25 2017-03-08 Asml荷兰有限公司 离散源掩模优化
CN103543598B (zh) * 2013-09-22 2016-04-13 华中科技大学 一种光刻掩模优化设计方法
WO2015049099A1 (en) 2013-10-01 2015-04-09 Asml Netherlands B.V. Profile aware source-mask optimization
JP6312834B2 (ja) 2013-12-30 2018-04-18 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
SG11201606179QA (en) 2014-02-11 2016-08-30 Asml Netherlands Bv Model for calculating a stochastic variation in an arbitrary pattern
KR102211093B1 (ko) * 2014-02-12 2021-02-03 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
US10386727B2 (en) 2014-03-18 2019-08-20 Asml Netherlands B.V. Pattern placement error aware optimization
CN106164777B (zh) 2014-04-14 2019-06-18 Asml荷兰有限公司 光刻过程的优化流程
US9262820B2 (en) 2014-05-19 2016-02-16 United Microelectronics Corporation Method and apparatus for integrated circuit design
WO2015189026A2 (en) 2014-06-10 2015-12-17 Asml Netherlands B.V. Computational wafer inspection
WO2015197313A1 (en) 2014-06-25 2015-12-30 Asml Netherlands B.V. Etch variation tolerant optimization
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
KR102180027B1 (ko) * 2014-09-19 2020-11-17 삼성전자 주식회사 최적의 포커스 및 도즈를 결정하기 위한 노광 공정 계측 방법 및 이를 이용한 노광 공정 모니터링 방법
CN107077077B (zh) 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
WO2016050584A1 (en) 2014-10-02 2016-04-07 Asml Netherlands B.V. Rule-based deployment of assist features
US10409165B2 (en) 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
US10372043B2 (en) 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
WO2016096333A1 (en) 2014-12-18 2016-06-23 Asml Netherlands B.V. A lithography model for 3d features
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
US10514614B2 (en) 2015-02-13 2019-12-24 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
WO2016142169A1 (en) 2015-03-06 2016-09-15 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
SG11201706686YA (en) 2015-03-16 2017-09-28 Asml Netherlands Bv Methods for determining resist deformation
TWI571701B (zh) * 2015-04-30 2017-02-21 力晶科技股份有限公司 偵測微影熱點的方法
WO2016184664A1 (en) 2015-05-20 2016-11-24 Asml Netherlands B.V. Coloring aware optimization
US11506984B2 (en) 2015-05-29 2022-11-22 Asml Netherlands B.V. Simulation of lithography using multiple-sampling of angular distribution of source radiation
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
WO2017102321A1 (en) 2015-12-14 2017-06-22 Cymer, Llc Optimization of source and bandwidth for new and existing patterning devices
IL293649B2 (en) 2015-12-22 2023-11-01 Asml Netherlands Bv A device and method for characterizing a window process
KR102182011B1 (ko) 2015-12-24 2020-11-24 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
WO2017114725A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Etch-assist features
US11112700B2 (en) 2016-03-24 2021-09-07 Asml Netherlands B.V. Optimization of a lithographic projection apparatus accounting for an interlayer characteristic
WO2017178276A1 (en) 2016-04-14 2017-10-19 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
KR102188014B1 (ko) 2016-05-12 2020-12-08 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의한 결함 또는 핫스폿의 식별
WO2017194285A1 (en) 2016-05-12 2017-11-16 Asml Netherlands B.V. Displacement based overlay or alignment
US10394132B2 (en) 2016-05-17 2019-08-27 Asml Netherlands B.V. Metrology robustness based on through-wavelength similarity
US10983440B2 (en) 2016-05-23 2021-04-20 Asml Netherlands B.V. Selection of substrate measurement recipes
WO2017215944A1 (en) 2016-06-15 2017-12-21 Asml Netherlands B.V. Substrate measurement recipe configuration to improve device matching
CN109478013B (zh) 2016-07-12 2022-04-01 Asml荷兰有限公司 对设计布局的计算分析的性能指标进行可视化
CN109844643A (zh) 2016-08-19 2019-06-04 Asml荷兰有限公司 对曝光后过程进行建模
EP3291007A1 (en) 2016-08-30 2018-03-07 ASML Netherlands B.V. Patterning stack optimization
WO2018041550A1 (en) 2016-09-01 2018-03-08 Asml Netherlands B.V. Automatic selection of metrology target measurement recipes
WO2018050432A1 (en) 2016-09-13 2018-03-22 Asml Netherlands B.V. Optimization of a lithography apparatus or patterning process based on selected aberration
KR102248121B1 (ko) 2016-10-24 2021-05-06 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 패턴을 최적화하는 방법
WO2018099716A1 (en) 2016-12-01 2018-06-07 Asml Netherlands B.V. Method and system for pattern configuration
CN110050230B (zh) 2016-12-02 2021-06-11 Asml荷兰有限公司 用于估计随机变量的模型
CN114690591A (zh) 2016-12-23 2022-07-01 Asml荷兰有限公司 计算机程序产品和形貌确定方法
WO2018121967A1 (en) 2016-12-28 2018-07-05 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
KR102306558B1 (ko) 2016-12-28 2021-10-05 에이에스엠엘 네델란즈 비.브이. 제조 공정 시 공정 모델들의 안내 및 검사 방법들
CN110325921B (zh) 2017-01-26 2022-02-18 Asml荷兰有限公司 微调过程模型的方法
WO2018153735A1 (en) 2017-02-22 2018-08-30 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
KR102304331B1 (ko) 2017-02-24 2021-09-24 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
KR102380916B1 (ko) 2017-05-12 2022-04-01 에이에스엠엘 네델란즈 비.브이. 레지스트 현상을 평가하는 방법들
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
KR102349124B1 (ko) 2017-06-06 2022-01-10 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 장치
WO2019011604A1 (en) 2017-07-12 2019-01-17 Asml Netherlands B.V. PREDICTION OF DEFECTS
EP3441819A1 (en) 2017-08-07 2019-02-13 ASML Netherlands B.V. Computational metrology
KR102352673B1 (ko) 2017-08-07 2022-01-17 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
CN107633010B (zh) * 2017-08-14 2020-06-19 中南大学 一种复杂造型grc板块图像的识别方法和系统
WO2019063206A1 (en) 2017-09-27 2019-04-04 Asml Netherlands B.V. METHOD FOR DETERMINING CONTROL PARAMETERS OF DEVICE MANUFACTURING PROCESS
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
KR102516045B1 (ko) 2017-10-11 2023-03-30 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
EP3492983A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Systems and methods for predicting layer deformation
US11625520B2 (en) 2017-12-04 2023-04-11 Asml Netherlands B.V. Systems and methods for predicting layer deformation
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
CN111512235B (zh) 2017-12-19 2022-08-05 Asml荷兰有限公司 基于计算量测的校正和控制
WO2019121491A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
US11079687B2 (en) 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability
CN111492317B (zh) 2017-12-22 2023-01-10 Asml荷兰有限公司 用于减少抗蚀剂模型预测误差的系统和方法
KR102454303B1 (ko) 2018-01-24 2022-10-12 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법 기반 샘플링 스킴
WO2019145278A1 (en) 2018-01-26 2019-08-01 Asml Netherlands B.V. Pre-scan feature determination methods and systems
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
KR102606115B1 (ko) 2018-02-23 2023-11-29 에이에스엠엘 네델란즈 비.브이. 패턴의 시맨틱 분할을 위한 딥 러닝
EP3531206A1 (en) 2018-02-23 2019-08-28 ASML Netherlands B.V. Systems and methods for improving resist model predictions
KR102481755B1 (ko) 2018-02-23 2022-12-29 에이에스엠엘 네델란즈 비.브이. 가이드 패터닝 디바이스 검사
KR102644214B1 (ko) 2018-02-23 2024-03-07 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
US10209636B1 (en) 2018-03-07 2019-02-19 Sandisk Technologies Llc Exposure focus leveling method using region-differentiated focus scan patterns
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
US10705420B2 (en) * 2018-05-15 2020-07-07 Asml Us, Llc Mask bias approximation
US11544440B2 (en) 2018-06-15 2023-01-03 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
US11586114B2 (en) 2018-06-25 2023-02-21 Asml Netherlands B.V. Wavefront optimization for tuning scanner based on performance matching
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
WO2020020759A1 (en) 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
CN110824829B (zh) * 2018-08-07 2023-06-02 中芯国际集成电路制造(上海)有限公司 一种建立opc模型的方法以及光学邻近修正方法
EP3614194A1 (en) 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
WO2020043474A1 (en) 2018-08-31 2020-03-05 Asml Netherlands B.V. Measurement method and apparatus
JP7126412B2 (ja) * 2018-09-12 2022-08-26 東京エレクトロン株式会社 学習装置、推論装置及び学習済みモデル
TW202020577A (zh) 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
KR102585137B1 (ko) 2018-10-17 2023-10-06 에이에스엠엘 네델란즈 비.브이. 특성 패턴을 생성하고 기계 학습 모델을 트레이닝하는 방법들
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
US11354484B2 (en) 2018-11-08 2022-06-07 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
CN113168085A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
KR20210082247A (ko) 2018-11-30 2021-07-02 에이에스엠엘 네델란즈 비.브이. 기계 학습 모델 예측 내의 불확실성을 감소시키기 위한 방법.
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
CN113196173A (zh) 2018-12-14 2021-07-30 Asml荷兰有限公司 用于对图像图案分组以确定图案化过程中晶片行为的设备和方法
WO2020135946A1 (en) 2018-12-28 2020-07-02 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
KR102617197B1 (ko) 2018-12-28 2023-12-27 에이에스엠엘 네델란즈 비.브이. 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
WO2020141056A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Method for predicting resist deformation
US11561478B2 (en) 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
WO2020162979A1 (en) * 2019-02-08 2020-08-13 Mentor Graphics Corporation Die yield assessment based on pattern-failure rate simulation
CN113508338A (zh) 2019-02-20 2021-10-15 Asml荷兰有限公司 用于表征半导体器件的制造工艺的方法
US11567413B2 (en) 2019-02-25 2023-01-31 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
CN113508339A (zh) * 2019-02-27 2021-10-15 Asml荷兰有限公司 用于模型校准的改进量规选择
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
KR102648599B1 (ko) 2019-04-09 2024-03-15 에이에스엠엘 네델란즈 비.브이. 설비 위치들 사이에서 예측 모델을 조절하기 위한 시스템 및 방법
WO2020212107A1 (en) 2019-04-15 2020-10-22 Asml Netherlands B.V. Method for determining corrections to features of a mask
KR20210150574A (ko) 2019-05-21 2021-12-10 에이에스엠엘 네델란즈 비.브이. 원하는 패턴과 관련된 확률적 변화를 결정하기 위한 방법
KR20220018050A (ko) 2019-07-10 2022-02-14 에이에스엠엘 네델란즈 비.브이. 모델 예측 불확실성을 감소시키기 위한 모델 교정을 위한 예측 데이터 선택
CN114207517A (zh) 2019-08-13 2022-03-18 Asml荷兰有限公司 训练用于改善图案化过程的机器学习模型的方法
CN114222949A (zh) 2019-08-13 2022-03-22 Asml荷兰有限公司 用于计算特征的建模方法
KR20220034900A (ko) 2019-08-20 2022-03-18 에이에스엠엘 네델란즈 비.브이. 이미지 내 구조물의 공정 기반 윤곽 정보 개선 방법
US20220327364A1 (en) 2019-08-30 2022-10-13 Asml Netherlands B.V. Semiconductor device geometry method and system
WO2021043596A1 (en) 2019-09-03 2021-03-11 Asml Netherlands B.V. Method for determining aberration sensitivity of patterns
CN114556228A (zh) 2019-09-05 2022-05-27 Asml荷兰有限公司 用于基于显影后图像确定图案缺陷的方法
EP3789826A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. Method for determining defectiveness of pattern based on after development image
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
CN114341885A (zh) 2019-09-06 2022-04-12 Asml荷兰有限公司 用于增加参数化模型预测的确定性的方法
CN114402342A (zh) 2019-09-16 2022-04-26 Asml荷兰有限公司 用于生成特性图案以及训练机器学习模型的方法
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
US20230023153A1 (en) 2019-10-08 2023-01-26 Asml Netherlands B.V Method for determining a field-of-view setting
US20230044490A1 (en) 2019-12-13 2023-02-09 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
CN110703438B (zh) * 2019-12-16 2020-04-17 墨研计算科学(南京)有限公司 一种基于极坐标矢量计算光刻模型的方法及装置
US20230076218A1 (en) 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
CN111338179B (zh) * 2020-04-17 2021-07-06 中国科学院上海光学精密机械研究所 基于多宽度表征的全芯片光源掩模优化关键图形筛选方法
US20230185183A1 (en) 2020-06-03 2023-06-15 Asml Netherlands B.V. Systems, products, and methods for generating patterning devices and patterns therefor
WO2021249720A1 (en) 2020-06-10 2021-12-16 Asml Netherlands B.V. Aberration impact systems, models, and manufacturing processes
US20230221652A1 (en) * 2020-07-03 2023-07-13 Asml Netherlans B. V. Process window based on failure rate
IL299807A (en) 2020-07-14 2023-03-01 Asml Netherlands Bv Device and methods for creating a denoising model
CN116157744A (zh) 2020-07-22 2023-05-23 Asml荷兰有限公司 用于控制制造工艺的方法和相关联的装置
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
WO2022037921A1 (en) 2020-08-19 2022-02-24 Asml Netherlands B.V. Systems, products, and methods for image-based pattern selection
NL2026610B1 (en) * 2020-10-02 2022-06-03 Xeikon Prepress Nv Method and system to determine an exposure time and/or intensity to be used for obtaining a desired feature of a relief structure
KR20230087492A (ko) 2020-10-13 2023-06-16 에이에스엠엘 네델란즈 비.브이. 디블러링 모델 및 디블러 이미지를 생성하는 장치 및 방법들
US20240054669A1 (en) 2020-12-15 2024-02-15 Asml Netherlands B.V. Apparatus and method for determining three dimensional data based on an image of a patterned substrate
US20240004305A1 (en) 2020-12-18 2024-01-04 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
US20240037897A1 (en) 2020-12-21 2024-02-01 Asml Netherlands B.V. Feature extraction method for extracting feature vectors for identifying pattern objects
US20240104284A1 (en) 2020-12-21 2024-03-28 Asml Netherlands B.V. Feature based cell extraction for pattern regions
CN116648674A (zh) 2020-12-23 2023-08-25 Asml荷兰有限公司 基于带宽和散斑的光刻过程的优化
WO2022179802A1 (en) 2021-02-23 2022-09-01 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
WO2022184578A1 (en) 2021-03-03 2022-09-09 Asml Netherlands B.V. Configuration of patterning process
KR20240011719A (ko) 2021-05-25 2024-01-26 에이에스엠엘 네델란즈 비.브이. 마스크 규칙 체크 위반 및 마스크 디자인 결정
WO2022258398A1 (en) 2021-06-07 2022-12-15 Asml Netherlands B.V. Determining rounded contours for lithography related patterns
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
CN117597627A (zh) 2021-07-06 2024-02-23 Asml荷兰有限公司 确定局部化图像预测误差以改进预测图像的机器学习模型
KR20240044432A (ko) 2021-08-10 2024-04-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
IL310450A (en) 2021-08-11 2024-03-01 Asml Netherlands Bv Detection of defects in the mask
WO2023036593A1 (en) 2021-09-09 2023-03-16 Asml Netherlands B.V. Method for converting metrology data
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
EP4194950A1 (en) 2021-12-08 2023-06-14 ASML Netherlands B.V. Systems and methods for reducing pattern shift in a lithographic apparatus
WO2023104504A1 (en) 2021-12-09 2023-06-15 Asml Netherlands B.V. Surrounding pattern and process aware metrology
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110347A1 (en) 2021-12-16 2023-06-22 Asml Netherlands B.V. Systems and methods for optimizing lithographic design variables using image-based failure rate model
WO2024012800A1 (en) 2022-07-11 2024-01-18 Asml Netherlands B.V. Systems and methods for predicting post-etch stochastic variation
WO2024013273A1 (en) 2022-07-14 2024-01-18 Asml Netherlands B.V. Determining mask rule check violations and mask design based on local feature dimension
WO2024022854A1 (en) 2022-07-28 2024-02-01 Asml Netherlands B.V. Training a machine learning model to generate mrc and process aware mask pattern
WO2024037837A1 (en) 2022-08-18 2024-02-22 Asml Netherlands B.V. Suppressing specular reflection of mask absorber and on- resolution field stitching
WO2024046691A1 (en) 2022-09-02 2024-03-07 Asml Netherlands B.V. Method for configuring a field of view of an inspection apparatus
CN115933328B (zh) * 2022-12-16 2023-07-18 武汉宇微光学软件有限公司 一种基于凸优化的光刻模型标定方法和系统

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07175207A (ja) * 1992-06-02 1995-07-14 Hoechst Celanese Corp ピールアパート法により現像された感光性印刷版
JP2001350250A (ja) * 2000-06-05 2001-12-21 Mitsubishi Electric Corp パターン歪み補正装置、パターン歪み補正方法、およびパターン歪み補正プログラムを記録した記録媒体
JP2002131882A (ja) * 2000-10-26 2002-05-09 Toshiba Corp マスクパターン補正方法、マスクパターン補正装置、マスクパターン補正プログラムを格納した記録媒体、及び半導体装置の製造方法
JP2002260979A (ja) * 2001-02-27 2002-09-13 Toshiba Corp パターン評価方法
JP2003532306A (ja) * 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
JP2005500671A (ja) * 2001-03-20 2005-01-06 ニューメリカル テクノロジーズ インコーポレイテッド マスク欠陥のプリンタビリティ解析を提供するシステム及び方法
JP2006512758A (ja) * 2002-12-30 2006-04-13 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ プロセス窓を最適化するリソグラフィ・パラメータの決定方法
JP2006303498A (ja) * 2005-04-15 2006-11-02 Samsung Electronics Co Ltd フォトマスクのテストパターンイメージから印刷されたテストフィーチャーを用いるフォトリソグラフィ工程における焦点変化を測定するシステム及び方法
JP2007536581A (ja) * 2004-05-07 2007-12-13 メンター・グラフィクス・コーポレーション プロセス変動バンドを用いた集積回路レイアウト設計法
JP2009508167A (ja) * 2005-09-09 2009-02-26 ブライオン テクノロジーズ インコーポレイテッド 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
ATE123885T1 (de) * 1990-05-02 1995-06-15 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) * 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) * 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US6171731B1 (en) * 1999-01-20 2001-01-09 Lsi Logic Corporation Hybrid aerial image simulation
TW436886B (en) * 1999-10-22 2001-05-28 United Microelectronics Corp Optical proximity correction method applied in negative photoresist
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
TWI285295B (en) 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US6768958B2 (en) * 2002-11-26 2004-07-27 Lsi Logic Corporation Automatic calibration of a masking process simulator
US20050015233A1 (en) * 2003-07-17 2005-01-20 International Business Machines Corporation Method for computing partially coherent aerial imagery
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7343271B2 (en) * 2003-10-27 2008-03-11 International Business Machines Corporation Incorporation of a phase map into fast model-based optical proximity correction simulation kernels to account for near and mid-range flare
KR100824031B1 (ko) * 2004-01-30 2008-04-21 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7261985B2 (en) * 2004-03-12 2007-08-28 Litel Instruments Process for determination of optimized exposure conditions for transverse distortion mapping
US20050240895A1 (en) * 2004-04-20 2005-10-27 Smith Adlai H Method of emulation of lithographic projection tools
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
EP1635222A3 (en) * 2004-09-14 2007-09-19 ASML MaskTools B.V. A method for performing full-chip manufacturing reliability checking and correction
US7544449B1 (en) * 2004-11-12 2009-06-09 Litel Instruments Method and apparatus for measurement of crossfield chromatic response of projection imaging systems
US7882456B2 (en) * 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
JP4425239B2 (ja) * 2005-05-16 2010-03-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
US7528934B2 (en) * 2005-05-16 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
JP4413825B2 (ja) 2005-07-13 2010-02-10 株式会社東芝 潜像計算方法、マスクパターン作成方法および半導体装置の製造方法
WO2007019269A2 (en) * 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070121090A1 (en) * 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7642020B2 (en) * 2006-08-17 2010-01-05 International Business Machines Corporation Method for separating optical and resist effects in process models
US10643015B2 (en) * 2006-10-09 2020-05-05 Mentor Graphics Corporation Properties in electronic design automation
US7624369B2 (en) * 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7512927B2 (en) * 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
JP4707701B2 (ja) * 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US7564545B2 (en) * 2007-03-15 2009-07-21 Kla-Tencor Technologies Corp. Inspection methods and systems for lithographic masks
US8059884B2 (en) * 2007-11-08 2011-11-15 International Business Machines Corporation Method and system for obtaining bounds on process parameters for OPC-verification

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07175207A (ja) * 1992-06-02 1995-07-14 Hoechst Celanese Corp ピールアパート法により現像された感光性印刷版
JP2003532306A (ja) * 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
JP2001350250A (ja) * 2000-06-05 2001-12-21 Mitsubishi Electric Corp パターン歪み補正装置、パターン歪み補正方法、およびパターン歪み補正プログラムを記録した記録媒体
JP2002131882A (ja) * 2000-10-26 2002-05-09 Toshiba Corp マスクパターン補正方法、マスクパターン補正装置、マスクパターン補正プログラムを格納した記録媒体、及び半導体装置の製造方法
JP2002260979A (ja) * 2001-02-27 2002-09-13 Toshiba Corp パターン評価方法
JP2005500671A (ja) * 2001-03-20 2005-01-06 ニューメリカル テクノロジーズ インコーポレイテッド マスク欠陥のプリンタビリティ解析を提供するシステム及び方法
JP2006512758A (ja) * 2002-12-30 2006-04-13 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ プロセス窓を最適化するリソグラフィ・パラメータの決定方法
JP2007536581A (ja) * 2004-05-07 2007-12-13 メンター・グラフィクス・コーポレーション プロセス変動バンドを用いた集積回路レイアウト設計法
JP2006303498A (ja) * 2005-04-15 2006-11-02 Samsung Electronics Co Ltd フォトマスクのテストパターンイメージから印刷されたテストフィーチャーを用いるフォトリソグラフィ工程における焦点変化を測定するシステム及び方法
JP2009508167A (ja) * 2005-09-09 2009-02-26 ブライオン テクノロジーズ インコーポレイテッド 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009277941A (ja) * 2008-05-15 2009-11-26 Fujitsu Microelectronics Ltd プログラム及び記録媒体
JP2013213973A (ja) * 2012-04-03 2013-10-17 Internatl Business Mach Corp <Ibm> マスクのデザイン方法、プログラムおよびマスクデザインシステム

Also Published As

Publication number Publication date
NL1036189A1 (nl) 2009-06-08
US20090157360A1 (en) 2009-06-18
TWI402631B (zh) 2013-07-21
CN101452221B (zh) 2011-04-20
JP5016585B2 (ja) 2012-09-05
CN101452221A (zh) 2009-06-10
US8527255B2 (en) 2013-09-03
JP5756739B2 (ja) 2015-07-29
US20140005998A1 (en) 2014-01-02
TW200931200A (en) 2009-07-16
US9390206B2 (en) 2016-07-12
JP2012044222A (ja) 2012-03-01
KR101043016B1 (ko) 2011-06-21
US8200468B2 (en) 2012-06-12
KR20090059058A (ko) 2009-06-10
US20120253774A1 (en) 2012-10-04

Similar Documents

Publication Publication Date Title
JP5756739B2 (ja) リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
US10310371B2 (en) Method and system for lithography process-window-maximizing optical proximity correction
JP5191975B2 (ja) リソグラフィ較正のための方法
US8542340B2 (en) Illumination optimization
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
KR101527496B1 (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
JP5666609B2 (ja) 光源及びマスクの最適化のためのパターン選択方法
JP5033859B2 (ja) モデルベースの汎用マッチング及びチューニングのための方法及びシステム
KR101394585B1 (ko) 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110418

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110707

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110725

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111125

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20111208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120418

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120510

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120608

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees