KR20050078225A - 캘리브레이션된 고유 분해 모델을 이용한 리소그래피프로세스용 제조 신뢰성 점검 및 검증 방법 - Google Patents

캘리브레이션된 고유 분해 모델을 이용한 리소그래피프로세스용 제조 신뢰성 점검 및 검증 방법 Download PDF

Info

Publication number
KR20050078225A
KR20050078225A KR1020050007870A KR20050007870A KR20050078225A KR 20050078225 A KR20050078225 A KR 20050078225A KR 1020050007870 A KR1020050007870 A KR 1020050007870A KR 20050007870 A KR20050007870 A KR 20050007870A KR 20050078225 A KR20050078225 A KR 20050078225A
Authority
KR
South Korea
Prior art keywords
model
photolithography process
calibrated
calibrated model
drawing results
Prior art date
Application number
KR1020050007870A
Other languages
English (en)
Other versions
KR100725621B1 (ko
Inventor
시쑤에롱
첸장풍
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20050078225A publication Critical patent/KR20050078225A/ko
Application granted granted Critical
Publication of KR100725621B1 publication Critical patent/KR100725621B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

본 발명은 복수의 피처들을 포함하는 마스크 패턴을 묘화하는데 이용되는 경우 포토리소그래피 프로세스에 의해 생성될 이미지를 추정할 수 있는 포토리소그래피 프로세스의 캘리브레이션된 모델을 생성하는 단계; 및 캘리브레이션된 모델이 마스크 패턴 내의 주어진 피처에 의해 생성될 이미지를 정확하게 추정할 수 있는 지의 여부를 정의하는, 상기 캘리브레이션된 모델의 동작 윈도우를 결정하는 단계를 포함하는 포토리소그래피 프로세스를 모델링하는 방법에 관한 것이다.

Description

캘리브레이션된 고유 분해 모델을 이용한 리소그래피 프로세스용 제조 신뢰성 점검 및 검증 방법{Method Of Manufacturing Reliability Checking And Verification For Lithography Process Using A Calibrated Eigen Decomposition Model}
본 출원은 본 명세서에서 참고문헌으로 각각 채택하고 있는, 2004년 1월 30일에 출원된 "Method Of Manufacturing Reliability Checking And Verification For Lithography Process Using A Calibrated Eigen Decomposition Model"이란 제목의 가출원 제 60/539,991호의 이익을 주장한다.
본 발명의 분야는 일반적으로 주어진 프로세스에 의해 묘화(image)된 타겟 마스크 패턴으로부터 발생하는 에어리얼 이미지를 시뮬레이션하기 위한 모델들을 이용하는 방법, 장치 및 프로그램에 관한 것으로, 보다 상세하게는 타겟 패턴의 피처들/구조체들이 상기 모델에 의해 정확하게 처리될 수 있는 지의 여부(즉, 타겟 패턴의 피처들/구조체들이 모델의 동작 범위(즉, 동작 윈도우(operational window)) 내에 있는 지의 여부)를 검증하는 방법, 장치 및 프로그램에 관한 것이다.
리소그래피 장치는 예를 들어, 집적회로(ICs)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로패턴을 포함하고, 이 패턴은 방사선감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 하나 이상의 다이로 구성)상으로 묘화될 수 있다. 일반적으로, 단일 웨이퍼는 투영시스템에 의해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영장치에서, 타겟부상에 전체 마스크 패턴을 한번에 노광함으로써 각각의 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)라 불리는 대안적인 장치에서, 투영빔하에서 주어진 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하면서, 상기 방향과 평행하게 또는 반평행하게 기판 테이블을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는데, 일반적으로 투영시스템이 배율인자(M)(일반적으로<1)를 가지므로, 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자(M)배가 된다. 여기에 서술된 리소그래피 장치에 관련된 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 사용하는 제조 프로세스에서, 마스크 패턴은 적어도 부분적으로 방사선감응재(레지스트)층으로 도포된 기판상에 묘화된다. 이러한 묘화 단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅, 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 피처(imaged feature)의 측정/검사와 같은 기타 절차를 거칠 수 있다. 이러한 일련의 절차는, 예를 들어 IC와 같은 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음, 이러한 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 개별층을 마무리하기 위한 다양한 프로세스를 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 절차 또는 그 변형 절차가 반복되어져야만 할 것이다. 종국에는, 디바이스의 배열이 기판(웨이퍼)상에 존재하게 될 것이다. 이들 디바이스가 다이싱 또는 소잉 등의 기술에 의해 서로 격리된 후에, 각각의 디바이스는 캐리어에 탑재되고, 핀 등에 접속될 수 있다. 이러한 프로세스들에 관한 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 "Microchip Fabrication : A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill 출판사, 1997, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
설명을 간단히 하기 위해, 투영시스템은 이후에 "렌즈"라고 언급될 수 있다. 하지만, 이 용어는 예를 들어, 굴절 광학기, 반사 광학기 및 카타디옵트릭 시스템을 포함한 다양한 형태의 투영시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 또한, 방사선시스템은 방사선 투영빔의 지향, 성형 또는 제어를 하기 위한 설계유형 중의 어느 하나에 따라 동작하는 구성요소를 포함할 수 있고, 이러한 구성요소들도 아래에서 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수 있다. 또한, 상기 리소그래피 장치는 두개 이상의 기판 테이블 (및/또는 두개 이상의 마스크 테이블)을 구비하는 형태가 될 수 있다. 이러한 "다수 스테이지" 장치에서, 추가적인 테이블들이 병행하여 사용될 수 있거나, 하나 이상의 다른 테이블들이 노광을 위하여 사용되고 있는 동안에 하나 이상의 테이블에서 준비단계가 수행될 수 있다. 트윈 스테이지 리소그래피 장치는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제5,969,441호에 개시되어 있다.
상기 언급된 포토리소그래피 마스크는 실리콘 웨이퍼상으로 집적되는 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 형성하는데 사용되는 상기 패턴들은, CAD(컴퓨터 지원 설계 : Computer-Aided Design) 프로그램을 사용하여 생성될 수 있고, 이 프로세스는 종종 EDA(전자설계 자동화 : Electronic Design Automation)로 언급된다. 대부분의 CAD 프로그램은 기능적인 마스크를 형성하기 위해 미리 결정된 설계규칙의 세트를 따른다. 이들 규칙은 처리 및 설계제한에 의해 설정된다. 예를 들어, 설계규칙들은, 회로 디바이스들(게이트들, 캐패시터들 등과 같은) 또는 상호접속 라인들 사이의 간격 허용오차를 정의하여, 상기 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 상호 작용하지 않도록 한다. 통상적으로, 상기 설계규칙 제한을 "임계치수"(CD : Critical Dimensions)로 칭한다. 회로의 임계치수는 라인 또는 홀의 최소폭 또는 두개의 라인들 또는 두개의 홀들 사이의 최소간격으로 정의될 수 있다. 따라서, 상기 CD는 설계된 회로의 전체적인 크기 및 밀도를 결정한다.
물론, 집적회로 제조에서의 일 목적은, (마스크를 통해) 웨이퍼 상에 원래의 회로 디자인을 정확하게 재현하는 것이다. 공지된 바와 같이, 광근접성 보정(OPC) 피처들은 결과적인 이미지를 증대시키기 위하여 마스크 디자인 안으로 통합될 수 있어, 보다 정확하게 타겟 패턴을 표현할 수 있도록 한다. 또한, 주어진 타겟 패턴의 에어리얼 이미지를 시뮬레이션하기 위하여 원하는 프로세스의 모델들을 이용하는 것도 알려져 있다. 이러한 모델들은 조작자로 하여금 웨이퍼를 실제로 묘화하지 않고도 결과적인 이미지에 대해 마스킹 피처들 및 OPC 피처들을 조정하는 효과들을 리뷰(review)하는 것이 가능하게 하여, 상당한 비용 및 디자인 프로세스의 시간을 절약할 수 있게 한다. 이러한 모델링 방법 중 하나가 2004년 11월 5일에 출원된 USP 출원 제 10/981,750호에 개시되어 있으며, 본 명세서에서는 그 전체 내용을 참고문헌으로 채택하고 있다.
이러한 모델들이 현존하고, 이러한 캘리브레이션된 프로세스 모델로부터 예측된 포토리소그래피 성능이 매우 만족스럽게 입증되었지만, 상기 모델의 성능은 다음과 같은 조건에서는 저하된다: (1) 타겟 패턴 상의 피처들이 상기 모델을 캘리브레이션하기 위하여 이용된 테스트 구조체들의 범위 내에 있지(fall) 않은 경우, 또는 (2) 상기 모델이 캘리브레이션 되었을 때와 동일한 상태로 노광 툴이 남아 있지 않은 경우. 공지된 바와 같이, 노광 툴들은 정적 디바이스(static device)들이 아니며, 그 성능은 외부 환경 및 내부 구성요소들 양자 모두에 의해 발생된 변동(fluctuation)들로 인하여 계속해서 변경될 수 있다. 앞서 살펴본 바에서, 묘화될 타겟 마스크 상의 피처들이 모델의 "동작 윈도우" 내에 있는 지의 여부를 조작자에게 알려주는 방법을 개발하는 것이 매우 흥미롭고 중요한 것인데, 이는 캘리브레이션 상태 이외의 노광 툴 상태들에서 캘리브레이션된 모델로부터 포토리소그래피 성능의 추정값(estimation)을 참고한다(그 캘리브레이션 상태로부터의 노광 툴 상태의 편차(deviation)들은 노광 도즈(exposure dose)에 또는 포커스 조건(focus condition)에 있을 수 있음을 유의한다).
이에 따라, 본 발명은 주어진 프로세스에 의해 묘화된 마스크 패턴(타겟 패턴이라고도 함)으로부터 발생하는 이미지를 시뮬레이션하기 위한 모델을 생성하는 방법 및 상기 마스크 패턴의 피처들/구조체들이 상기 모델에 의해 정확하게 처리될 수 있는 지의 여부(즉, 마스크 패턴의 피처들/구조체들이 상기 모델의 동작 범위(동작 윈도우라고도 함) 내에 있는 지의 여부)를 검증하는 방법에 관한 것이다.
보다 상세하게는, 본 발명은 복수의 피처들을 포함하는 마스크 패턴을 묘화하는데 이용되는 경우 포토리소그래피 프로세스에 의해 생성될 이미지를 추정할 수 있는 포토리소그래피 프로세스의 캘리브레이션된 모델을 생성하는 단계; 및 캘리브레이션된 모델이 마스크 패턴 내의 주어진 피처에 의해 생성될 이미지를 정확하게 추정할 수 있는 지의 여부를 정의하는, 상기 캘리브레이션된 모델의 동작 윈도우를 결정하는 단계를 포함하는 포토리소그래피 프로세스를 모델링하는 방법에 관한 것이다.
본 발명은 탑-햇 일루미네이터(top-hat illuminator), 또는 고객 디자인 일루미네이터(customer designed illuminators) 혹은 실험적 또는 모델링된 일루미네이터 프로파일들과 같은 거의 모든 형태의 조명을 모델링하는데 사용될 수 있다는 점이 중요하다. 본 발명에 이용되는 고유함수들은, 스칼라 퓨필 함수로부터 또는 높은 NA 퓨필 함수들을 나타내는 벡터로부터 될 수 있다. 본 발명의 프로세스는, 그것이 고객 디자인 일루미네이터 또는 실험으로부터 측정된 실제 일루미네이터인 경우, 일반화된 일루미네이터에 대한 고유함수들을 생성할 수 있는 알고리즘의 형태로 될 수 있다. 본 발명은 또한 높은 NA 모델로 활용될 수도 있다. 개발된 고유 분해 모델은 또한 무크롬상리소그래피(CPL) 및 다이폴 더블 리소그래피(DDL)과 같은 신규의 낮은 k1 포토리소그래피 기술들로 작업할 수도 있다.
본 발명은 종래 기술의 모델링 방법들에 비해 중요한 장점들을 제공한다. 보다 중요하게는, 본 발명의 방법은 조작자로 하여금 이미지가 생성되어야 하는 마스크 패턴에 대하여 이용되고 있는 모델이 정확한지(즉, 모델의 동작 윈도우 내에 있는지)를 검증하도록 하는 것이 가능하다. 마스크 패턴의 소정의 피처들/구조체들이 상기 모델의 동작 윈도우 외부에 있는 경우에는, 조작자에게 동작 윈도우 외부에 있는 피처들/구조체들이 허용가능한 디자인 톨러런스(tolerances) 내에서 프린트되고 있는 지를 확인하도록 경고하기 위하여 통보(notification) 또는 플래그(flag)가 발생한다. 이러한 경우에는 추정된 이미지를 얻기 위하여 상기 모델이 외삽(extrapolate)되기 때문에, 예측된 이미지 결과들이 정확하다는 것을 보장하지 못한다.
또한, 본 발명은 모델이 캘리브레이션된 상태 이외의 노광 툴 상태들에서 캘리브레이션된 모델로부터 포토리소그래피 성능을 추정하는 방법을 제공하기도 한다.
본 발명의 추가 장점들은 당업계의 당업자에게는 후술하는 본 발명의 예시적인 실시예들의 상세한 설명으로부터 명백해질 것이다.
비록 본 명세서에서는 본 발명을 사용함에 있어 IC의 제조에 대해서만 특정하여 언급하였으나, 이러한 장치가 여러 다른 응용례를 가지고 있음은 명백히 이해될 것이다. 예를 들어, 상기 장치는 집적 광학 시스템, 자기영역 메모리용 유도 및 검출 패턴, 액정표시패널, 박막자기헤드 등의 제조에도 사용될 수 있다. 당업자라면, 전술한 기타 응용분야들을 고려할 때, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 용어가 "마스크", "기판" 및 "타겟부" 등과 같은 좀 더 일반적인 용어로 각각 대체되는 것으로 간주되어야 함을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어 365, 248, 193, 157 또는 126㎚의 파장을 갖는) 자외선 방사선 및 EUV(예를 들어, 5 ~ 20nm 범위의 파장을 갖는 극자외선)을 포함하는 모든 형태의 전자기 방사선을 포괄하는 것으로 사용된다.
마스크라는 용어는 기판의 타겟부에 생성될 패턴에 대응하는, 패터닝된 단면을 입사하는 방사선 빔에 부여하는데 사용될 수 있는 일반적인 패터닝 수단을 의미하는 것으로서 폭넓게 해석되어야 하며, 본 명세서에서 "광 밸브(light valve)"라는 용어로도 사용된다. 전형적인 마스크(투과형 또는 반사형; 바이너리형, 위상-시프트형, 하이브리드 등) 이외에, 여타의 이러한 패터닝 수단의 예시로는 다음과 같은 것들이 포함한다.
·프로그램가능한 거울 어레이. 이러한 디바이스의 일례로, 점탄성 제어층(viscoelastic control layer)과 반사면을 구비한 매트릭스-어드레서블 표면이 있다. 이러한 장치의 기본원리는, (예를 들어) 반사면의 어드레스된 영역에서는 입사광이 회절광으로 반사되는 한편, 어드레스되지 않은 영역에서는 입사광이 비회절광으로 반사되는 것이다. 적절한 필터를 사용하면, 상기 반사된 빔으로부터 상기 비회절광을 필터링하여 회절광만 남게 할 수 있다. 이러한 방식으로, 상기 빔은 상기 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 패터닝된다. 요구되는 매트릭스 어드레싱은 적당한 전자적 수단을 사용하여 수행될 수 있다. 이러한 거울 어레이에 관한 보다 상세한 정보는 예를 들어, 본 명세서에서 참고문헌으로 채택된 미국특허 US 제5,296,891호 및 US 제5,523,193호로부터 얻을 수 있다.
·프로그램가능한 LCD 어레이. 이러한 구조의 일례는 본 명세서에서 참고문헌으로 채택된 미국특허 US 제5,229,872호에 개시되어 있다.
본 발명 자체는 추가 목적들과 장점들과 함께, 후술하는 상세한 설명 및 첨부된 개략적인 도면들을 참조하여 보다 잘 이해될 수 있다.
캘리브레이션된 모델이 주어진 마스크 패턴을 사용하기에 적합한 지를 검증하기 위한 방법 및 장치가 개시되어 있다. 아래에 상세히 기술된 예시적인 방법은 묘화 프로세스의 성능을 모델링하기 위하여 고유 함수 분해 모델(고유 분해 모델 또는 EDM이라고 함)을 이용한다는 점에 유의한다. 하지만, 기타 타입의 모델들을 구비한 본 발명의 방법들을 이용하는 것도 가능하다.
본 발명의 방법을 논의하기에 앞서, 고유 분해 모델의 생성에 관한 간략한 설명을 제시한다. 고유 분해 모델의 생성에 관한 보다 상세한 설명은 상술된 바와 같이, 2004년 11월 5일에 출원된 USP 출원 제 10/981,750호에서 찾을 수 있다.
언급된 바와 같이, 본 발명의 방법을 예시하는 다음과 같은 예시에서는, 일정한 임계값(constant threshold) 고유 분해 모델이 활용된다. 일정한 임계값 고유 분해 모델은 몇 가지 기본적인 이론들을 전제로 한다. 첫째로, 소정의 2D 바이너리 이미지는 일정한 임계값에서 대역 제한된 실제값 함수(band limited real valued function)를 컷팅(cutting)하여 얻어질 수 있다는 것이다. 둘째로는, 광학 이미지 시스템으로부터의 에어리얼 이미지가 대역 제한된 함수이므로, 에어리얼 이미지의 유효 자유도가 유한하다는 것이다. 셋째로, 에어리얼 이미지를 기술하는 최적의 베이시스 함수(optimal basis function)들이 고유 함수들이고, 이는 그 조작자가 광학 이미지 시스템 셋팅에 의해 결정되는 적분식을 이용하여 풀릴 수 있다.
반도체 제조에서 통상적으로 사용되는 부분적인 코히런트 조명 조건들 하에서, 광학묘화시스템 자체는 성질상 비선형이다. 에어리얼 이미지, 즉 소정의 주어진 마스크 패턴으로부터의 이미지 평면에서의 광 세기 분포는, 광학에서 잘 알려진 공식을 이용하여 쉽고도 매우 정확하게 계산될 수 있다(예컨대, 본 명세서에서 참고문헌으로 채택하는 H.H. Hopkins의 Proc, Roy. Soc., A, 217(1953), 408 참조). 광 세기에 대한 화학적으로 증폭된 레지스트의 응답 및 용제에서의 후속하는 레지스트 성장은 모두 매우 비선형이다. 웨이퍼 상의 최종 성장된 패턴은, 웨이퍼 기판의 최상부 상에 레지스트를 구비하거나 구비하지 않은 바이너리로 볼 수 있다. 상기 모델의 주 기능은 에어리얼 이미지 또는 마스크 패턴으로부터 웨이퍼 상의 바이너리 이미지를 정확하게 예측할 수 있는 수학 공식을 제공하는 것이다. 수학적으로 말하면,
여기서, T(x,y)는 웨이퍼 상의 생성된 바이너리 이미지이고, M(x,y)는 마스크 상의 입력 패턴이다(이는 OPC 피처들을 포함할 수 있음). F 는 미지의 함수 형태를 나타내는데, 소정 모델의 코어(core)이다. 일정한 임계값 모델에서, 바이너리 이미지는 일정한 임계값을 이용하여 M(x,y)의 에어리얼 이미지를 컷팅하여 달성된다. 하지만, 일정한 임계값을 이용하여 바이너리 이미지를 얻을 때의 단순성은 매우 매력적이다. 소정 바이너리 함수 T(x,y)를 말하는 수학 이론이 존재하는데, 그 값은 0 또는 1이며, 소정의 특정 정확성으로 대역제한된 연속 함수 F(x,y)를 임계화함으로써 얻어질 수 있다. 소요 정확성이 높을 수록, 함수 F(x,y)의 소요 대역폭이 커진다. 이러한 연속 함수 F(x,y)는 본 발명의 모델에서 시스템 의사 세기 함수(SPIF)라 한다.
다시 말해, 고유 분해 모델에서, 목적은 에어리얼 이미지를 또 다른 대역 제한된 네거티브가 아닌 실제값 함수(band limited non-negative real valued function)에 관련시켜 이로부터 원하는 바이너리 이미지가 소정값에서 네거티브가 아닌 실제값 함수를 임계화(thresholding)하여 달성될 수 있는 변환 함수(transformation function)를 식별하는 것이다. 신규 대역 제한된 네거티브가 아닌 실제값 함수는 시스템 의사 세기 함수(SPIF)라 한다.
쉽게 계산될 수 있는 에어리얼 이미지 I(x,y)로부터 SPIF로의 변환은 상기 모델에서의 핵심 요소를 구성한다. 위치 (x,y)에서의 SPIF의 값은 (x,y)에서의 에어리얼 이미지 세기의 값에 의존할 뿐만 아니라, (x,y) 주위의 에어리얼 이미지 세기의 값들 모두에 의존한다. 수학적으로는, 상기 SPIF는 다음과 같이 표현될 수 있다.
포토리소그래피 프로세스의 복잡한 속성으로 인하여, 첫번째 물리적인 원리들을 기초로 하는 G의 정확한 함수 형태를 도출하는 것이 쉽지 않다. 그리하여, G의 근사적인 함수 형태가 이용된다. 이는 에어리얼 이미지 I(x,y)가 유한한 유효 자유도를 가지는 경우에만 가능하며, 이는 샤논(Shanon)의 샘플링 이론에 따라 증명되는데, 그 이유는 에어리얼 이미지 I(x,y)가, 본 명세서에서 참고문헌으로 채택하는 C.E.Shannon의 Proc. IRE, 37, (1946), 429에 기술된 바와 같이, 대역제한된 함수이기 때문이다.
본 발명의 예시적인 제1실시예의 개요가 도 1에 예시되어 있다. 상기 프로세스의 제1단계(단계 10)에서, 이용될 포토리소그래피 프로세스가 정의된다. 다음, 단계 12에서, 포토리소그래피 프로세스의 한 세트의 커널(kernels)(즉, 모델)이 생성된다. 주어진 실시예에서, 포토리소그래피 프로세스의 고유 분해 모델이 생성된다. 그 후, 단계 14에서, 복수의 테스트 구조체들이 상기 한 세트의 커널을 생성하도록 이용되는 동일한 포토리소그래피 프로세스를 활용하여 실제 묘화 프로세스를 겪는다. 단계 16에서, 상기 모델이 캘리브레이션된다. 이는 상기 테스트 구조체들을 모델 안으로 입력한 다음, 상기 모델의 결과들을 단계 14에서 생성된 실제 묘화 결과들에 비교함으로써 성취된다. 그런 다음, 상기 모델은 상기 모델에 의해 생성된 묘화 결과들이 사전설정된 에러 톨러런스 내의 실제 묘화 결과들과 일치(match)할 때까지 조정된다. 당업계의 당업자라면 알 수 있듯이, 사전설정된 톨러런스는 활용되고 있는 포토리소그래피 툴 및 특정 어플리케이션(specific application)에 따라 바뀔 것이다. 일단 상기 모델이 사전정의된 에러 톨러런스 내에 있도록 튜닝(tune)되면, 상기 모델은 캘리브레이션된 것으로 간주된다.
다음으로, 단계 18에서, 캘리브레이션된 모델을 활용하면, 상기 모델을 캘리브레이션하는데 이용되는 다양한 테스트 구조체들에 대한 평가 포인트(evaluation point)들이 상기 모델의 유효 동작 공간(valid operating space)을 결정하는데 이용된다. 예를 들어, 각각의 테스트 구조체에 있어서, 평가 포인트들은 사전설정된 간격(예컨대, 50nm 간격)으로 상기 테스트 구조체의 2차원 경계를 따라 정의될 수 있다. 이러한 평가 포인트들에서 테스트 구조체들을 정량화(quantifying)함으로써, 모델이 유효한 동작 공간을 정의하는 것이 가능해진다. 보다 상세하게는, 앞선 프로세스가 이미 상기 모델이 허용가능한 에러 톨러런스 내에서 상기 테스트 구조체들을 처리하는 것을 확인하였기 때문에, 상기 동작 공간(즉, 동작 윈도우) 내에 있는 모델에 의해 후속해서 처리되는 어떠한 구조체도 상기 모델에 의해 생성된 시뮬레이션된 이미지에 의해 정확하게 표현될 것이다. 상기 모델의 유효 동작 공간을 정량화하는 수많은 방법들이 있으며, 본 발명은 아래에 개시된 예시들에 국한되어서는 안된다는 점에 유의한다.
도 2를 참조하면, 일단 상기 캘리브레이션된 모델의 유효 동작 공간(즉, 동작 윈도우)이 정의 또는 정량화되면, 신규 마스크 데이터 또는 신규 마스크 구조체가 상기 모델에 의해 동작되어야 하는 경우(단계 20), 상기 신규 마스크 디자인 내의 구조체들이 우선 분석되어(단계 22), 상기 신규 구조체들이 상기 모델의 유효 동작 공간 내에 있는 지의 여부를 판정하게 된다. 만일 상기 구조체들이 상기 유효 동작 공간 내에 있다면, 상기 모델의 결과들은 허용가능한 것으로 간주되고, 조작자에 의해 추가로 주목받을 필요가 없게 된다(단계 24). 하지만, 만일 신규 구조체들이 상기 모델의 유효 동작 공간의 외부에 있는 것으로 판정된다면, 상기 유효 동작 공간의 외부에 있는 구조체들은 자동으로 플래깅(flag)되고 식별되어(단계 26), 상기 모델에 의해 생성된 프린팅 결과들이 정확하다는 것을 확인하기 위하여 상기 식별된/플래깅된 구조체들의 추가 분석이 필요할 수도 있다는 것을 조작자에게 통보하게 된다. 주어진 마스크 디자인에 있어서, 일부 구조체들은 상기 모델의 유효 동작 공간 내에 있기 쉬운 한편, 일부 구조체들은 상기 모델의 유효 동작 공간 외부에 있을 수도 있다는 점에 유의한다. 본 발명의 방법은 각각의 구조체가 개별적으로 플래깅되도록 하여, 조작자가 구조체 대 구조체 기초(structure by structure basis), 심지어는 로케이션 대 로케이션 기초(location by location basis)에 대해 유효 동작 공간 외부에 있는 상기 구조체들을 분석할 수 있게 되는 것이 바람직하다.
도 3 및 도 4는 도 1에 언급된 모델 캘리브레이션 프로세스의 보다 상세한 설명을 예시한다. 도 3 및 도 4를 참조하면, 마스크 패턴의 특성을 포함하는 입력(2)이 광학 묘화 모델(4)에 제공된다(단계 S100). 묘화 프로세스를 나타내는 고유 함수들과 고유값들은, 예컨대 개구수(NA) 및 파장(λ)을 포함하여 이용될 묘화 프로세스 및 조명 소스의 특성들로부터 결정된다(단계 S102). 테스트 마스크(즉, 테스트 구조체들)의 특성들은 마스크 함수 M(x,y)를 결정하는데 사용되는데(단계 S104), 이는 입력(2)으로 제공된다. 에어리얼 이미지는 고유 함수들을 마스크 함수 M(x,y)와 콘볼루션(convolute)하여 결정된다(단계 S105). 레지스트 효과(6)를 나타내는 1차 고유 함수는, 특정 레지스트가 실제 에어리얼 이미지 상에 가지는 효과를 고려하도록 에어리얼 이미지를 결정하는데 사용될 수 있다. 사전설정된 일정한 임계값이 에어리얼 이미지에 적용되어, 예측된 외형들을 구비한 초기 SPIF를 생성하게 된다(단계 S106). 상기 예측된 외형들은 테스트 마스크의 공지된 외형들에 비교되는데, 이는 동일한 조명 조건들과 프로세스를 이용하는 테스트 마스크 이미지를 실제로 프린팅함으로써 결정된다(단계 S110). 만일 예측된 외형들이 측정된 외형들의 사전설정된 에러 톨러런스 내에 있다면(단계 S112 YES)(바람직한 실시예에서는 2차원 외형들이 비교 프로세스에 이용된다는 점에 유의한다), 예측 모델은 정확한 모델이 되는 것으로 증명되어 모델 캘리브레이션이 종료된다(단계 S114). 만일 예측된 외형들이 사전설정된 에러 톨러런스 내에 있지 않다면(단계 S112 NO), 묘화 프로세스를 정의하는 각각의 고유 함수와 연관된 각각의 항의 웨이트(weight)가 조정되고(단계 S116), 신규 SPIF가 생성된다. 그 후, 일정한 임계값이 신규 SPIF에 적용되고(단계 S108), 단계 S108~116의 프로세스는 사전설정된 에러 톨러런스 내에 외형들을 제공하는 모델이 생성될 때까지 반복된다.
도 4의 단계 S102에 예시된 바와 같이, 고유 함수들 및 고유값들의 결정 시에는, 광학 묘화 시스템의 특성들을 나타내는 한 세트의 파라미터들이 일반적으로 동일한 대역폭의 1 이상의 베이시스 함수들로서 제공된다. 대역폭 Ω를 갖는 베이시스 함수들의 모든 가능한 세트들간의 최적 베이시스 함수는 분해 기술을 이용하여 결정될 수 있다. 베이시스 함수들의 최적 세트에 의하면, 상기 세트로부터 필요한 베이시스 함수들의 수는 소정의 특정 에러 요건에 대하여 대역폭 Ω의 소정 실제값 함수를 근사화하도록 최소인 것을 의미한다. 이러한 베이시스 함수들의 최적 세트는 가장 효과적으로 광학묘화시스템(조명, 퓨필 등)을 나타내어야 하고 묘화될 대상물에 독립적인 것이 바람직하다. 스칼라 체제에서 홉킨스(Hopkin's) 묘화 공식으로부터 시작하면:
여기서, γ(x2-x1,y2-y1)는 조명에 의해 결정되는 대상 평면에서의 (x1,y1)과 (x2,y2)간의 상호 코히런스이고, K(x-x1,y-y1)는 광학시스템의 퓨필 함수에 의해 결정되는 광학묘화시스템의 임펄스 응답 함수이다. 더욱 명확하게는, K(x-x1,y-y1)는 대상 평면 내의 (x1,y1)에서의 1 진폭 및 0 위상의 왜란(disturbance)으로 인한, 이미지 평면 내의 점 (x,y)에서의 복소 진폭이다. M(x1,y1)은 점 (x1,y1)에서의 대상물의 복소 투과이다. 예컨대, 변수의 켤레를 말하는 별표를 가진 변수인 K*는 K의 켤레이고, M*는 M의 켤레이다.
수학식 3은 적분 변수들을 변경하여 또 다른 형태로 나타낼 수 있다.
수학식 7의 관계를 만족하는 정수 연산자는 허미션(hermitian) 연산자라 한다. (본 명세서에서 참고문헌으로 채택하고 있는 A. V. Balakrishnan의 Applied Functional Analysis, (1976)에 기술된) Mercer의 이론에 따르면, 허미션 연산자에 있어서, 정규직교(orthonormal) 함수의 완전한 세트 {φi}가 존재하여, W가 대각선으로 {φi}까지 전개될 수 있다.
적분식은 양쪽에 φi(x2'y2')를 먼저 곱한 다음, 양쪽에 변수 x2 및 y2에 대해 적분함으로써 수학식 8로부터 쉽게 얻어질 수 있다. {φj}가 정규직교이므로, 적분 후에 우측에 남아 있는 항만이 j=i를 갖는 항이다.
정규직교 함수 {φi}는 적분 연산자 W의 고유함수들인데, 이는 적분식 9를 풀어 쉽게 얻을 수 있고, {αi}는 대응하는 고유값들이다. 수학식 4 및 수학식 5에 제공된 바와 같이, 적분 연산자 W는 또한 양(positive)이면서 준정부호(semidefinite)인데, 그 이유는 소정 위치 (x,y)에서의 에어리얼 이미지 세기 I(x,y)가 소정의 주어진 입력 마스크 패턴 M(x,y)에 대해 음이 아니기 때문이다. 이러한 조건은 그들이 음이 아니고 바운딩되어야만 하는 {αi}의 값들에 대해 추가 제약들을 부과한다. α1≥α2≥α3≥...>0 이도록 그 고유값 {αi}에 따라 고유 함수 {φi}를 오더링하는 것이 항상 가능하다. 퇴화(degenerate) 함수들은, 조명 및 퓨필 함수가 소정 대칭값들을 소유하는 경우에 발생할 수 있다. 퇴화 함수들은 동일한 고유값을 소유하는 함수들을 말한다.
도 4의 단계 S105에 예시된 바와 같이, 에어리얼 이미지는 마스크 함수 M(x,y)의 고유 함수들과의 콘볼루션에 의해 계산된다. 특히, 함수들의 정규직교 세트 {φi}에 의하여, 상기 에어리얼 이미지는 수학식 8을 수학식 4에 대입하여 다음의 수학식을 이용하여 계산될 수 있다.
여기서, ⓧ는 고유함수 φi와 마스크 투과함수 M 간의 콘볼루션 연산을 나타낸다. 묘화 이론의 언어로 말하면, 수학식 10은 부분적인 코히런트 묘화 시스템이 일련의 코히런트 묘화 시스템들로 분해될 수 있다는 것을 보여준다. 부분적인 코히런트 묘화 시스템을 일련의 코히런트 묘화 시스템들로 분해하기 위한 여타의 방법들이 있지만, 상술된 방법이 종종 최적 코히런트 분해라 불리우는 최적의 것으로 입증되었다(예컨대, 본 명세서에서 참고문헌으로 채택하고 있는 Y. C. Pati 및 T. Kailath, J.의 Opt. Soc. Am. A 11, (1994), 2438 참조). 이에 따라, 수학식 10으로 기술된 최적의 코히런트 분해 기술은 광학 묘화 모델 4에 사용되는 것이 바람직하다.
φ1-N의 에어리얼 이미지에 대한 소정 개수의 차수(투과 채널)들이 도 3에 예시된 바와 같이 사용될 수 있다. 하지만, 반도체 제조에서 가장 흔히 채택되는 조명들에 대해서는, 단지 첫번째 몇몇 투과 채널들만이 중요하다. i번째 채널로부터 기록된 신호는 복소 진폭(φiⓧM) 이 아니라, 세기(|φiⓧM|2) 임을 유의한다. 교차항 (φiⓧM)(φiⓧM)*(i≠j)이 존재하지 않는데, 그 이유는 상이한 채널들로부터의 복소 진폭들은 모든 위상 내에서 코렐레이션을 가지지 않고, 시간에 따른 평균화된 값들은 0 이다. 다시 말해, 본 명세서에서 이용된 본 발명의 모델에서, 기본 신호들은 전기장형인 {φiⓧM} 가 아닌 세기형인 {|φiⓧM|2} 이다.
중요한 신호들이 결정된 후, SPIF는 단계 S106에 예시된 바와 같이 결정된다. 특히, 본 발명의 고유 분해 방법에 의하면, 해당 점 (x,y) 주위의 에어리얼 이미지 세기 분포를 설명하기 위한 효과적이면서 정확한 방법을 달성하는 것이 가능하다. i번째 채널로부터의 신호를 Si로 표시하면,
그 후, 에어리얼 이미지 I(x,y)로부터 SPIF(x,y)로의 변환을 나타내는 수학식 2에서의 함수 형태 G 는 다음과 같이 표현될 수 있다.
여기서는, 첫번째 N 채널들로부터의 신호들만이 중요한 것으로 가정되었다. {Si}에 대한 SPIF의 종속성을 기술하는 정확한 함수 형태를 모르기 때문에, 연속적인 보정 접근법이 취해진다. 이러한 접근법은, 일치값들이 정량적으로는 만족되지 않는다 하더라도, 일정한 임계값을 이용하여 에어리얼 이미지를 임계화하는 것으로부터 예측된 외형들이 실험값들로부터의 것과 상당히 일치된다는 사실을 기초로 한다. 따라서, 일련의 전개식(series expansion)이 그 오리지널 에어리얼 이미지 I(x,y)로부터의 SPIF의 편차를 보정하기 위한 목적을 담당해야 한다는 것이 예상된다.
상기 계수들은 레지스트 프로세스의 효과를 고려하고, 그들은 또한 마스크들 상의 토포그래피와 같은 여타의 "이상적이지 않은(non ideal)" 팩터들의 효과들도 포함한다.
상술된 바와 같이, 예측된 SPIF의 외형들은 테스트 패턴에 의해 생성된 실험적으로 결정된 외형들에 비교된다(단계 S112). 만일 예측된 외형들이 실험적으로 결정된 외형들의 사전설정된 톨러런스 내에 있다면, 모델 캘리브레이션이 종료된다(단계 S114). 하지만, 예측된 외형들이 사전설정된 톨러런스 내에 있지 않다면, 각각의 고유 벡터와 연관된 각각의 항의 웨이트가 조정되고(단계 S116), 신규 SPIF가 상술된 원리들에 따라 생성된다. 일정한 임계값이 신규 SPIF에 적용되고(단계 S108), 단계 S108~116의 프로세스는 상기 모델 캘리브레이션이 종료될 때까지 또는 사전설정된 횟수의 시도들이 시도될 때까지 반복된다.
만일 1차 항들만 보존된다면, 상기 모델은 1차이고, SPIF의 대역폭은 광학 묘화 시스템에 의해 결정된 그 오리지널 에어리얼 이미지와 동일하다. 상기 1차 모델이 충분히 정확하지 않은 경우, 2차 항들이 2차 모델을 생성하도록 상기 모델에 포함될 수 있다. 상기 2차 모델은 오리지널 에어리얼 이미지의 2배의 대역폭을 가질 것이다. 1차 고유 분해 모델에서의 자유도는 {β1, β2,...,βN}으로 특정된 N이다. 2차 고유 분해 모델에서의 자유도는 계수 {ηij}의 대칭으로 인하여 N+N(N+1)/2 이다. 1차 고유 분해 모델은 도 3에 도시되어 있다.
상술된 바와 같이, 일단 모델 캘리브레이션이 종료되면, 또는 대안적으로 모델 캘리브레이션 프로세스 시에는, 캘리브레이션된 모델의 유효 동작 공간 또한 결정된다. 상기 모델의 유효 동작 공간(즉, 동작 윈도우)을 정의하는 방법의 한 가지 예시는 다음과 같다. 프로세스의 제1단계는 모델 캘리브레이션에 이용되는 각각의 테스트 구조체들을 정량화하는 것이다. 이는 상기 수학식 11에 의해 생성된 신호들을 이용하여 성취된다. 원하는 정확성을 성취하기 위하여 단지 3개의 커널(채널)들만이 필요하다고 가정하면, 각각의 주어진 테스트 구조체에 있어서, 평가 포인트들은 수학식 11을 활용하여 정의 및 샘플링된다. 통상적으로, 상기 평가 포인트들은 사전설정된 간격으로 테스트 구조체의 다각형 에지(polygon edge)를 따라 취해진다. 주어진 테스트 구조체에 대한 평가 포인트들이 1, 2,...,N이라고 가정하면, 한 세트의 신호(S1, S2, S3)는 다음과 같다. 즉, 보다 명백하게는:
여기서, 신호 라벨(즉, i번째 커널에 대한 신호)인 아래첨자 및 평가 포인트 라벨인 위첨자는 수학식 11을 이용하여 계산된다. 모든 테스트 구조체들의 모든 평가 포인트들에 대한 수학식 11에 의해 생성된 신호들은 영역 또는 동작 공간 {S1, S2, S3}을 정의하고, 이 안에서 상기 모델이 유효한 것으로 확인된다. 한 세트의 신호 {S1, S2, S3}는 캘리브레이션 프로세스에서 이용되는 테스트 구조체들 각각에 대해 계산된다는 점에 유의한다. 더 자세하게 설명하기 위하여, 1차 모델이 이용되어야 한다고 가정하면, 이 경우에는, 모든 테스트 구조체들로부터의 신호들이 각각 각각의 신호 축선에 대한 경계들을 정의한다. 즉, [S1,min, S1,max], [S2,min, S2,max], [S3,min S3,max]. 수학식 11을 이용하면, 신호들은 사전정의된 간격을 갖는 평가 포인트들에서 들어오는 마스크 패턴에 대해 계산될 수 있으며, 각각의 신호 S1, S2, S3에 대하여, 이러한 신호들은 (모델을 캘리브레이션하도록 이용되는 테스트 구조체들에 대응하여) 테스트 구조체 신호들에 의해 설정된 대응하는 경계들에 대하여 비교될 수 있다.
일단 캘리브레이션된 모델의 유효 동작 공간이 정의되면, 도 2와 연계하여 상술된 바와 같이, 신규 구조체들(즉, 신규 마스크 디자인)이 상기 모델을 겪는 경우, 신규 구조체들이 분석되어 상기 신규 구조체들이 상기 모델의 유효 동작 공간 내에 있는 지를 판정하게 된다. 만일 어떠한 신규 구조체들도 상기 모델의 유효 동작 공간 내에 있지 않다면, 상기 모델은 캘리브레이션된 모델을 외삽하여 상기 신규 구조체들에 대한 묘화 결과들을 예측한다. 하지만, 상기 시스템은 또한 신규 구조체들이 상기 모델의 확인된 유효 동작 공간 내에 있지 않았기 때문에, 상기 신규 구조체들에 대한 예측된 묘화 결과들이 옳지 않을 수 있다는 것을 조작자에게 경고하기 위하여 상기 신규 구조체들을 플래깅한다.
상술된 바와 같이, 본 발명은 또한 상기 모델이 캘리브레이션된 상태 이외의 노광 툴 상태에서 캘리브레이션된 모델로부터 포토리소그래피 성능을 추정하기 위한 방법도 제공한다.
통상적으로, 고유 분해 모델은 고정된 프로세스 조건, 특히 고정된 노광 도즈 및 포커스 셋팅(focus setting)에서 캘리브레이션된다. 상기 노광 도즈가 변경되면, 레지스트에 의해 수용된 신호들이 비례적으로 바뀐다. 만일 캘리브레이션에 사용된 노광 도즈가 E0라면, 노광 도즈 kE0를 갖는 SPIF 함수는 다음과 같다:
여기서, 포커스 셋팅은 캘리브레이션에 사용된 것과 동일하다고 가정한다. 따라서, 캘리브레이션 노광 도즈 이외의 노광 도즈들에서 바이너리 이미지는, 수학식 15에 표현된 바와 같이, 정확히 동일한 임계값을 갖는 SPIF 함수를 컷팅함으로써 쉽게 얻어질 수 있다.
보다 상세하게는, 캘리브레이션 포커스 조건에서 프로세스에 대한 최적의 베이시스 함수들이 {φi; Z=Z0} 이라고 가정하면, 다른 포커스 조건에서 프로세스에 대한 최적의 베이시스 함수들은 {ψn; Z=Zm} 이고, 그 이유는 여타의 모든 조건들이 동일하게 유지된다면, 디포커스(defocus)가 광학 묘화 시스템으로부터의 신호들의 대역폭을 바꾸지 않기 때문이며, 포커스 Z=Zm을 갖는 에어리얼 이미지는 {ψn; Z=Zm} 또는 {φi; Z=Z0}로 분해될 수 있다. {ψn; Z=Zm } 와 {φi; Z=Z0} 사이의 유일한 차이는 에어리얼 이미지를 나타낼 때의 그 계수들, 즉 특정 에러 톨러런스에 대한 에어리얼 이미지를 나타내는데 필요한 함수들의 최소 개수이다. 다시 말해, {ψn; Z=Zm} 및 {φi; Z=Z0}는 상기 광학 묘화 시스템과 단지 2가지만 상이한 에어리얼 이미지에 대한 표현(representations)이다. 이는 {ψn; Z=Zm} 와 {φi; Z=Z0} 양자 모두가 완벽한 세트의 베이시스 함수들이고 동일한 대역폭을 가지기 때문에 그렇다. 만일 에어리얼 이미지의 표현이 한 세트의 베이시스 함수들로 공지되어 있다면, 기타 세트들의 베이시스 함수들에서의 그 표현이 쉽게 얻어질 수 있다. 따라서, 단지 하나의 포커스 조건에서만 프로세스를 캘리브레이션할 필요가 있다.
프로세스에 이은 노광을 캐릭터라이징(characterize)하는 파라미터들이 포커스 Z=Z0에서 {βi} 및 {ηij}라고 가정하면,
여기서:
포커스 Z=Zm에서는;
i}이 완전하기 때문에, {φi}의 항으로 {ψn}을 표현할 수 있다:
수학식 19를 수학식 18에 대입하면:
여기서:
수학식 20에서의 두번째 항은 생략되는데, 그 이유는 필드 (φiⓧM) 및 (φiⓧM)* 가 위상 내에서 코렐레이션을 가지지 않고, 따라서 시간 평균화된 값이 0(null) 이기 때문이다.
에어리얼 이미지의 유효 자유도가 유한하기 때문에, 신호들의 소요 개수는 {φi} 표현에서 N이고, {ψn} 표현에서 M이라고 가정할 수 있으며, 디포커스 조건에서 에어리얼 이미지로부터 {φi}의 표현에서의 i번째 채널로부터의 총 신호는 다음과 같다:
이에 따라, 디포커스 Z=Zm에서의 SPIF는 다음과 같다:
만일 디포커스 및 노광 도즈 양자 모두가 고려된다면, SPIF는 다음과 같이 된다:
i} 및 {ηij}는 포커스 Z=Z0에서 상기 모델을 실험 데이터로 캘리브레이션하여 공지되고, 수학식 24에서의 여타의 모든 양들이 계산될 수 있기 때문에, SPIF가 얻어질 수 있게 된다. 그 결과, 캘리브레이션 조건 이외의 조건들에서의 바이너리 이미지들은, 캘리브레이션에 사용되는 것과 정확히 동일한 임계값을 이용하여 수학식 24에 표현된 SPIF를 컷팅함으로써 쉽게 얻어질 수 있다.
도 5는 도 1 내지 도 4에 예시된 고유 분해 모델들을 구현하기 위한 예시적인 처리 시스템을 도시한다. 도 5에 예시된 바와 같이, 예시적인 마스크 최적화 유닛은 입력(1003)으로부터 입력을 수용하는 프로세서(1000)를 포함할 수 있다. 이 프로세서(1000)는 종래의 마이크로프로세서일 수 있거나, 또는 EEPROM 또는 EPROM 또는 제작된 집적 회로와 같이 특별히 설계된 처리유닛일 수 있다. 입력(1003)은 키보드 또는 마우스와 같은 여하한의 종류의 전자 입력 장치일 수 있거나 또는 메모리 또는 인터넷 커넥션일 수 있다. 프로세서(1000)는 ROM(1002) 및 RAM(1001)으로부터 저장된 프로토콜, 예컨대 도 1 내지 도 4에 예시된 처리를 구현하는 프로토콜을 검색하는 것이 바람직하다. 프로세서(1000)의 계산된 결과치들은 디스플레이부(1004)상에 표시될 수 있고 마스크 제작 유닛에 제공될 수 있다.
도 6은 본 발명의 도움으로 디자인된 마스크에 사용하기 적절한 리소그래피 투영장치를 개략적으로 도시한다. 상기 장치는,
- 방사선의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL)(특히 이 경우 방사선시스템은 방사선소스(LA)도 포함한다);
- 마스크(M)(예를 들어, 레티클)를 지지하는 마스크 홀더가 제공되고, 아이템 PL에 대하여 마스크를 정확히 위치시키는 제1위치설정수단에 연결된 제1대물테이블(마스크테이블)(MT);
- 기판(W)(예를 들어, 레지스트코팅된 실리콘웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템 PL에 대하여 기판을 정확히 위치시키는 제2위치설정수단에 연결된 제2대물테이블(기판테이블)(WT); 및
- 기판(W)의 타겟부(C)(1 이상의 다이를 포함)상으로 마스크(MA)의 조사된 부분을 묘화시키는 투영시스템("렌즈")(PL)(예를 들어, 굴절형, 카톱트릭 또는 카타디옵트릭 광학시스템)을 포함한다.
도시된 바와 같이, 상기 장치는 (예를 들어, 투과마스크를 채택한) 투과형으로 구성된다. 하지만, 일반적으로 상기 장치는 (예를 들어, 반사마스크를 구비한) 반사형일 수도 있다. 대안적으로, 상기 장치는 마스크의 사용의 대안례로서 또 다른 종류의 패터닝수단을 채용할 수도 있다; 그 예로서는 프로그램가능한 거울 어레이 또는 LCD 매트릭스가 있다.
상기 소스(LA)(예를 들어, 수은 램프 또는 엑시머 레이저)는 방사선의 빔을 생성한다. 상기 빔은 곧바로 조명시스템(일루미네이터)(IL)에 들어 가거나, 예를 들어 빔 익스펜더와 같은 컨디셔닝수단을 거친 다음에 조명시스템으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기분포의 외측반경 및/또는 내측반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정가능수단(AM)을 포함하여 이루어진다. 또한, 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다른 다양한 구성요소들을 포함한다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(PB)은 그 단면에 소정의 균일성과 세기분포를 갖게 된다.
도 6과 관련하여, 상기 소스(LA)는 리소그패피 투영장치의 하우징내에 놓이지만(예를 들어, 방사선 소스(LA)가 흔히 수은램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔이 (가령, 적절한 지향거울에 의해) 장치내부로 들어오게 할 수도 있다. 후자의 시나리오는 흔히 방사선 소스(LA)가 (예를 들어, KrF, ArF 또는 F2 레이징에 기초한) 엑시머 레이저인 경우이다. 본 발명 및 청구항은 이들 시나리오를 모두 포함한다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 잡혀있는 마스크(MA)를 통과한다. 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)의 초점을 맞춘다. 제2위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 6에 명확히 도시되지는 않았지만, 긴 행정모듈(long stroke module)(개략위치설정) 및 짧은 행정모듈(미세위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 웨이퍼스테퍼의 경우에는 마스크테이블(MT)이 단지 짧은 행정모듈에만 연결될 수도 있고 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)에 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 시프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V=Mv로, 동일한 방향 또는 그 반대방향으로 동시에 이동하는 데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
본 명세서에 개시된 개념은 서브 파장 피처들을 묘화하는 여하한의 포괄적인 묘화 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 또한, 주로 보다 작은 크기의 파장을 생성할 수 있는 묘화 기술을 나타내는데 특히 유용할 수 있다. 신생 기술(emerging technology)은, 사용시에 ArF 레이저를 사용하여 193㎚의 파장, 심지어는 플루오르 레이저를 사용하여 157㎚ 파장을 생성할 수 있는 EUV(극자외선) 리소그래피를 포함한다. 더욱이, EUV 리소그래피는 싱크로트론을 이용하거나 고에너지 전자로 물질(고체 또는 플라즈마 중 어느 하나)을 때림으로써 20 내지 5㎚의 범위내에 있는 파장을 생성하여 이 범위내의 광자들을 생성할 수 있다. 대부분의 물질이 이 범위내에서 흡수되기 때문에, 조명은 몰리브덴 및 실리콘으로 된 다층-스택(multi-stack)을 갖는 반사형 거울들에 의해 생성될 수 있다. 이 다층-스택 거울은 몰리브덴과 실리콘의 쌍으로 된 40개의 층을 가지며, 각 층의 두께는 파장의 4분의 1이다. 이보다 더 작은 파장은 X-레이 리소그래피로 생성될 수 있다. 통상적으로, 싱크로트론은 X-레이 파장을 생성하는데 사용된다. 대부분의 물질이 x-레이 파장에서 흡수되기 대문에, 피처가 프린트되거나(포지티브 레지스트) 또는 프린트되지 않는(네거티브 레지스트) 물질을 흡수하는 얇은 부분을 한정한다(define).
본 명세서에서 개시된 개념은 실리콘 웨이퍼와 같은 기판상에 묘화하는데 사용될 수 있지만, 개시된 개념은 여하한의 종류의 리소그래피 묘화 시스템, 예를 들어 실리콘 웨이퍼 이외의 기판상에 묘화하는데 사용될 수 있는 시스템에 사용될 수 있음을 이해하여야 한다.
프로세서(1000)의 소프트웨어 기능성(functionality)은 실행가능한 코드를 포함하는 프로그래밍을 포함하는 것이 바람직하며, 이는 도 1 내지 도 4에 상술된 공정을 구현하는데 사용될 수 있다. 소프트웨어 코드는 범용 컴퓨터에 의해 실행될 수 있다. 작동시, 상기 코드 및 그와 관련된 데이터 기록은 범용 컴퓨터 플랫폼내에 저장될 것이다. 하지만, 그 이외의 시간에는, 상기 소프트웨어는 다른 로케이션에 저장되고 및/또는 적절한 범용 컴퓨터 시스템으로의 로딩을 위해 전달될 수 있다. 그러므로, 상술된 실시예들은 1 이상의 기계-판독가능한 매체에 의해 수행되는 코드의 1 이상의 모듈의 형식으로 1 이상의 소프트웨어 제품과 관련된다. 컴퓨터 시스템의 프로세서에 의한 이러한 코드의 실행은 기본적으로 본 명세서에서 서술되고 예시된 실시예들로 수행되는 방식으로 플랫폼이 카탈로그 및/또는 소프트웨어 다운로딩 기능을 구현할 수 있게 한다.
본 명세서에서 사용되는 바와 같이, 컴퓨터 또는 기계와 같은 "판독가능한 매체"라는 용어는, 실행을 위해 프로세서에 명령어를 제공하는데 관여한 여하한의 매체를 지칭한다. 이러한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하나 상기의 것들로 제한되지 않는 다양한 형식을 취할 수 있다. 비휘발성 매체는, 예를 들어 서술된, 서버 플랫폼의 하나로서 작동하는 여하한의 컴퓨터(들)내의 여하한의 저장 디바이스와 같이 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 이러한 컴퓨터 플랫폼의 메인 메모리와 같은 다이내믹 메모리를 포함한다. 물리적 전송 매체는 컴퓨터 시스템내에 버스를 포함하는 와이어들을 포함해 동축 케이블(coaxial cable); 구리선 및 섬유 광학기를 포함한다. 반송파 전송 매체는 무선 주파수(RF)와 적외선(IR) 데이터 커뮤니케이션 중에 생성된 파와 같이 전기 또는 전자기 신호, 또는 탄성파 또는 광 파의 형식을 취할 수 있다. 그러므로, 컴퓨터-판독가능한 매체의 일반적인 형식은, 예를 들어 플로피 디스크, 플렉서블 디스크, 하드 디스크, 자기 테이프, 여하한의 자기 매체, CD-ROM, DVD, 여하한의 광학 매체, 펀치 카드, 페이퍼 테이프, 홀의 패턴을 갖는 여하한의 물리적 매체와 같이 보편적으로 덜 사용되는 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 여하한의 메모리 칩 또는 카트리지, 데이터 또는 명령어를 전달하는 반송파, 이러한 반송파를 전달하는 케이블 또는 링크, 또는 컴퓨터가 프로그래밍 코드 및/또는 데이터를 판독할 수 있는 여하한의 매체를 포함한다. 컴퓨터 판독가능한 매체의 이들 형식 중 대다수는, 실행을 위해 프로세스에 1 이상의 명령어의 1 이상의 시퀀스를 전달하는 것과 관련될 수 있다.
또한, 본 발명의 앞선 실시예들의 변형예들도 가능하다는 점에 유의한다. 앞서 언급한 바와 같이, 상술된 실시예들은 고유 분해 모델과 연계하여 이용되고 있는 본 발명을 예시하지만, 기타 형태의 모델 시뮬레이터들로 이용될 수도 있다.
더욱이, 타겟 마스크의 피처들이 상기 모델의 동작 윈도우 내에 있는 지의 여부를 검증하는 단계는, OPC 처리가 마스크 패턴 상에서 수행되기 전 및/또는 수행된 후에 수행될 수 있다. 다시 말해, 본 발명의 프로세스는 OPC 피처들을 포함하도록 수정된 타겟 마스크가 동작 윈도우 내에 있는 지의 여부를 확인하는데 사용될 수 있다.
또한, 앞선 상세한 설명은 프로세스가 마스크 패턴 내에 포함된 모든 피처들 상에서 수행된다는 것을 나타내지만, 상기 피처들이 상기 모델의 동작 윈도우 내에 있는 지를 판정하기 위하여 점검되어야 하는 피처들 또는 피처들의 카테고리들을 선택적으로 식별하는 것도 가능하다. 예를 들어, 상기 프로세스는 마스크 디자이너에 의해 임계적인 것으로 간주된 마스크 패턴 내의 피처들에만 국한될 수도 있다.
지금까지 본 발명이 기술되고 상세하게 예시되었지만, 이는 단지 예시 및 실예의 방법으로서, 이것에 국한되는 것은 아니며, 본 발명의 범위는 첨부된 청구범위의 청구항에 의해서만 제한된다는 것은 자명하다.
본 발명에 따르면, 주어진 프로세스에 의해 묘화된 마스크 패턴으로부터 발생하는 이미지를 시뮬레이션하기 위한 모델을 생성하는 방법 및 상기 마스크 패턴의 피처들/구조체들이 상기 모델에 의해 정확하게 처리될 수 있는 지의 여부를 검증하는 방법을 제공할 수 있다.
본 명세서의 일부분에 통합되고 일부분을 형성하는 첨부 도면들은 상세한 설명과 함께 본 발명의 원리들을 설명하는 역할을 한다.
도 1은 본 발명의 예시적인 방법을 도시하는 플로우차트를 예시한 도면;
도 2는 마스크 패턴들이 캘리브레이션된 모델의 동작 윈도우 내에 있는 지의 여부를 확인하는 예시적인 프로세스를 도시한 플로우차트를 예시한 도면;
도 3은 예시적인 고유 분해 모델(예컨대, 1차 고유 분해 모델)을 예시한 도면;
도 4는 캘리브레이션된 고유 분해 모델을 생성하기 위한 예시적인 프로세스를 예시한 도면;
도 5는 본 발명을 구현하기 위한 예시적인 처리 시스템을 예시한 도면; 및
도 6은 본 발명의 도움을 받아 디자인된 마스크를 사용하는데 적합한 리소그래피 투영장치를 개략적으로 도시한 도면이다.

Claims (15)

  1. 포토리소그래피 프로세스를 모델링하는 방법에 있어서,
    상기 포토리소그래피 프로세스의 캘리브레이션된 모델을 생성하는 단계를 포함하되, 상기 캘리브레이션된 모델은, 복수의 피처들을 포함하는 마스크 패턴을 묘화하는데 이용되는 경우, 상기 포토리소그래피 프로세스에 의해 생성될 이미지를 추정할 수 있으며,
    상기 캘리브레이션된 모델의 동작 윈도우를 결정하는 단계를 포함하되, 상기 동작 윈도우는, 상기 캘리브레이션된 모델이 상기 마스크 패턴 내의 주어진 피처에 의해 생성될 이미지를 정확하게 추정할 수 있는 지를 정의하는 것을 특징으로 하는 방법.
  2. 제 1항에 있어서,
    상기 캘리브레이션된 모델을 생성하는 단계는,
    상기 포토리소그래피 프로세스에서 이용될 묘화 시스템 및 처리 조건들을 정의하는 단계;
    상기 포토리소그래피 프로세스의 상기 시스템 및 처리 조건들의 초기 모델을 생성하는 단계;
    복수의 테스트 구조체들을 정의하는 단계;
    실제 묘화 결과들을 얻기 위하여 상기 포토리소그래피 프로세스의 상기 묘화 시스템 및 처리 조건들을 이용하여 상기 테스트 구조체들을 묘화하는 단계;
    상기 테스트 구조체들을 상기 모델에 적용하여 시뮬레이션된 묘화 결과들을 생성하는 단계;
    상기 시뮬레이션된 묘화 결과들을 상기 실제 묘화 결과들에 비교하는 단계; 및
    상기 시뮬레이션된 묘화 결과들과 상기 실제 묘화 결과들간의 차이가 사전정의된 기준보다 작도록 상기 초기 모델을 조정하는 단계를 포함하여 이루어지고,
    상기 조정된 초기 모델은 상기 캘리브레이션된 모델에 상응하는 것을 특징으로 하는 방법.
  3. 제 1항에 있어서,
    상기 마스크 패턴의 상기 복수의 피처들 각각을 상기 캘리브레이션된 모델의 상기 동작 윈도우에 비교하는 단계; 및
    상기 캘리브레이션된 모델의 동작 윈도우 내에 있지 않은 상기 마스크 패턴의 상기 복수의 피처들 각각을 식별하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  4. 제 2항에 있어서,
    상기 초기 모델 및 상기 캘리브레이션된 모델은 상기 포토리소그래피 프로세스를 표현하기 위하여 고유 함수들을 이용하는 것을 특징으로 하는 방법.
  5. 제 2항에 있어서,
    상기 시뮬레이션된 묘화 결과들을 상기 실제 묘화 결과들에 비교하는 상기 단계는, 비교 프로세스에서 2차원 외형 패턴들을 이용하는 것을 특징으로 하는 방법.
  6. 1 이상의 기계 판독가능한 매체에 의해 전송가능한 실행가능한 코드를 포함하는 컴퓨터 프로그램을 기록한 기록매체에 있어서,
    1 이상의 프로그램가능한 컴퓨터에 의한 코드의 실행은, 1 이상의 프로그램가능한 컴퓨터가 포토리소그래피 프로세스를 모델링하기 위한 단계들의 시퀀스를 수행하도록 하며,
    상기 단계들의 시퀀스는,
    상기 포토리소그래피 프로세스의 캘리브레이션된 모델을 생성하는 단계를 포함하되, 상기 캘리브레이션된 모델은, 복수의 피처들을 포함하는 마스크 패턴을 묘화하는데 이용되는 경우, 상기 포토리소그래피 프로세스에 의해 생성될 이미지를 추정할 수 있으며,
    상기 캘리브레이션된 모델의 동작 윈도우를 결정하는 단계를 포함하되, 상기 동작 윈도우는, 상기 캘리브레이션된 모델이 상기 마스크 패턴 내의 주어진 피처에 의해 생성될 이미지를 정확하게 추정할 수 있는 지를 정의하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 기록매체.
  7. 제 6항에 있어서,
    상기 캘리브레이션된 모델을 생성하는 단계는,
    상기 포토리소그래피 프로세스에서 이용될 묘화 시스템 및 처리 조건들을 정의하는 단계;
    상기 포토리소그래피 프로세스의 상기 시스템 및 처리 조건들의 초기 모델을 생성하는 단계;
    복수의 테스트 구조체들을 정의하는 단계;
    실제 묘화 결과들을 얻기 위하여 상기 포토리소그래피 프로세스의 상기 묘화 시스템 및 처리 조건들을 이용하여 상기 테스트 구조체들을 묘화하는 단계;
    상기 테스트 구조체들을 상기 모델에 적용하여 시뮬레이션된 묘화 결과들을 생성하는 단계;
    상기 시뮬레이션된 묘화 결과들을 상기 실제 묘화 결과들에 비교하는 단계; 및
    상기 시뮬레이션된 묘화 결과들과 상기 실제 묘화 결과들간의 차이가 사전정의된 기준보다 작도록 상기 초기 모델을 조정하는 단계를 포함하여 이루어지고,
    상기 조정된 초기 모델은 상기 캘리브레이션된 모델에 상응하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 기록매체.
  8. 제 6항에 있어서,
    상기 마스크 패턴의 상기 복수의 피처들 각각을 상기 캘리브레이션된 모델의 상기 동작 윈도우에 비교하는 단계; 및
    상기 캘리브레이션된 모델의 동작 윈도우 내에 있지 않은 상기 마스크 패턴의 상기 복수의 피처들 각각을 식별하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 기록매체.
  9. 제 7항에 있어서,
    상기 초기 모델 및 상기 캘리브레이션된 모델은 상기 포토리소그래피 프로세스를 표현하기 위하여 고유 함수들을 이용하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 기록매체.
  10. 제 7항에 있어서,
    상기 시뮬레이션된 묘화 결과들을 상기 실제 묘화 결과들에 비교하는 상기 단계는, 비교 프로세스에서 2차원 외형 패턴들을 이용하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 기록매체.
  11. 포토리소그래피 프로세스를 모델링하는 장치에 있어서,
    상기 포토리소그래피 프로세스의 캘리브레이션된 모델을 생성하는 수단을 포함하되, 상기 캘리브레이션된 모델은, 복수의 피처들을 포함하는 마스크 패턴을 묘화하는데 이용되는 경우, 상기 포토리소그래피 프로세스에 의해 생성될 이미지를 추정할 수 있으며,
    상기 캘리브레이션된 모델의 동작 윈도우를 결정하는 수단을 포함하되, 상기 동작 윈도우는, 상기 캘리브레이션된 모델이 상기 마스크 패턴 내의 주어진 피처에 의해 생성될 이미지를 정확하게 추정할 수 있는 지를 정의하는 것을 특징으로 하는 장치.
  12. 제 11항에 있어서,
    상기 캘리브레이션된 모델을 생성하는 수단은,
    상기 포토리소그래피 프로세스에서 이용될 묘화 시스템 및 처리 조건들을 정의하는 수단;
    상기 포토리소그래피 프로세스의 상기 시스템 및 처리 조건들의 초기 모델을 생성하는 수단;
    복수의 테스트 구조체들을 정의하는 수단;
    실제 묘화 결과들을 얻기 위하여 상기 포토리소그래피 프로세스의 상기 묘화 시스템 및 처리 조건들을 이용하여 상기 테스트 구조체들을 묘화하는 수단;
    상기 테스트 구조체들을 상기 모델에 적용하여 시뮬레이션된 묘화 결과들을 생성하는 수단;
    상기 시뮬레이션된 묘화 결과들을 상기 실제 묘화 결과들에 비교하는 수단; 및
    상기 시뮬레이션된 묘화 결과들과 상기 실제 묘화 결과들간의 차이가 사전정의된 기준보다 작도록 상기 초기 모델을 조정하는 수단을 포함하여 이루어지고,
    상기 조정된 초기 모델은 상기 캘리브레이션된 모델에 상응하는 것을 특징으로 하는 장치.
  13. 제 11항에 있어서,
    상기 마스크 패턴의 상기 복수의 피처들 각각을 상기 캘리브레이션된 모델의 상기 동작 윈도우에 비교하는 수단; 및
    상기 캘리브레이션된 모델의 동작 윈도우 내에 있지 않은 상기 마스크 패턴의 상기 복수의 피처들 각각을 식별하는 수단을 더 포함하는 것을 특징으로 하는 장치.
  14. 제 12항에 있어서,
    상기 초기 모델 및 상기 캘리브레이션된 모델은 상기 포토리소그래피 프로세스를 표현하기 위하여 고유 함수들을 이용하는 것을 특징으로 하는 장치.
  15. 제 12항에 있어서,
    상기 시뮬레이션된 묘화 결과들을 상기 실제 묘화 결과들에 비교하는 상기 수단은, 비교 프로세스에서 2차원 외형 패턴들을 이용하는 것을 특징으로 하는 장치.
KR1020050007870A 2004-01-30 2005-01-28 캘리브레이션된 고유 분해 모델을 이용한 리소그래피프로세스용 제조 신뢰성 점검 및 검증 방법 KR100725621B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US53999104P 2004-01-30 2004-01-30
US60/539,991 2004-01-30

Publications (2)

Publication Number Publication Date
KR20050078225A true KR20050078225A (ko) 2005-08-04
KR100725621B1 KR100725621B1 (ko) 2007-06-07

Family

ID=34652507

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050007870A KR100725621B1 (ko) 2004-01-30 2005-01-28 캘리브레이션된 고유 분해 모델을 이용한 리소그래피프로세스용 제조 신뢰성 점검 및 검증 방법

Country Status (7)

Country Link
US (1) US7342646B2 (ko)
EP (1) EP1560072A3 (ko)
JP (1) JP4938242B2 (ko)
KR (1) KR100725621B1 (ko)
CN (1) CN100468204C (ko)
SG (1) SG113598A1 (ko)
TW (1) TWI327684B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100826655B1 (ko) * 2007-05-21 2008-05-06 주식회사 하이닉스반도체 광 근접 효과 보정 방법
KR100919000B1 (ko) * 2006-07-18 2009-09-25 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀및 디바이스 제조방법
KR101043016B1 (ko) * 2007-12-05 2011-06-21 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732108B2 (en) * 2005-09-30 2010-06-08 Infineon Technologies Ag Method for OPC model generation
US7176675B1 (en) * 2005-11-29 2007-02-13 International Business Machines Corporation Proximity sensitive defect monitor
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7954072B2 (en) * 2006-05-15 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US7743357B2 (en) * 2006-05-31 2010-06-22 Synopsys, Inc. Method and apparatus for determining a process model that models the impact of CAR/PEB on the resist profile
US7624369B2 (en) * 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
JP4707701B2 (ja) * 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US8611637B2 (en) 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US7631289B1 (en) * 2007-06-28 2009-12-08 Cadence Design Systems, Inc. Method and system for implementing optimized lithography models for accuracy and resolution
JP5395340B2 (ja) * 2007-08-06 2014-01-22 株式会社東芝 プロセスモデル作成方法、プロセスモデル作成プログラム及びパターン補正方法
US7805699B2 (en) * 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
US8059884B2 (en) * 2007-11-08 2011-11-15 International Business Machines Corporation Method and system for obtaining bounds on process parameters for OPC-verification
US8358828B2 (en) * 2007-12-28 2013-01-22 Cadence Design Systems, Inc. Interpolation of irregular data in a finite-dimensional metric space in lithographic simulation
JP2009302206A (ja) * 2008-06-11 2009-12-24 Canon Inc 露光パラメータの決定方法、露光パラメータを決定するためのプログラム、露光方法及びデバイス製造方法
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
CN102279516B (zh) * 2010-06-10 2012-11-07 上海华虹Nec电子有限公司 校准标准片的制备方法及用该标准片进行校准的方法
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US9619878B2 (en) * 2013-04-16 2017-04-11 Kla-Tencor Corporation Inspecting high-resolution photolithography masks
WO2015134655A2 (en) * 2014-03-05 2015-09-11 Adeptdc Co. Systems and methods for intelligent controls for optimal resource allocation for data center operations
CN107924849B (zh) * 2015-08-06 2022-10-11 科磊股份有限公司 利用基于目标的空中图像的变换的焦点计量和目标
US10394116B2 (en) * 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
CN108153115A (zh) * 2017-12-19 2018-06-12 上海集成电路研发中心有限公司 极紫外光刻掩模、其制作方法及生成掩模图案的方法
CN107942614B (zh) * 2017-12-22 2020-12-25 上海集成电路研发中心有限公司 孔层辅助图案生成方法及校正函数生成方法
US10990003B2 (en) * 2018-02-18 2021-04-27 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
US10621295B2 (en) 2018-04-10 2020-04-14 International Business Machines Corporation Incorporation of process variation contours in design rule and risk estimation aspects of design for manufacturability to increase fabrication yield
CN115202163B (zh) * 2022-09-15 2022-12-30 全芯智造技术有限公司 选择光阻模型的方法、设备和计算机可读存储介质

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0236738A3 (en) * 1986-02-05 1988-12-21 OMRON Corporation Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus
JPH03174716A (ja) * 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) * 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) * 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
JP3426647B2 (ja) * 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) * 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
JP3409493B2 (ja) * 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) * 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
WO1997033205A1 (en) * 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6081658A (en) 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6178360B1 (en) * 1998-02-05 2001-01-23 Micron Technology, Inc. Methods and apparatus for determining optimum exposure threshold for a given photolithographic model
US6289449B1 (en) * 1998-12-14 2001-09-11 International Business Machines Corporation Creating boot code image on a storage medium
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TWI285299B (en) * 2001-04-04 2007-08-11 Asml Netherlands Bv Lithographic manufacturing process, lithographic projection apparatus, and device manufactured thereby
DE10137099A1 (de) 2001-07-30 2003-02-27 Infineon Technologies Ag Transparenzverbesserung von Resist-Copolymeren durch Fluorierung der fotochemisch spaltbaren Abgangsgruppen für die 157 nm-Fotolithografie
JP3706364B2 (ja) * 2001-10-09 2005-10-12 アスムル マスクツールズ ビー.ブイ. 2次元フィーチャ・モデルの較正および最適化方法
JP3615182B2 (ja) * 2001-11-26 2005-01-26 株式会社東芝 光近接効果補正方法及び光近接効果補正システム
SG120106A1 (en) * 2002-07-26 2006-03-28 Asml Masktools Bv Automatic optical proximity correction (OPC) rule generation

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100919000B1 (ko) * 2006-07-18 2009-09-25 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀및 디바이스 제조방법
KR100826655B1 (ko) * 2007-05-21 2008-05-06 주식회사 하이닉스반도체 광 근접 효과 보정 방법
US7827520B2 (en) 2007-05-21 2010-11-02 Hynix Semiconductor Inc. Method for correcting optical proximity effect
KR101043016B1 (ko) * 2007-12-05 2011-06-21 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들

Also Published As

Publication number Publication date
JP2005217431A (ja) 2005-08-11
KR100725621B1 (ko) 2007-06-07
US7342646B2 (en) 2008-03-11
TW200537260A (en) 2005-11-16
US20050210437A1 (en) 2005-09-22
EP1560072A2 (en) 2005-08-03
JP4938242B2 (ja) 2012-05-23
EP1560072A3 (en) 2008-05-14
TWI327684B (en) 2010-07-21
CN1658076A (zh) 2005-08-24
CN100468204C (zh) 2009-03-11
SG113598A1 (en) 2005-08-29

Similar Documents

Publication Publication Date Title
KR100725621B1 (ko) 캘리브레이션된 고유 분해 모델을 이용한 리소그래피프로세스용 제조 신뢰성 점검 및 검증 방법
KR100824031B1 (ko) 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
JP6735794B2 (ja) モデルベースのプロセスシミュレーション方法
JP4524174B2 (ja) 固有分解に基づくopcモデル
KR100961686B1 (ko) 모델-기반 스캐너 튜닝을 수행하는 방법
JP5016585B2 (ja) リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
KR101527496B1 (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
US9053280B2 (en) Rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions
KR20040065185A (ko) 콘택홀 마스크를 위한 광근접성보정설계 방법
KR20060087446A (ko) 임계 치수 계산에 사용되는 레지스트 모델들의캘리브레이션을 개선하기 위한 방법, 프로그램물 및 장치
TWI778305B (zh) 基於可製造性判定圖案化器件圖案之方法
KR102585137B1 (ko) 특성 패턴을 생성하고 기계 학습 모델을 트레이닝하는 방법들
US11435671B2 (en) SEM FOV fingerprint in stochastic EPE and placement measurements in large FOV SEM devices
KR20130018626A (ko) 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델
US7818151B2 (en) Method, program product and apparatus for obtaining short-range flare model parameters for lithography simulation tool
KR20190117709A (ko) 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
KR20210056413A (ko) 고 개구수 스루-슬릿 소스 마스크 최적화 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130521

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140523

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150526

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160520

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170519

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180523

Year of fee payment: 12