JP4938242B2 - 較正固有分解モデルを使用した製造信頼性検査及びリソグラフィ・プロセス検証方法 - Google Patents

較正固有分解モデルを使用した製造信頼性検査及びリソグラフィ・プロセス検証方法 Download PDF

Info

Publication number
JP4938242B2
JP4938242B2 JP2005054574A JP2005054574A JP4938242B2 JP 4938242 B2 JP4938242 B2 JP 4938242B2 JP 2005054574 A JP2005054574 A JP 2005054574A JP 2005054574 A JP2005054574 A JP 2005054574A JP 4938242 B2 JP4938242 B2 JP 4938242B2
Authority
JP
Japan
Prior art keywords
model
imaging
photolithography process
calibration model
calibration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005054574A
Other languages
English (en)
Other versions
JP2005217431A (ja
Inventor
シー シュエロン
フン チェン シャン
Original Assignee
エーエスエムエル マスクツールズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル マスクツールズ ビー.ブイ. filed Critical エーエスエムエル マスクツールズ ビー.ブイ.
Publication of JP2005217431A publication Critical patent/JP2005217431A/ja
Application granted granted Critical
Publication of JP4938242B2 publication Critical patent/JP4938242B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本出願は、2004年1月30日出願の「較正固有分解モデル(Calibrated Eigen Decomposition Model)を使用した製造信頼性検査及びリソグラフィ・プロセス検証方法」の名称の米国特許仮出願第60/539,991号の利益を主張するものであり、参照によりその全体は本明細書に援用される。
本発明の分野は、一般に、所与のプロセスによって結像される(imaged)ターゲット・マスク・パターンによって得られる空中像(aerial image)をシミュレートするためのモデルの利用方法、装置及びプログラムに関し、より詳細には、ターゲット・パターンのフィーチャ/構造(features/structure)をそのモデルによって正確に処理することができるかどうか(つまり、ターゲット・パターンのフィーチャ/構造がそのモデルの動作範囲(つまり動作窓(operational window))内に存在しているかどうか)を検証するための方法、装置及びプログラム製品に関する。
リソグラフィック装置は、たとえば集積回路(IC)の製造に使用することができる。このような場合、ICの個々の層に対応する回路パターンがフォトリソグラフィック・マスクに含まれており、このパターンが、放射線感応材料(レジスト)の層で被覆された基板(シリコン・ウェハ)上のターゲット部分(たとえば1つ以上のダイ(dies)を備える)に結像される。通常、1枚のウェハには、一つずつ、投影システムを介して順次照射されるターゲット部分に隣接する全回路網が含まれている。あるタイプのリソグラフィック投影装置では、全マスク・パターンを1回でターゲット部分に露光することによってターゲット部分の各々が照射される。このような装置は、一般にウェハ・ステッパ(wafer stepper)と呼ばれている。一般にステップ・アンド・スキャン装置(step−and−scan apparatus)と呼ばれている代替装置では、マスク・パターンを投影ビームで所与の基準方向(「走査」方向)に漸時走査し、且つ、基板テーブルをこの方向に平行に或いは非平行に同期走査することによって、ターゲット部分の各々が照射される。通常、投影システムは、倍率係数M(通常<1)を有しているため、基板テーブルを走査する速度Vは、マスク・テーブルを走査する速度を係数M倍した速度になる。本明細書において説明したリソグラフィック・デバイスに関する詳細な情報については、たとえば、参照によりその全体が本明細書に援用される米国特許第6,046,792号(U.S. Pat. No.6,046,792)を参照されたい。
リソグラフィック投影装置を使用した製造プロセスでは、少なくとも一部が放射線感応材料(radiation−sensitive material)(レジスト)の層で被覆された基板上にマスク・パターンが結像される。この結像ステップに先立って、基板は、下塗り(priming)、レジスト・コーティング及びソフト・ベーク(soft bake)などの様々な処理を受ける。露光した後、露光後ベーク(post−exposure bake:PEB)、現像、ハード・ベーク(hard bake)及び結像されたフィーチャの測定/検査などの他の処理が基板に加えられる。この一連の処理手順は、デバイス、たとえばICの個々の層にパターンを形成するための基本として使用される。この一連の処理手順に続いてこのようなパターンを形成された層に、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械研磨(chemo−mechanical polishing)などの様々な処理が施される。これらはすべて個々の層の仕上げを意図したものである。複数の層を必要とする場合、すべての処理手順又はそれらの変形手順を新しい層の各々に対して繰り返さなければならないが、最終的にはデバイスのアレイが基板(ウェハ)上に出現する。これらのデバイスは、次に、ダイシング或いはソーイングなどの技法を使用して互いに分離され、分離された個々のデバイスがキャリアに実装され、ピン等に接続される。このようなプロセスに関する詳細な情報については、たとえば、参照により本明細書に援用される著書「マイクロチップ製造:半導体処理への実践ガイド(Microchip Fabrication:A Practical Guide to Semiconductor Processing)」(Peter van Zant著、第3版、McGraw Hill Publishing Co.、1997年、ISBN 0−07−067250−4)を参照されたい。
分かり易くするために、以下、投影システムを「レンズ」と呼ぶが、この用語には、たとえば、屈折光学系、反射光学系及びカタディオプトリック系を含む様々なタイプの投影システムが包含されているものとして広義に解釈されたい。また、放射システム(radiation system)には、投影放射ビーム(projection beam of radiation)を導き、成形し、或いは制御するための任意の設計タイプに従って動作するコンポーネントが含まれており、以下、このようなコンポーネントについても、集合的若しくは個々に「レンズ」と呼ぶ。また、リソグラフィック装置は、二つ以上の基板テーブル(及び/又は二つ以上のマスク・テーブル)を有するタイプの装置であっても良い。このような「多重ステージ」デバイス(“multiple stage” device)の場合、追加テーブルを並列に使用することができ、或いは1つ以上の他のテーブルを露光のために使用している間、1つ以上のテーブルに対して予備ステップを実行することができる。たとえば、参照により本明細書に援用される米国特許第5,969,441号(U.S. Pat. No. 6,046,792)に、二重ステージ・リソグラフィック装置が記載されている。
上で参照したフォトリソグラフィック・マスクは、シリコン・ウェハ上に集積される回路コンポーネントに対応する幾何学的パターン(geometric patterns)を備えている。このようなマスクの生成に使用されるパターンは、CAD(計算機援用設計)プログラムを利用して作成され、このプロセスは、しばしばEDA(電子設計オートメーション)と呼ばれている。ほとんどのCADプログラムは、機能マスク(functional masks)を生成するべく、1組の所定の設計ルールに従っている。これらのルールは、処理限界及び設計限界(processing and design limitations)によって設定されている。たとえば、設計ルールは、回路デバイス(ゲート、コンデンサなど)間若しくは相互接続ライン間の空間許容度(space tolerance)を画定し、それにより回路デバイス若しくはラインが互いに望ましくない方法で相互作用しないことを保証している。設計ルール限界は、一般に「臨界寸法(critical dimensions)」(CD)と呼ばれている。回路の臨界寸法は、最も幅の狭いライン若しくは孔、或いは2本のラインとラインの間、若しくは2つの孔と孔の間の最も狭い間隔として画定される。したがってCDは、設計される回路の総合サイズ及び密度を決定している。
当然のことではあるが、集積回路製造における目標の1つは、原型の回路設計を(マスクを介して)ウェハ上に忠実に再現することである。知られているように、光近似補正(optical proximity correction:OPC)フィーチャをマスク設計に組み込むことにより、得られる画像が向上し、それによりターゲット・パターンをより正確に再現することができる。また、所望のプロセスのモデルを利用して所与のターゲット・パターンの空中像をシミュレートすることも知られている。このようなモデルにより、オペレータは、マスキング・フィーチャ及びOPCフィーチャの調整効果を、得られる像上で、実際にウェハを結像することなく精査することができるため、設計プロセスのコスト及び時間の両方が著しく節約される。2004年11月5日出願の米国特許仮出願第10/981,750号に、このようなモデル化方法の1つが記載され、参照によりその全体が本明細書に援用される。
このようなモデルが存在し、また、このような較正プロセス・モデルから予測されるフォトリソグラフィ性能は極めて満足すべきものであることが証明されているが、(1)ターゲット・パターン上のフィーチャが、モデルの較正に利用されるテスト構造(test structur)の範囲内に存在していないか、或いは(2)露光ツールがモデル較正時の状態と同じ状態を維持していない場合、モデルの性能が劣化する。知られているように、露光ツールは静的なデバイスではなく、また、外部環境及び内部コンポーネントの両方による変動のため、露光ツールの性能は常に変化する。以上の観点から、結像すべきターゲット・マスク上のフィーチャがモデルの「動作窓」内に存在しているか否かをオペレータに知らせ、且つ、露光ツールの状態が較正状態とは異なる状態におけるフォトリソグラフィ性能を較正モデルから予測することができる方法の開発は、極めて興味深く、且つ、重要である(露光ツール状態のその較正状態からの逸脱は、露光量の逸脱若しくは集束状態の逸脱のいずれかであることに留意されたい)。
したがって本発明は、所与のプロセスによって結像されるマスク・パターン(ターゲット・パターンとも呼ばれる)によって得られる像をシミュレートするためのモデルを生成する方法、及びマスク・パターンのフィーチャ/構造をそのモデルによって正確に処理することができるかどうか(つまり、マスク・パターンのフィーチャ/構造がそのモデルの動作範囲(動作窓とも呼ばれる)内に存在しているかどうか)を検証するための方法に関している。
より詳細には、本発明は、複数のフィーチャを備えたマスク・パターンの結像に利用されると、フォトリソグラフィ・プロセスによって生成される像を予測することができるフォトリソグラフィ・プロセスの較正モデルを生成するステップと、マスク・パターン内の所与のフィーチャによって生成される像を較正モデルが正確に予測することができるか否かを画定する較正モデルの動作窓を決定するステップとを含む、フォトリソグラフィ・プロセスをモデル化するための方法に関している。
重要なことは、本発明を使用して、トップハット・イルミネータ、注文設計イルミネータ或いは実験若しくはモデル化イルミネータ・プロファイルなど、ほとんどすべてのタイプの照明(illumination)をモデル化することができる。本発明に利用される固有関数は、スカラ瞳関数(scalar pupil function)からのものであっても、或いは高NA瞳関数を表すベクトルからのものであっても良い。本発明のプロセスは、注文設計イルミネータであれ、或いは実験によって測定された実イルミネータであれ、汎用イルミネータの固有関数を生成することができるアルゴリズムの形態を取ることができる。また、本発明は、高NAモデルと共に利用することができる。また、開発された固有分解モデルは、クロムレス位相リソグラフィ(Chromeless Phase Lithography:CPL)及びダイポール二重リソグラフィ(Dipole Double Lithography:DDL)などの新しい低k1フォトリソグラフィ技術と共に動作させることができる。
本発明により、従来技術によるモデル化方法に勝る重要な利点が提供される。最も重要なことには、本発明による方法により、オペレータは、利用しているモデルが像を生成するマスク・パターンに対し的確であること(つまり、そのモデルの動作窓内に存在していること)を検証することができる。マスク・パターンのフィーチャ/構造の一部がモデルの動作窓から外れている場合、通知すなわちフラグが立てられ、動作窓から外れているフィーチャ/構造は許容設計範囲内で印刷していることを確認するようオペレータに知らせる。モデルはこのような場合には外挿されて予測像(estimated image)を得るようにされているため、得られる予測像が正確である保証はない。
また、本発明により、さらに、露光ツールの状態がモデル較正時の状態とは異なる状態におけるフォトリソグラフィ性能を較正モデルから予測するための方法が提供される。
本発明のその他の利点については、当分野の技術者には、本発明の例示的実施例についての以下の詳細な説明から明らかになるものと思われる。
本明細書においては、本発明のとりわけICの製造における使用が参照されているが、本発明は、他の多くの適用可能性を有していることを明確に理解されたい。たとえば、本発明は、集積光学系、磁気領域メモリのための誘導及び検出パターン、液晶ディスプレイ・パネル、薄膜磁気ヘッド等の製造に使用することができる。このような代替の適用状況においては、本明細書における「レチクル」、「ウェハ」或いは「ダイ」という用語の使用はすべて、それぞれより一般的な「マスク」、「基板」及び「ターゲット部分」という用語に置換されているものと見なすべきであることは、当分野の技術者には理解されよう。
本明細書においては、「放射」及び「ビーム」という用語は、紫外線放射(たとえば、波長が365nm、248nm、193nm、157nm若しくは126nmの放射)及びEUV(極紫外線放射、たとえば波長の範囲が5〜20nmの放射)を含むあらゆるタイプの電磁放射線を包含するべく使用されている。
本明細書に使用されているマスクという用語は、入射する放射ビームの断面に、基板のターゲット部分に生成すべきパターンに対応するパターンを付与するべく使用することができる汎用パターン化手段を意味するものとして広義に解釈されたい。また、この状況においては、「光バルブ(light valve)」という用語を使用することも可能である。従来のマスク(透過型若しくは反射型、バイナリ、移相、ハイブリッド等)以外のこのようなパターン化手段の実施例には、以下のものがある。
プログラム可能ミラー・アレイ:
粘弾性制御層(viscoelastic control layer)及び反射表面を有するマトリックス処理可能表面(matrix−addressable surface)は、このようなデバイスの実施例の1つである。このような装置の基礎をなしている基本原理は、(たとえば)反射表面の処理領域(addressed areas)が入射光を回折光として反射し、一方、未処理領域(unaddressed areas)が入射光を非回折光として反射することである。適切なフィルタを使用することにより、前記非回折光を反射ビームからフィルタ除去し、回折光のみを残すことができるため、この方法により、マトリックス処理可能表面の処理パターンに従ってビームがパターン化される。必要なマトリックス処理は、適切な電子手段を使用して実行される。このようなミラー・アレイに関する詳細な情報については、たとえば、いずれも参照により本明細書に援用される米国特許第5,296,891号及び第5,523,193号(US 5,296,891.and US 5,523,193)を参照されたい。
プログラム可能LCDアレイ:
参照により本明細書に援用される米国特許第5,229,872号(USP No. 5,229,872)に、このような構造の実施例の1つが記載されている。
本発明については、他の目的及び利点と共に、以下の詳細な説明及び添付の略図を参照することにより、より深く理解されよう。
本明細書に組み込まれ、且つ、本明細書の一部を形成している添付の図面は、以下の説明と共に本発明の原理を示している。
較正モデルが所与のマスク・パターンとの使用に適していることを検証するための方法及び装置を開示する。以下で詳細に説明する例示的方法には、結像プロセス(imaging process)の性能をモデル化するべく、固有関数分解モデル(固有分解モデルすなわちEDMと称す)が利用されていることに留意されたい。しかしながら、他のタイプのモデルと共に本発明による方法を利用することも可能である。
本発明による方法を考察する前に、固有分解モデルの生成について簡単に考察しておく。固有分解モデルの生成についてのより詳細な説明については、上で言及した2004年11月5日出願の米国特許出願第10/981,750号(USP Application Ser.No. 10/981,750)を参照されたい。
上で言及したように、本発明による方法を示す以下の実施例には、定閾値固有分解モデル(constant threshold eigen decomposition model)が利用されている。定閾値固有分解モデルは、いくつかの基本定理の上にたっている。第1の基本定理は、帯域制限実数値関数(band limited real valued function)を一定の閾値で切断することによって任意の二次元バイナリ像(2D binary image)が得られることである。第2の基本定理は、視像化システム(optical imaging system)からの空中像が帯域制限関数であり、したがって空中像の有効自由度が有限であることである。第3の基本定理は、空中像を表す最適基底関数(optimal basis functions)が、視像化システムの設定によって作用素(operator)が決定される積分方程式を利用して解くことができる固有関数であることである。
半導体の製造に典型的に使用される部分干渉性照明の条件下では、視像化システム自体の特性が非線形である。所与の任意のマスク・パターンからの空中像すなわち像平面における光強度分布は、良く知られている光学上の公式を使用して容易に、且つ、比較的正確に計算することができ、たとえば、参照により本明細書に援用される、H.H.Hopkins、Proc.Roy.Soc.、A、217(1953)、408を参照されたい。光強度に対する化学増幅レジストの応答及び後続する溶媒中でのレジストの現像は、すべて極めて非線形である。ウェハ上の最終現像パターンは、ウェハ基板の上にレジストを使用して、或いはレジストを使用することなくバイナリとして観察することができる。モデルの主な機能は、ウェハ上のバイナリ像をマスク・パターン或いは空中像から正確に予測することができる数学公式を提供することである。数学的に記述すると、
T(x,y)=F(M(x,y)) (1)
であり、T(x,y)は、ウェハ上の被生成バイナリ像であり、M(x,y)は、マスク上の入力パターンである(OPCフィーチャが含まれていても良い)。Fは、あらゆるモデルの中カーネルである未知の関数形態を表している。最も単純な定閾値モデルでは、一定の閾値を使用してM(x,y)の空中像を切断することによってバイナリ像が得られる。空中像を一定の閾値で切断することによって得られるバイナリ像は、レジスト・コントラストの有限性のため、通常、実験結果と完全には一致しないことに留意されたい。しかしながら、一定の閾値を使用してバイナリ像が得られる単純性は極めて魅力的である。0か1のいずれかの値の任意のバイナリ関数T(x,y)を記述する数学定理が存在しており、帯域制限連続関数F(x,y)を任意の特定の精度に閾値化することによって得ることができる。要求精度が高いほど、関数F(x,y)の必要な帯域幅が広くなる。本発明のモデルにおいては、このような連続関数F(x,y)をシステム擬似強度関数(system pseudo intensity function:SPIF)と呼んでいる。
換言すると、固有分解モデルの目的は、空中像と、非負実数値関数(non−negative real vallued function)を特定の値で閾値化することによって所望のバイナリ像を得ることができる他の帯域制限非負実数値関数とを関連付ける変換関数を識別することである。新しい帯域制限非負実数値関数は、システム擬似強度関数(SPIF)と呼ばれている。
容易に計算することができる空中像I(x,y)からSPIFへの変換は、モデルに不可欠の要素である。位置(x,y)におけるSPIFの値は、(x,y)における空中像強度の値に左右されるだけではなく、ポイント(x,y)の周囲のすべての空中像強度の値によっても左右される。SPIFは数学的には、
SPIF(x,y)=G(I(x,y)) (2)
で表される。
フォトリソグラフィ・プロセスの複雑な性質のため、第1の物理的原理に基づいてGの正確な関数形態を引き出すことは困難と思われる。したがって、Gの近似関数形態が利用される。これは、空中像I(x,y)が有限有効自由度(finite effective degrees of freedom)を有している場合にのみ可能であり、参照により本明細書に援用される、C.E.Shannon、Proc.IRE、37、(1946)、429で考察されているように、空中像I(x,y)は帯域制限関数であるため、シャノンのサンプリング定理によれば、これは真実である。
図1は、本発明の第1の例示的実施例の概要を示したものである。プロセスの第1のステップであるステップ10で利用すべきフォトリソグラフィ・プロセスが画定される。次にステップ12でフォトリソグラフィ・プロセスの1組のカーネル(すなわちモデル)が生成される。所与の実施例では、フォトリソグラフィ・プロセスの固有分解モデルが生成される。続いてステップ14で、上記1組のカーネルの生成に利用したフォトリソグラフィ・プロセスと同じフォトリソグラフィ・プロセスを利用して複数のテスト構造が実際の結像プロセスに適用される。ステップ16でモデルが較正される。この較正は、テスト構造をモデルに入力し、次に、モデルによる結果と、ステップ14で生成される実際の結像結果とを比較することによって達成される。このモデルは、続いて、モデルによって生成される結像結果と実際の結像結果が所定の許容誤差の範囲内で整合するまで調整される。当分野の技術者には知られていようが、この所定の許容度は、特定のアプリケーション及び利用しているフォトリソグラフィ・ツールに応じて変更することができる。所定の許容誤差の範囲内になるように調整されると、モデルは、較正された、と見なされる。
次にステップ18で、較正モデルを利用して、モデルの較正に利用した様々なテスト構造の評価ポイントを利用してモデルの有効動作空間が決定される。たとえば、テスト構造毎に、テスト構造の二次元境界に沿って所定の間隔(たとえば50nmの間隔)で評価ポイントを画定することができる。テスト構造をこれらの評価ポイントで定量化することにより、そのモデルが有効である動作空間を画定することができる。より詳細には、前述のプロセスは、モデルがこれらのテスト構造を受入れ可能な許容誤差の範囲内で処理することが既に確認されているため、この動作空間(すなわち動作窓)内に存在するモデルによって次に処理されるすべての構造についても、そのモデルによって生成されるシミュレート像によって正確に表すことができる。モデルの有効動作空間を定量化するための多くの方法があること、また、以下で開示する実施例に本発明を限定してはならないことに留意されたい。
図2を参照すると、較正モデルの有効動作空間(すなわち動作窓)が画定若しくは定量化されると、新しいマスク・データ若しくは新しいマスク構造をモデルによって動作させる場合、ステップ20で新しいマスク設計の構造が最初に解析され、ステップ22で新しい構造がモデルの有効動作空間内に存在しているか否かが決定される。その構造が有効動作空間内に存在している場合、ステップ24でモデルの結果が受入れ可能と見なされ、オペレータによるそれ以上の注意は不要である。しかし、新しい構造がモデルの有効動作空間外に存在していると判断されると、ステップ26で、有効動作空間外に存在している構造に自動的にフラグが立てられて識別され、モデルによって生成される印刷結果が正確であることを確認するべく、識別されフラグが立った構造をさらに解析する必要があることをオペレータに知らせる。所与のマスク設計には、モデルの有効動作空間内に存在することが予想される構造と、モデルの有効動作空間外に存在する可能性のある構造があることに留意されたい。好ましいことには、本発明による方法は、個々の構造に対して個々にフラグを立てることができるため、オペレータは、有効動作空間外に存在している構造を構造毎に逐一解析することができ、さらには位置毎に解析することも可能である。
図3及び図4は、図1に参照されているモデル較正プロセスをより詳細に示したものである。図3及び図4を参照すると、ステップS100でマスク・パターンの特性を含んだ入力2が視像化モデル(optical imaging model)4に提供される。ステップS102で、照明源の特性と、たとえば開口数NA及び波長λを含んだ利用すべき結像プロセスから、結像プロセスを表す固有関数及び固有値が決定される。ステップS104で、テストマスク(つまりテスト構造)の特性を使用して、入力2として提供されるマスク関数M(x,y)が決定される。ステップS105で固有関数とマスク関数M(x,y)をたたみこむ(conbolute)ことによって空中像が決定される。レジスト効果6を表す一次固有関数を利用して空中像を決定し、特定のレジストが実際の空中像に対して有している効果を考慮することができる。ステップS106で所定の定閾値が空中像に適用され、予測輪郭を備えた初期SPIFが生成される。ステップS110で、この予測輪郭と、同じ照明条件及びプロセスを使用して実際にテストマスク像を印刷することによって決定されるテストマスクの既知の輪郭とが比較される。ステップS112がYESで、予測輪郭が測定された輪郭の所定の許容誤差の範囲内である場合(好ましい実施例では、比較プロセスに二次元輪郭が利用されることに留意されたい)、ステップS114で、正確なモデルとして予測モデルが認証され、モデルの較正が完了する。ステップS112がNOで、予測輪郭が所定の許容誤差の範囲外である場合、ステップS116で結像プロセスを画定している各固有関数と結合した各項の重みが調整され、新しいSPIFが生成される。次にステップS108でその定閾値が新しいSPIFに適用され、所定の許容誤差の範囲内の輪郭を提供するモデルが生成されるまでステップS108〜ステップS116のプロセスが繰り返される。
図4のステップS102に示す固有関数及び固有値の決定に際しては、視像化システムの特性を表す1組のパラメータが、概ね同じ帯域幅の1つ以上の基底関数(basis functions)として提供される。分解技法を使用して、帯域幅Ωを有するすべての可能基底関数セット(possible sets of basis functions)の中から最適基底関数を決定することができる。最適基底関数セットとは、任意の特定の誤差要求に対して、帯域幅Ωの任意の実数値関数を近似するためにそのセットから必要な基底関数の数が最小であることを意味している。このような最適基底関数セットは、視像化システム(照明、瞳(pupil)等)を最も効果的に表していることが好ましく、また、結像すべき対象とは無関係であることが好ましい。スカラ・レジーム(scalar regime)におけるHopkin’sの結像公式(imaging foumula)から開始すると、
Figure 0004938242

上式で、γ(x−x,y−y)は、照明によって決定される、物体平面における(x,y)と(x,y)の間の相互コヒーレンスである。K(x−x,y−y)は、光学系の瞳関数によって決定される、視像化システムのインパルス応答関数である。より明確には、K(x−x,y−y)は、物体平面内の(x,y)における単位振幅及びゼロ位相の乱れによる像平面内のポイント(x,y)における複素振幅(complex amplitude)である。M(x,y)は、ポイント(x,y)における物体の複素透過(complex transmission)である。星印を有する変数は、その変数の共役を表しており、たとえばKはKの共役であり、MはMの共役である。
式(3)は、積分変数を変更することによって別の形態で表すことができる。
Figure 0004938242

W(x’,y’;x’,y’)=γ(x’−x’,y’−y’)K(x’,y’)K(x’,y’) (5)
とすると、
γ(x’−x’,y’−y’)=γ(x’−x’,y’−y’) (6)
であるため、
W(x’,y’;x’,y’)=W(x’,y’;x’,y’) (7)
が得られる。
式(7)の関係を満足する積分作用素(integral operator)Wは、エルミート作用素(hermitian operator)と呼ばれている。エルミート作用素の場合、マーサの定理(Mercer‘s theorem)(A.V.Balakrishnan、Applied Functional Analysis、(1976)に記載されている)によれば、完全な正規直交関数セット{Φi}が存在しており、Wを{Φ}上に対角線的に拡張することができる。
Figure 0004938242
積分方程式は、最初にΦ(x’y’)を両辺に掛け合わせ、次に、変数x及びyで両辺を積分することによって式(8)から容易に得ることができる。{Φ}は正規直交であるため、積分後の右辺に働く唯一の項は、j=iの項である。
Figure 0004938242

正規直交関数{Φ}は、積分作用素Wの固有関数であり、積分方程式(9)を解くことによって容易に得ることができ、また、{α}は、対応する固有値である。また、式(4)及び(5)に提供されているように、任意の位置(x,y)における空中像強度I(x,y)が所与の任意の入力マスク・パターンM(x,y)に対して負ではないため、積分作用素Wは正であり、且つ、半無限(semi−definite)である。この条件は、さらに、{α}の値を制約しており、{α}の値は、負でなく、且つ、拘束されていなければならない。それぞれの固有値{α}に従って、α≧α≧α≧...>0になるように固有関数{Φ}を順序付けすることは常に可能である。照明及び瞳関数が特定の対称性を有している場合、退化関数(degenerate functions)が得られる。退化関数は、同じ固有値を有する関数に属している。
図4のステップS105に示すように、空中像は、マスク関数M(x,y)と固有関数のたたみこみ(convolution)によって計算される。より詳細には、正規直交関数セット{Φ}の場合、式(8)を式(4)に挿入することにより、次の式を使用して空中像を計算することができる。
Figure 0004938242

上式で、
Figure 0004938242

は、固有関数Φとマスク透過関数Mの間のたたみこみ演算を表している。結像理論の言語では、式(10)は、部分コヒーレント結像システムを一連のコヒーレント結像システムに分解することができることを示している。部分コヒーレント結像システムを一連のコヒーレント結像システムに分解するための方法は、他にも存在しているが、上で説明した方法は、最適な方法であることが証明されており、しばしば最適コヒーレント分解と呼ばれている。たとえば、参照により本明細書に援用される、Y.C.Pati及びT.Kailath、J.Opt.Soc.Am.A11、(1994)、2438を参照されたい。したがって、視像化モデル4には、式10によって記述される最適コヒーレント分解技法を使用することが好ましい。
図3に示すように、Φ1−Nの空中像に対して任意の数のカーネル(透過チャネル)を使用することができる。しかし、半導体の製造で最も一般的に使用されている照明の場合、重要であるのは最初のいくつかの透過チャネルのみである。i番目のチャネルからの記録信号が複素振幅
Figure 0004938242

ではなく、強度
Figure 0004938242

であることに留意されたい。異なるチャネルからの複素振幅は、同相での相関が全くなく、また、それらの時間に対する平均値はゼロであるため、クロス項
Figure 0004938242

は存在しない。つまり、本発明による、本明細書に利用されているモデルの場合、基本信号は、強度に類似した
Figure 0004938242

であり、電界に類似した
Figure 0004938242

ではない。
重要な信号が決定されると、ステップS106に示すように、SPIFが決定される。詳細には、本発明による固有分解方法の場合、効果的且つ正確な方法で、当該ポイント(x,y)の周りの空中像強度分布を記述することができる。i番目のチャネルからの信号をS
Figure 0004938242

で表すと、空中像I(x,y)からSPIF(x,y)への変換を表す式(2)の関数形態Gは、
SPIF(x,y)=G(S(x,y)、S(x,y)、....S(x,y)) (12)
で表すことができる。
ここでは、最初のN個のチャネルからの信号のみが重要であることが仮定されている。SPIFの{S}に対する依存性を表す正確な関数形態が未知であるため、逐次補正技法(successive correction approach)が使用される。この手法は、たとえその一致が定量的には満足しないにしても、一定の閾値を使用した空中像の閾値化から予測される輪郭が実験による輪郭と完全に一致するという事実に基づいている。したがって、一連の拡張が、SPIFのその原型の空中像I(x,y)からの逸脱を補正する目的に適うことが期待される。
Figure 0004938242
係数にはレジストの効果が考慮されており、マスク上のトポグラフィなどの他の「非理想的」要因の効果についても考慮されている。
上で言及したように、ステップS112で予測SPIFの輪郭がテストパターンによって生成された実験的に決定された輪郭と比較される。予測輪郭が実験的に決定された輪郭の所定の許容度の範囲内である場合、ステップS114でモデルの較正が完了するが、予測輪郭が所定の許容度の範囲外である場合は、ステップS116で各固有ベクトルと結合した各項の重みが調整され、上で考察した原理に従って新しいSPIFが生成される。ステップS108で定閾値が新しいSPIFに適用され、モデルの較正が完了するか、或いは所定の回数の試行が完了するまでステップS108〜ステップS116のプロセスが繰り返される。
一次項のみを保存する場合、そのモデルは一次モデルであり、SPIFの帯域幅は、視像化システムによって決定されるその原型の空中像の帯域幅と同じである。一次モデルが十分に正確ではない場合、モデルに二次項を含めることによって二次モデルを生成することができる。二次モデルは、原型の空中像の帯域幅の2倍の帯域幅を有することになる。一次固有分解モデルの自由度は、{β、β、...β}によって指定されるNである。二次固有分解モデルの自由度は、係数{ηij}の対称性のため、N+N(N+1)/2である。図3は、一次固有分解モデルを示したものである。
上で言及したように、モデルの較正が完了するか、或いはモデル較正プロセスの間に、また較正モデルの有効動作空間が決定される。モデルの有効動作空間(すなわち動作窓)を画定する方法の例の1つは、次の通りである。プロセスの第1のステップは、モデルの較正に利用するテスト構造の各々を定量化することである。この定量化は、上に示した式11によって生成される信号を利用することによって達成される。所望の精度を所与のテスト構造毎に達成するために必要なカーネル(チャネル)が3つのみであると仮定すると、評価ポイントは、式11を利用して画定され、且つ、サンプリングされる。通常、評価ポイントは、テスト構造の多角形の辺に沿って、所定の間隔で取られる。所与のテスト構造の評価ポイントを1、2、....Nと仮定すると、1組の信号(S1,S2,S3)、より明確には、
Figure 0004938242

が得られる。上式で、添字は信号ラベル(つまりi番目のカーネルの信号)であり、上付き文字は、式11を利用して計算される評価ポイント・ラベルである。式11によって生成される、すべてのテスト構造のすべての評価ポイントの信号によって、モデルが有効であることが確認される領域すなわち動作空間{S1,S2,S3}が画定される。較正プロセスに利用されるテスト構造毎に1組の信号{S1,S2,S3}が計算されることに留意されたい。さらに入念にするために、一次モデルが利用されると仮定すると、その場合、すべてのテスト構造からの信号によって、個々の信号軸毎に境界すなわち[S、最小、S、最大]、[S、最小、S、最大]、[S、最小、S、最大]が画定される。同じ式11を使用して、信号S1、S2、S3毎に、所定の間隔を有する評価ポイントにおける入力マスク・パターンの信号を計算し、且つ、これらの信号と、テスト構造信号によってセットされる対応する境界(モデルの較正に利用されるテスト構造に対応する)とを比較することができる。
較正モデルの有効動作空間が画定されると、図2に関連して上で説明したように、新しい構造(すなわち新しいマスク設計)をモデルに適用する場合、新しい構造が解析され、新しい構造がモデルの有効動作空間内に存在しているかどうか決定される。新しい構造がどれもモデルの有効動作空間内に存在していない場合、モデルは、較正モデルを外挿することによってこれらの新しい構造の結像結果を予測するが、新しい構造がモデルの確認済み有効動作空間内に存在していないため、システムは、同時に、予測したこれらの新しい構造の結像結果が不正確である可能性のあることをオペレータに知らせるべく、これらの新しい構造にフラグを立てる。
上で言及したように、本発明により、さらに、露光ツールの状態がモデル較正時の状態とは異なる状態におけるフォトリソグラフィ性能を較正モデルから予測するための方法が提供される。
通常、固有分解モデルは、固定プロセス状態で較正され、とりわけ固定露光量及び固定焦点設定で較正される。露光量が変化すると、レジストが受け取る信号が、露光量の変化に比例して変化する。較正に使用される露光量をEとすると、露光量がkEのSPIF関数は、
Figure 0004938242

で与えられる。ここでは、焦点設定は、較正に使用される焦点設定と同じ設定であることが仮定されている。したがって、式(15)に表現されているように、正確に同じ閾値でSPIF関数を切断することにより、較正露光量とは異なる露光量のバイナリ像を容易に得ることができる。
より詳細には、較正焦点状態におけるプロセスの最適基底関数を{Φ;Z=Z}と仮定し、且つ、他の焦点状態におけるプロセスの最適基底関数を{Ψ;Z=Z}と仮定すると、視像化システムからの信号の帯域幅は、他のすべての状態が同じ状態に維持されている場合、焦点外れによっては変化しないため、焦点がZ=Zの空中像を{Ψ;Z=Z}若しくは{Φ;Z=Z}のいずれかに分解することができる。{Ψ;Z=Z}と{Φ;Z=Z}の間の唯一の相異は、空中像を表す効率の差、つまり特定の許容誤差で空中像を表すために必要な関数の最小数の差である。換言すると、{Ψ;Z=Z}及び{Φ;Z=Z}は、その視像化システムからの空中像に対する単に2つの異なる表現に過ぎない。これは、{Ψ;Z=Z}及び{Φ;Z=Z}がいずれも完全な基底関数セットであり、且つ、同じ帯域幅を有していることによるものである。空中像の表現が一方の基底関数セットで分かれば、もう一方の基底関数セットでのその表現は、容易に得ることができる。したがって、プロセスの較正に必要なことは、1つの焦点状態で較正することのみである。
露光に続くプロセスを特性化するパラメータを、焦点Z=Zにおいて{β}及び{ηij}と仮定すると、
Figure 0004938242

が得られる。上式で、Sは、
Figure 0004938242

である。焦点Z=Zでは、
Figure 0004938242

が得られる。{Φ}には不備な点がないため、{Ψ}を{Φ}で表すことができる。
Figure 0004938242

式(19)を式(18)に挿入すると、
Figure 0004938242

が得られる。上式で、
Figure 0004938242

である。
Figure 0004938242

及び場
Figure 0004938242

は、同相での相関が全くなく、したがって時間平均値がゼロになるため、式(20)の第2項は消失する。
空中像の有効自由度は有限であるため、また、{Φ}表現における必要な信号の数はN個であり、{Ψ}表現における必要な信号の数はM個であると仮定することができるため、焦点外れ状態における空中像からの{Φ}表現におけるi番目のチャネルからの信号の総数は、
Figure 0004938242

であり、したがって焦点外れZ=ZにおけるSPIFは、
Figure 0004938242

である。焦点外れと露光量の両方を考慮すると、SPIFは、
Figure 0004938242

になる。{β}及び{ηij}は、焦点Z=Zにおける実験データを使用してモデルを較正することによって分かり、また、式(24)の他のすべての量は、計算によって求めることができるため、延いてはSPIFを得ることができる。その結果、式(24)に表現されているように、較正に使用された閾値と正確に同じ閾値を使用してSPIFを切断することにより、較正状態以外の状態におけるバイナリ像を容易に得ることができる。
図5は、図1〜図4に示す固有分解モデルを実施するための例示的処理システムを示したものである。図5に示すように、例示的マスク最適化ユニットは、入力装置1003から入力を受け取るプロセッサ1000を備えることができる。プロセッサ1000には、従来のマイクロプロセッサを使用することができ、或いはEEPROM、EPROM若しくは製造された集積回路(fabricated integrated circuit)などの専用に設計された処理ユニットを使用することもできる。また、入力装置1003には、キーボード或いはマウスなどの任意のタイプの電子入力デバイスを使用することができ、或いはメモリ若しくはインタネット接続でも可能である。プロセッサ1000は、記憶されている、図1〜図4に示す処理を実施するプロトコルなどのプロトコルをROM1002及びRAM1001から検索し、且つ、情報をRAM1001に記憶することが好ましい。プロセッサ1000が計算した結果は、表示装置1004に表示することができ、また、マスク製造ユニットに提供することができる。
図6は、本発明を使用して設計されたマスクとの使用に適したリソグラフィック投影装置を略図で示したものである。この装置は以下のものを含んでいる。
−投影放射ビームPB(projection beam PB of radiation)を供給するための放射システム(radiation system)Ex、IL。この特定の実施例の場合、放射システムはさらに放射源LAを備えている。
−マスクMA(たとえばレチクル)を保持するためのマスク・ホルダを備え、マスクをアイテムPLに対して正確に位置決めするための第1の位置決め手段に接続された第1の対物テーブル(マスク・テーブル)MT。
−基板W(たとえばレジスト被覆シリコン・ウェハ)を保持するための基板ホルダを備え、基板をアイテムPLに対して正確に位置決めするための第2の位置決め手段に接続された第2の対物テーブル(基板テーブル)WT。
−マスクMAの照射部分を基板Wのターゲット部分C(たとえば1つ以上のダイを備える)に結像するための投影システム(「レンズ」)PL(たとえば屈折光学系、反射光学系或いはカタディオプトリック光学系)。
本明細書に示すように、このリソグラフィック装置は、透過型(すなわち透過型マスクを有する)タイプの装置であるが、一般的には、たとえば(反射型マスクを備えた)反射型のリソグラフィック装置であっても良い。別法としては、このリソグラフィック装置は、マスクを使用する代わりに、プログラム可能ミラー・アレイ(programmable mirror array)或いはLCDマトリックスを始めとする他の種類のパターン化手段を使用することも可能である。
放射源LA(たとえば水銀灯或いはエキシマ・レーザ)は、放射ビームを生成している。この放射ビームは、照明システム(イルミネータ)ILに直接供給されるか、或いは、たとえばビーム・エキスパンダ(beam expander)Exなどの調節手段を介して供給される。イルミネータILは、放射ビームの強度分布の外部及び/又は内部ラジアル・エクステント(一般に、それぞれσ−外部(σ−outer)及びσ−内部(σ−inner)と呼ばれている)を設定するための調整手段AMを備えることができる。また、イルミネータILは、通常、インテグレータIN及びコンデンサCOなどの他の様々なコンポーネントを備えている。この方法によれば、マスクMAに衝突する投影放射ビームPBの断面に、所望する一様な強度分布を持たせることができる。
図6に関して、放射源LAは、リソグラフィック投影装置のハウジング内に配置することができ(放射源LAがたとえば水銀灯の場合にしばしば見られるように)、或いはリソグラフィック投影装置から離して配置し、放射源LAが生成する放射ビームをリソグラフィック投影装置に導く(たとえば適切な誘導ミラーを使用して)ことも可能であることに留意されたい。この後者のシナリオは、放射源LAがエキシマ・レーザ(たとえばKrF、ArF若しくはFレーザ放出に基づく)の場合にしばしば見られるシナリオである。本発明には、これらのシナリオの少なくとも両方が包含されている。
投影放射ビームPBは、次に、マスク・テーブルMT上に保持されているマスクMAで遮られる。マスクMAを通過した投影放射ビームPBは、投影放射ビームPBを基板Wのターゲット部分Cに集束させるレンズPLを通過する。基板テーブルWTは、第2の位置決め手段(及び干渉測定手段IF)を使用して正確に移動させることができ、それにより、たとえば異なるターゲット部分Cを投影放射ビームPBの光路内に配置することができる。同様に、第1の位置決め手段を使用して、たとえばマスク・ライブラリからマスクMAを機械的に検索(retrieval)した後、若しくは走査中に、マスクMAを投影放射ビームPBの光路に対して正確に配置することができる。通常、対物テーブルMT及びWTの移動は、図6には明確に示されていないが、長ストローク・モジュール(粗位置決め)及び短ストローク・モジュール(精密位置決め)を使用して実現されているが、ウェハ・ステッパ(ステップ・アンド・スキャン・ツールではなく)の場合、マスク・テーブルMTは、短ストローク・アクチュエータに接続するだけで良く、或いは固定することも可能である。
図に示すツールは、2つの異なるモードで使用することができる。
−ステップ・モード:ステップ・モードでは、マスク・テーブルMTが基本的に静止状態に維持され、マスク像全体がターゲット部分Cに1回の照射で投影される(すなわち単「フラッシュ」)。次に、基板テーブルWTがx方向及び/又はy方向にシフトされ、異なるターゲット部分Cが投影放射ビームPBによって照射される。
−走査モード:所与のターゲット部分Cが単「フラッシュ」で露光されない点を除き、基本的に同じシナリオが適用される。走査モードでは、マスク・テーブルMTが所与の方向(いわゆる「走査方向」、たとえばy方向)へ速度νで移動可能であるため、投影ビームPBを使用してマスク像が走査され、同時に、基板テーブルWTが同じ方向若しくは逆方向に速度V=Mνで移動する。このMはレンズPLの倍率(通常、M=1/4若しくは1/5)である。この方法によれば、解像度を犠牲にすることなく、比較的大きいターゲット部分Cを露光することができる。
本明細書において開示した概念は、サブ波長フィーチャを結像するための任意の汎用結像システムをシミュレートし、或いは数学的にモデル化することができ、また、ますます小さくなりつつあるサイズの波長を生成することができる結像先端技術(emerging imaging technologies)と相俟ってとりわけ有用である。既に使用されている先端技術には、ArFレーザを使用して193nmの波長を生成することができ、さらにはフッ素レーザを使用して157nmの波長を生成することができるEUV(極紫外)リソグラフィがある。さらに、EUVリソグラフィは、シンクロトロンを使用することによって20〜5nmの範囲内の波長を生成することができ、或いはこの範囲内の光子を生成するべく、高エネルギー電子を有する物質(固体若しくはプラズマのいずれか)をぶつけることによって20〜5nmの範囲内の波長を生成することができる。この範囲内ではほとんどの物質が吸収性であるため、モリブデンとケイ素の多重スタック(multi−stack)を備えた反射ミラーによって照明を生成することができる。多重スタック・ミラーは、40層のモリブデンとケイ素の対を有しており、各層の厚さは1/4波長である。X線リソグラフィを使用して、さらに短い波長を生成することができる。通常、X線波長の生成にはシンクロトロンが使用される。x線波長ではほとんどの物質が吸収性であるため、吸収物質の薄片によって、フィーチャが印刷する(ポジ型レジスト)か否か(ネガ型レジスト)を画定される。
本明細書において開示した概念は、シリコン・ウェハなどの基板への結像に使用することができるが、開示した概念は、任意のタイプのリソグラフィック結像システム、たとえばシリコン・ウェハ以外の基板への結像に使用されるリソグラフィック結像システムと共に使用することができることを理解すべきである。
実行可能コードを含む、プログラミングを必要とするプロセッサ1000のソフトウェア機能を使用して、上で説明した、異なるリソグラフィ・システムの最適DOEを決定する方法が実施される。ソフトウェア・コードは、汎用コンピュータによる実行が可能である。動作に関しては、コード及び場合によっては関連するデータ記録が汎用コンピュータ・プラットフォームに記憶されるが、場合によっては他のロケーションにソフトウェアが記憶され、且つ/又は適切な汎用コンピュータ・システムにロードするべく移送されることもある。したがって、上で考察した実施例には、1つ又は複数のソフトウェア製品が、少なくとも1つの機械可読媒体(machine−readable meduim)によって搬送される1つ又は複数のコード・モジュールの形で含まれている。コンピュータ・システムのプロセッサがこのようなコードを実行することにより、プラットフォームは、基本的に、本明細書において考察し、且つ、説明した実施例が実施している方法で、カタログ及び/又はソフトウェア・ダウンロード機能を実施することができる。
本明細書に使用されているように、コンピュータ若しくは機械「可読媒体」などの用語は、命令を実行するべくプロセッサへの命令の提供に関係している任意の媒体を意味している。このような媒体は、それらに限定されないが、不揮発性媒体、揮発性媒体及び伝送媒体を始めとする様々な形態を取ることができる。不揮発性媒体には、たとえば、上で考察したサーバ・プラットフォームの1つとして動作する任意の1つ又は複数のコンピュータの任意の記憶装置などの光ディスク或いは磁気ディスクがある。揮発性媒体には、このようなコンピュータ・プラットフォームの主記憶装置などの動的記憶装置がある。物理伝送媒体には、コンピュータ・システム内のバスを構成している導線を含む、同軸ケーブル、銅線及び光ファイバがある。搬送波伝送媒体は、電気信号若しくは電磁信号の形態を取ることができ、或いは無線周波数(RF)通信中及び赤外(IR)データ通信中に生成される音響波若しくは光波の形態を取ることができる。したがって、コンピュータ可読媒体に共通する形態には、たとえばフロッピー(登録商標)・ディスク、フレキシブル・ディスク、ハード・ディスク、磁気テープ、他の任意の磁気媒体、CD−ROM、DVD、他の任意の光媒体、それほど広くは使用されていないパンチ・カード、紙テープなどの媒体、孔のパターンを備えた他の任意の物理媒体、RAM、PROM、EPROM、FLASH−EPROM、他の任意のメモリ・チップ若しくはカートリッジ、データ若しくは命令を移送する搬送波、このような搬送波を移送するケーブル若しくはリンク、或いはコンピュータがプログラミング・コード及び/又はデータを読み出すことができる他の任意の媒体がある。コンピュータ可読媒体のこれらの形態の多くは、命令を実行するためには、1つ又は複数の命令の1つ又は複数のシーケンスをプロセッサに移送する必要がある。
また、本発明の前述の実施例には、その変形形態が可能であることに留意されたい。既に言及したように、上で開示した実施例は、固有分解モデルと共に利用される本発明を示したものであるが、本発明は、他のタイプのモデルシミュレーター装置と共に利用することも可能である。
また、ターゲット・マスクのフィーチャがモデルの動作窓の範囲内に存在しているか否かを検証するステップは、マスク・パターンに対するOPC処理の実施前及び/又は実施後に実施することができる。換言すると、本発明によるプロセスを利用して、OPCフィーチャを含むべく修正されたターゲット・マスクが動作窓の範囲内に存在しているかどうかを確認することができる。
また、以上の説明は、マスク・パターンに含まれているすべてのフィーチャに対してプロセスが実施されることを示しているが、モデルの動作窓の範囲内に存在しているかどうかを決定するべく検査すべきフィーチャ若しくはフィーチャのカテゴリを選択的に識別することも可能である。たとえば、マスク・パターン内の、マスク設計者によって不確実と見なされたフィーチャのみにプロセスを限定することができる。
以上、本発明について詳細に説明し、且つ、示したが、本発明についての以上の説明は、説明を目的とした単なる例示に過ぎず、本発明を何ら制限するものではないことを明確に理解されたい。本発明の範囲は、特許請求の範囲の各請求項によってのみ制限されるものとする。
本発明の一例示的方法を示す流れ図である。 マスク・パターンが較正モデルの動作窓内に存在しているか否かを確認する例示的プロセスを示す流れ図である。 例示的固有分解モデル(たとえば一次固有分解モデル)を示す図である。 較正固有分解モデルを生成するための例示的プロセスを示す図である。 本発明を実施するための例示的処理システムを示す図である。 本発明を使用して設計されたマスクの使用に適したリソグラフィック投影装置を示す略図である。
符号の説明
2 マスク・パターンの特性を含んだ入力
4 視像化モデル
6 レジスト効果
1000 プロセッサ
1001 RAM
1002 ROM
1003 入力装置
1004 表示装置
AM 調整手段
C ターゲット部分
CO コンデンサ
Ex、IL 放射システム
Ex ビーム・エキスパンダ
IF 干渉測定手段
IL 照明システム(イルミネータ)
IN インテグレータ
LA 放射源
MA マスク
MT 第1の対物テーブル(マスク・テーブル)
PB 投影放射ビーム
PL 投影システム(レンズ)
W 基板
WT 第2の対物テーブル(基板テーブル)

Claims (12)

  1. フォトリソグラフィ・プロセスをモデル化するための方法であって、
    複数のフィーチャを備えたマスク・パターンの結像に利用されると、前記フォトリソグラフィ・プロセスによって生成される像を予測することができる前記フォトリソグラフィ・プロセスの較正モデルを生成するステップと、
    前記マスク・パターン内の所与のフィーチャによって生成される像を前記較正モデルが正確に予測することができるか否かを画定する前記較正モデルの動作窓を決定するステップと、
    新しいマスク・パターンの複数のフィーチャの各々と前記較正モデルの前記動作窓を比較するステップと、
    新しいマスク・パターンの複数のフィーチャの中から、前記較正モデルの前記動作窓内に存在していないフィーチャの各々を識別するステップとを含む方法。
  2. 較正モデルを生成する前記ステップが、
    前記フォトリソグラフィ・プロセスに利用すべき結像システム及び処理条件を画定するステップと、
    前記フォトリソグラフィ・プロセスの前記システム及び処理条件の初期モデルを生成するステップと、
    複数のテスト構造を画定するステップと、
    実際の結像結果を得るべく、前記フォトリソグラフィ・プロセスの前記結像システム及び処理条件を利用して前記テスト構造を結像するステップと、
    前記テスト構造を前記モデルに適用することによってシミュレートされた結像結果を生成するステップと、
    前記シミュレートされた結像結果と前記実際の結像結果を比較するステップと、
    前記シミュレートされた結像結果と前記実際の結像結果の間の差が定義済み判定基準(predefined criteria)未満になるように前記初期モデルを調整するステップとを含み、
    前記調整された初期モデルが前記較正モデルに対応する、請求項1に記載の方法。
  3. 前記フォトリソグラフィ・プロセスを表すべく、前記初期モデル及び前記較正モデルに固有関数が利用された、請求項2に記載の方法。
  4. 前記シミュレートされた結像結果と前記実際の結像結果を比較する前記ステップの比較プロセスに、二次元輪郭パターンが利用された、請求項2に記載の方法。
  5. 少なくとも1つの機械可読媒体による移送が可能な実行可能コードからなるコンピュータ・プログラムであって、少なくとも1つのプログラム可能なコンピュータによって前記コードが実行されると、フォトリソグラフィ・プロセスをモデル化するための一連のステップが前記少なくとも1つのプログラム可能なコンピュータによって実行され、前記一連のステップが、
    複数のフィーチャを備えたマスク・パターンの結像に利用されると、前記フォトリソグラフィ・プロセスによって生成される像を予測することができる前記フォトリソグラフィ・プロセスの較正モデルを生成するステップと、
    前記マスク・パターン内の所与のフィーチャによって生成される前記像を前記較正モデルが正確に予測することができるか否かを画定する前記較正モデルの動作窓を決定するステップと、
    新しいマスク・パターンの複数のフィーチャの各々と前記較正モデルの前記動作窓を比較するステップと、
    新しいマスク・パターンの複数のフィーチャの中から、前記較正モデルの前記動作窓内に存在していないフィーチャの各々を識別するステップとを含むコンピュータ・プログラム。
  6. 較正モデルを生成する前記ステップが、
    前記フォトリソグラフィ・プロセスに利用すべき結像システム及び処理条件を画定するステップと、
    前記フォトリソグラフィ・プロセスの前記システム及び処理条件の初期モデルを生成するステップと、
    複数のテスト構造を画定するステップと、
    前記テスト構造を前記モデルに適用することによってシミュレートされた結像結果を生成するステップと、
    前記シミュレートされた結像結果と前記フォトリソグラフィ・プロセスの前記結像システム及び処理条件を利用して前記テスト構造を結像した実際の結像結果とを比較するステップと、
    前記シミュレートされた結像結果と前記実際の結像結果の間の差が定義済み判定基準未満になるように前記初期モデルを調整するステップとを含み、
    前記調整された初期モデルが前記較正モデルに対応する、請求項5に記載のコンピュータ・プログラム。
  7. 前記フォトリソグラフィ・プロセスを表すべく、前記初期モデル及び前記較正モデルに固有関数が利用された、請求項6に記載のコンピュータ・プログラム。
  8. 前記シミュレートされた結像結果と前記実際の結像結果を比較する前記ステップの比較プロセスに、二次元輪郭パターンが利用された、請求項6に記載のコンピュータ・プログラム。
  9. フォトリソグラフィ・プロセスをモデル化するための装置であって、
    複数のフィーチャを備えたマスク・パターンの結像に利用されると、前記フォトリソグラフィ・プロセスによって生成される像を予測することができる前記フォトリソグラフィ・プロセスの較正モデルを生成するための手段と、
    前記マスク・パターン内の所与のフィーチャによって生成される像を前記較正モデルが正確に予測することができるか否かを画定する前記較正モデルの動作窓を決定するための手段と、
    新しいマスク・パターンの複数のフィーチャの各々と前記較正モデルの前記動作窓を比較するための手段と、
    新しいマスク・パターンの複数のフィーチャの中から、前記較正モデルの前記動作窓内に存在していないフィーチャの各々を識別するための手段とを備えた装置。
  10. 較正モデルを生成する前記手段が、
    前記フォトリソグラフィ・プロセスに利用すべき結像システム及び処理条件を画定するための手段と、
    前記フォトリソグラフィ・プロセスの前記システム及び処理条件の初期モデルを生成するための手段と、
    複数のテスト構造を画定するための手段と、
    実際の結像結果を得るべく、前記フォトリソグラフィ・プロセスの前記結像システム及び処理条件を利用して前記テスト構造を結像するための手段と、
    前記テスト構造を前記モデルに適用することによってシミュレートされた結像結果を生成するための手段と、
    前記シミュレートされた結像結果と前記実際の結像結果を比較するための手段と、
    前記シミュレートされた結像結果と前記実際の結像結果の間の差が定義済み判定基準未満になるように前記初期モデルを調整するための手段とを備え、
    前記調整された初期モデルが前記較正モデルに対応する、請求項9に記載の装置。
  11. 前記フォトリソグラフィ・プロセスを表すべく、前記初期モデル及び前記較正モデルに固有関数が利用された、請求項10に記載の装置。
  12. 前記シミュレートされた結像結果と前記実際の結像結果の前記比較の比較プロセスに、二次元輪郭パターンが利用された、請求項10に記載の装置。
JP2005054574A 2004-01-30 2005-01-31 較正固有分解モデルを使用した製造信頼性検査及びリソグラフィ・プロセス検証方法 Active JP4938242B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US53999104P 2004-01-30 2004-01-30
US60/539991 2004-01-30

Publications (2)

Publication Number Publication Date
JP2005217431A JP2005217431A (ja) 2005-08-11
JP4938242B2 true JP4938242B2 (ja) 2012-05-23

Family

ID=34652507

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005054574A Active JP4938242B2 (ja) 2004-01-30 2005-01-31 較正固有分解モデルを使用した製造信頼性検査及びリソグラフィ・プロセス検証方法

Country Status (7)

Country Link
US (1) US7342646B2 (ja)
EP (1) EP1560072A3 (ja)
JP (1) JP4938242B2 (ja)
KR (1) KR100725621B1 (ja)
CN (1) CN100468204C (ja)
SG (1) SG113598A1 (ja)
TW (1) TWI327684B (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732108B2 (en) * 2005-09-30 2010-06-08 Infineon Technologies Ag Method for OPC model generation
US7176675B1 (en) * 2005-11-29 2007-02-13 International Business Machines Corporation Proximity sensitive defect monitor
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7954072B2 (en) * 2006-05-15 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US7743357B2 (en) * 2006-05-31 2010-06-22 Synopsys, Inc. Method and apparatus for determining a process model that models the impact of CAR/PEB on the resist profile
US7916284B2 (en) * 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7624369B2 (en) * 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US8120753B2 (en) * 2006-11-08 2012-02-21 Asml Masktools B.V. Method, program product and apparatus for generating a calibrated pupil kernel and method of using the same in a lithography simulation process
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US8611637B2 (en) 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
KR100826655B1 (ko) * 2007-05-21 2008-05-06 주식회사 하이닉스반도체 광 근접 효과 보정 방법
US7631289B1 (en) * 2007-06-28 2009-12-08 Cadence Design Systems, Inc. Method and system for implementing optimized lithography models for accuracy and resolution
JP5395340B2 (ja) * 2007-08-06 2014-01-22 株式会社東芝 プロセスモデル作成方法、プロセスモデル作成プログラム及びパターン補正方法
US7805699B2 (en) * 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
US8059884B2 (en) * 2007-11-08 2011-11-15 International Business Machines Corporation Method and system for obtaining bounds on process parameters for OPC-verification
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8358828B2 (en) * 2007-12-28 2013-01-22 Cadence Design Systems, Inc. Interpolation of irregular data in a finite-dimensional metric space in lithographic simulation
JP2009302206A (ja) * 2008-06-11 2009-12-24 Canon Inc 露光パラメータの決定方法、露光パラメータを決定するためのプログラム、露光方法及びデバイス製造方法
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
CN102279516B (zh) * 2010-06-10 2012-11-07 上海华虹Nec电子有限公司 校准标准片的制备方法及用该标准片进行校准的方法
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
KR101757780B1 (ko) 2012-05-31 2017-07-14 에이에스엠엘 네델란즈 비.브이. 구배-기반 패턴 및 평가 지점 선택
US9619878B2 (en) * 2013-04-16 2017-04-11 Kla-Tencor Corporation Inspecting high-resolution photolithography masks
WO2015134655A2 (en) * 2014-03-05 2015-09-11 Adeptdc Co. Systems and methods for intelligent controls for optimal resource allocation for data center operations
WO2017024158A1 (en) * 2015-08-06 2017-02-09 Kla-Tencor Corporation Focus metrology and targets which utilize transformations based on aerial images of the targets
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
CN108153115A (zh) * 2017-12-19 2018-06-12 上海集成电路研发中心有限公司 极紫外光刻掩模、其制作方法及生成掩模图案的方法
CN107942614B (zh) * 2017-12-22 2020-12-25 上海集成电路研发中心有限公司 孔层辅助图案生成方法及校正函数生成方法
US10990003B2 (en) * 2018-02-18 2021-04-27 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
US10621295B2 (en) 2018-04-10 2020-04-14 International Business Machines Corporation Incorporation of process variation contours in design rule and risk estimation aspects of design for manufacturability to increase fabrication yield
CN115202163B (zh) * 2022-09-15 2022-12-30 全芯智造技术有限公司 选择光阻模型的方法、设备和计算机可读存储介质

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4894790A (en) * 1986-02-05 1990-01-16 Omron Tateisi Electronics Co. Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus
JPH03174716A (ja) * 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) * 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) * 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
JP3426647B2 (ja) * 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) * 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
JP3409493B2 (ja) * 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) * 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
EP0824722B1 (en) * 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6081658A (en) 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6178360B1 (en) * 1998-02-05 2001-01-23 Micron Technology, Inc. Methods and apparatus for determining optimum exposure threshold for a given photolithographic model
US6289449B1 (en) * 1998-12-14 2001-09-11 International Business Machines Corporation Creating boot code image on a storage medium
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TWI285299B (en) * 2001-04-04 2007-08-11 Asml Netherlands Bv Lithographic manufacturing process, lithographic projection apparatus, and device manufactured thereby
DE10137099A1 (de) 2001-07-30 2003-02-27 Infineon Technologies Ag Transparenzverbesserung von Resist-Copolymeren durch Fluorierung der fotochemisch spaltbaren Abgangsgruppen für die 157 nm-Fotolithografie
US7175940B2 (en) * 2001-10-09 2007-02-13 Asml Masktools B.V. Method of two dimensional feature model calibration and optimization
JP3615182B2 (ja) * 2001-11-26 2005-01-26 株式会社東芝 光近接効果補正方法及び光近接効果補正システム
JP4102728B2 (ja) * 2002-07-26 2008-06-18 エーエスエムエル マスクツールズ ビー.ブイ. 自動光近接補正(opc)ルール作成

Also Published As

Publication number Publication date
US7342646B2 (en) 2008-03-11
US20050210437A1 (en) 2005-09-22
EP1560072A3 (en) 2008-05-14
KR20050078225A (ko) 2005-08-04
CN1658076A (zh) 2005-08-24
EP1560072A2 (en) 2005-08-03
CN100468204C (zh) 2009-03-11
SG113598A1 (en) 2005-08-29
TW200537260A (en) 2005-11-16
KR100725621B1 (ko) 2007-06-07
JP2005217431A (ja) 2005-08-11
TWI327684B (en) 2010-07-21

Similar Documents

Publication Publication Date Title
JP4938242B2 (ja) 較正固有分解モデルを使用した製造信頼性検査及びリソグラフィ・プロセス検証方法
JP4524174B2 (ja) 固有分解に基づくopcモデル
JP3992688B2 (ja) コンタクト・ホール・マスクの光学的近接補正設計の方法
US7440082B2 (en) Method of predicting and minimizing model OPC deviation due to mix/match of exposure tools using a calibrated eigen decomposition model
US7349066B2 (en) Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
JP5756739B2 (ja) リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
TWI460547B (zh) 基於模型之程序模擬系統及方法
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
JP5191975B2 (ja) リソグラフィ較正のための方法
JP4707701B2 (ja) 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
JP2013509604A (ja) 光源及びマスクの最適化のためのパターン選択方法
US20230107556A1 (en) Machine learning based subresolution assist feature placement

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070205

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100308

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100922

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20101005

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111228

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120223

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150302

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4938242

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250