KR20040065185A - 콘택홀 마스크를 위한 광근접성보정설계 방법 - Google Patents

콘택홀 마스크를 위한 광근접성보정설계 방법 Download PDF

Info

Publication number
KR20040065185A
KR20040065185A KR1020040002651A KR20040002651A KR20040065185A KR 20040065185 A KR20040065185 A KR 20040065185A KR 1020040002651 A KR1020040002651 A KR 1020040002651A KR 20040002651 A KR20040002651 A KR 20040002651A KR 20040065185 A KR20040065185 A KR 20040065185A
Authority
KR
South Korea
Prior art keywords
interference
substrate
mask
interference map
features
Prior art date
Application number
KR1020040002651A
Other languages
English (en)
Other versions
KR100719154B1 (ko
Inventor
소챠로버트존
시쑤에롱
판덴브로에크더글라스
첸장풍
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20040065185A publication Critical patent/KR20040065185A/ko
Application granted granted Critical
Publication of KR100719154B1 publication Critical patent/KR100719154B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Microscoopes, Condenser (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명에 따르면, 개시된 개념들은 기판의 표면에 형성될 패턴의 조명 프로파일을 최적화하는 방법을 포함한다. 일루미네이터에 대응하는 투영 퓨필 및 조명 퓨필에 따라 결정된 투과교차계수("TCC")함수를 정의하는 단계, 하나 이상의 임펄스 함수에 의해 상기 기판 상에 프린트될 마스크의 하나 이상의 분해가능한 피처를 나타내는 단계, 및 하나 이상의 임펄스 함수와 상기 TCC 함수에 기초하여 소정 차수의 간섭 맵을 작성하는 단계에 의해 조명이 최적화되며, 상기 간섭 맵은 상쇄 간섭 영역 및 기판 상에 프린트될 하나 이상의 분해가능한 피처를 나타내는 것을 특징으로 한다.

Description

콘택홀 마스크를 위한 광근접성보정설계 방법{METHOD OF OPTICAL PROXIMITY CORRECTION DESIGN FOR CONTACT HOLE MASK}
본 발명은, 미국특허 가출원 번호 제60/439,808호인 "A Method of Optical Proximity Correction Design & Optimization for Deep Sub-Wavelength Contact Hole Mask" 및 미국특허 가출원 번호는 아직 지정되지 않았지만 2003년 12월 19일 출원한 "Extending Interference Mapping to Improve the Depth of Focus and Exposure Latitude"의 우선권을 주장한다.
본 발명은, 일반적으로 기판의 표면내에 형성되는 패턴의 조명 프로파일을최적화하기 위한 마이크로리소그래피용 방법 및 프로그램물에 관한 것이다.
리소그래피 장치는 예를 들어, 집적회로(ICs)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로패턴을 포함할 수 있고, 이 패턴은 방사선감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 하나 이상의 다이로 구성)상으로 묘화될 수 있다. 일반적으로, 단일 웨이퍼는 투영시스템에 의해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영장치에서, 타겟부상에 전체 마스크 패턴을 한번에 노광함으로써 각각의 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)라 불리는 대안적인 장치에서, 투영빔하에서 주어진 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하면서, 상기 방향과 평행하게 또는 반평행하게 기판 테이블을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는데, 일반적으로 투영시스템이 배율인자(M)(일반적으로<1)를 가지므로, 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자(M)배가 된다. 여기에 서술된 리소그래피 장치에 관련된 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 사용하는 제조공정에서, 마스크 패턴은 적어도 부분적으로 방사선감응재(레지스트)층으로 도포된 기판상에 묘화된다. 이러한 묘화 단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅, 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 피처(imaged feature)의 측정/검사와 같은 기타 절차를 거칠 수 있다. 이러한 일련의 절차는, 예를 들어 IC와 같은 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음, 이러한 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 개별층을 마무리하기 위한 다양한 공정을 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 공정 또는 그 변형 공정이 반복되어져야만 할 것이다. 종국에는, 디바이스의 배열이 기판(웨이퍼)상에 존재하게 될 것이다. 이들 디바이스가 다이싱 또는 소잉 등의 기술에 의해 서로 분리된 후에, 각각의 디바이스는 캐리어에 탑재되고, 핀에 접속될 수 있다. 이러한 공정에 관한 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 "Microchip Fabrication : A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill 출판사, 1997, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
설명을 간단히 하기 위해, 투영시스템은 이후에 "렌즈"라고 언급될 수 있다. 하지만, 이 용어는 예를 들어, 굴절 광학기, 반사 광학기 및 카타디옵트릭 시스템을 포함한 다양한 형태의 투영시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 또한, 방사선시스템은 방사선 투영빔의 지향, 성형 또는 제어를 하기 위한 설계유형 중의 어느 하나에 따라 동작하는 구성요소를 포함할 수 있고, 이러한 구성요소들도 아래에서 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수 있다. 또한, 상기 리소그래피 장치는 두개 이상의 기판 테이블 (및/또는 두개 이상의 마스크 테이블)을 구비하는 형태가 될 수 있다. 이러한 "다수 스테이지" 장치에서, 추가적인테이블들이 병행하여 사용될 수 있거나, 하나 이상의 다른 테이블들이 노광을 위하여 사용되고 있는 동안에 하나 이상의 테이블에서 준비단계가 수행될 수 있다. 듀얼 스테이지 리소그래피 장치는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제5,969,441호 및 WO 제98/40791호에 개시되어 있다.
상기 언급된 포토리소그래피 마스크는 실리콘 웨이퍼상으로 집적되는 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 형성하는데 사용되는 상기 패턴들은, CAD(컴퓨터 지원 설계 : Computer-Aided Design) 프로그램을 사용하여 생성될 수 있고, 이 공정은 종종 EDA(전자설계 자동화 : Electronic Design Automation)로 언급된다. 대부분의 CAD 프로그램은 기능적인 마스크를 형성하기 위해 미리 결정된 설계규칙의 세트를 따른다. 이들 규칙은 처리 및 설계제한에 의해 설정된다. 예를 들어, 설계규칙들은, 회로 디바이스들(게이트들, 캐패시터들 등과 같은) 또는 상호접속 라인들 사이의 간격 허용오차를 정의하여, 상기 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 상호 작용하지 않도록 한다. 통상적으로, 상기 설계규칙 제한을 "임계치수"(CD : Critical Dimensions)로 칭한다. 회로의 임계치수는 라인 또는 홀의 최소폭 또는 두개의 라인들 또는 두개의 홀들 사이의 최소간격으로 정의될 수 있다. 따라서, 상기 CD는 설계된 회로의 전체적인 크기 및 밀도를 결정한다.
마스크내의 "어시스트 피처들"은 레지스트상으로 투영된 이미지 또한 궁극적으로는 현상된 디바이스를 개선시키는데 사용될 수 있다. 어시스트 피처들은, 상기 레지스트내의 현상된 패턴내에 나타나게 하려는 것이 아니라, 회절효과를 이용하기위해 마스크내에 제공되어 현상된 이미지가 원하는 회로패턴을 보다 면밀히 닮도록 한다. 일반적으로, 어시스트 피처들은, 그들이 실제 웨이퍼상에 분해된(resolved) 마스크내의 최소 피처들보다 적어도 한 치수 작은 것을 의미하는 "서브-해상도" 또는 "딥 서브-해상도"이다. 어시스트 피처들은 임계치수의 분수로 정의된 치수를 가질 수 있다. 즉, 일반적으로 상기 마스크 패턴이 1 미만(예를 들어, 1/4 또는 1/5)의 배율로 투영되기 때문에, 상기 마스크상의 상기 어시스트 피처는 상기 웨이퍼상의 최소피처보다 물리적으로 보다 큰 치수를 가질 수도 있다.
적어도 두 가지 타입의 어시스트 피처가 사용될 수 있다. 스캐터링 바는, 패턴의 밀집한 영역에서 유발되는 근접성 효과를 닮도록 절연된 컨덕터의 하나 또는 양면상에 배치된 서브-해상도 폭을 가지는 라인이다. 세리프는, 컨덕터 라인의 코너부 및 단부, 또는 직사각형 피처들의 코너부에 배치된 다양한 형태의 부가적인 영역이고, 상기 라인의 단부 또는 코너부를 필요에 따라 정사각형 또는 원형에 보다 가깝게 만든다(여기서, 통상 "해머헤드(hammerheads)"로 언급되는 어시스트 피처들이 세리프의 형태인 것으로 간주되는 것에 유의한다). 스캐터링 바 및 세리프의 사용에 대한 추가적인 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 미국특허 제5,242,770호 및 제5,707,765호로부터 얻을 수 있다.
물론, 집적회로 제조에서의 일 목적은, 어시스트 피처들의 사용으로 개선된 (마스크를 통해) 웨이퍼상의 오리지널 회로설계를 충실하게 재현하는 것이다. 일반적으로, 이들 어시스트 피처들의 배치는 미리 정의된 규칙의 세트를 따른다. 이 방법에 따라, 설계자들은 예를 들어, 어떻게 라인을 바이어스하는지를 결정하며, 어시스트 피처들의 배치는 미리 결정된 규칙의 세트를 따라 결정된다. 규칙의 세트가 형성되는 경우, 테스트 마스크들은 상이한 조명설정 및 NA 설정으로 노광되고, 이는 반복된다. 테스트 마스크들의 세트에 근거하여, 규칙의 세트가 어시스트 피처 배치에 대해 형성된다. 도 12는 기판상에 형성되는 분해가능한 피처들(112), 미리 정의된 규칙의 세트에 따라 마스크내에 배치되는 어시스트 피처들(114)을 포함하는 마스크(110)를 도시한다.
아직, 마스크내에 어시스트 피처들의 최적화된 위치를 결정하기 위한 최적화 및 모델링 방법이 창안되지 않았다. 따라서, 전략적으로 또한 최적으로 어시스트 피처들을 배치하는 방법에 대한 요구가 존재한다.
본 명세서의 개념은, 기판의 표면내에 형성되는 패턴의 조명 프로파일을 최적화하는 방법을 포함한다. 이 방법을 사용하면, 투과교차계수(Transmission Cross Coefficient)("TCC")가 결정되고, 이는 조명 퓨필 및 투영렌즈 퓨필에 근거한다. 또한, 기판상에 인쇄되는 마스크의 하나 이상의 분해가능한 피처가 하나 이상의 임펄스 함수에 의해 표현될 수 있다. 상기 임펄스 함수 및 상기 TCC 함수 둘 모두에 근거하여, 미리 결정된 차수의 간섭 맵(interference map)이 생성될 수 있고, 이는 상기 기판상에 인쇄되는 하나 이상의 분해가능한 피처(resolvable feature)를 나타낸다. 또한, 어시스트 피처들은 미리 결정된 레벨의 세기를 가지는 간섭 맵의 영역에 대응하여 마스크내에 최적으로 위치될 수 있다. 밝은 필드 마스크에 대해, 이 세기는 통상적으로 상쇄 간섭(destructive interference)을 유발시키는 광 세기 또는 마찬가지로 상쇄 간섭을 유발시키는 세기의 변화에서의 크기를 나타낸다. 어두운 필드 마스크에 대해, 이 세기는 통상적으로 보강 간섭(constructive interference)을 유발시키는 광 세기 또는 마찬가지로 보강 간섭을 유발시키는 세기변화에서의 크기를 나타낸다.
본 명세서의 또 다른 개념은, 기판의 표면내에 형성되는 분해가능한 피처의 패턴의 조명 프로파일을 최적화하는 방법을 포함한다. 상기 방법은, 둘 이상의 축을 가지는 데카르트 좌표 간섭 맵을 형성하는 것을 포함한다. 상기 맵은, 상기 기판에 형성되는 분해가능한 피처들의 상기 패턴을 나타내는 임펄스 함수와 투과교차계수 함수에 따라 형성된다. 상기 간섭 맵은 기판내에 형성되는 분해가능한 피처들의 패턴 및 하나 이상의 간섭 영역을 나타낸다. 이 간섭 영역은, 형성되는 패턴에 대응하는 원점을 가지고 또한 간섭 맵의 대응하는 축에 대하여 평행한 둘 이상의 축에 대하여 각을 이룬다. 이 맵에 근거하여, 어시스트 피처는 간섭 영역에 대응하여 상기 마스크의 영역내에 배치될 수 있다.
도 1은 일반화된 묘화시스템에 대한 예시적인 투과교차계수(transmission cross coefficient; TCC) 함수의 다이어그램이다.
도 2는 상이한 타입의 조명에 대한 특이값 분해(singular value decomposition)에 의해 상기 TCC를 대각화한 후의 고유값의 플롯이다.
도 3은 코히런트 합들의 합을 나타낸 도면이다.
도 4a의 (a)~(d)는 각각 Quasar, Cquad, 환형 및 종래 일루미네이터에 대한 1차 TCC 고유함수의 조명의 그림 표현(pictorial representation)을 나타내는 도면이다.
도 4b는 도 4a의 (a)~(d)의 컬러 그림이다.
도 5a는 생성될 패턴의 콘택홀들이 임펄스 델타 함수로 대체되는 수학식 1의 그림 표현을 나타내는 도면이다.
도 5b는 도 5a의 컬러 그림이다.
도 6a는 도 4a의 (b) 및 도 5에 의해 예시된 것의 콘볼루션에 대응하는 수학식 5의 그림 표현을 나타내는 도면이다.
도 6b는 도 6a의 컬러 그림이다.
도 7은 본 명세서에 개시된 신규 모델링 방법의 플로우차트를 예시한 도면이다.
도 8은 간섭 함수의 2차 도함수에 대응하는 예시도이다.
도 9는 도 6의 조명에 대응하는 어시스트 피처의 최적 배치를 예시한 도면이다.
도 10a는 도 4a의 (a)의 Quasar 일루미네이터를 이용하는 해당 조명 세기 및 수학식 5의 그림 표현을 나타내는 도면이다.
도 10b는 도 10a의 컬러 그림이다.
도 11은 본 발명에 의해 설계된 마스크를 이용하기에 적합한 리소그래피 투영장치를 개략적으로 도시한 도면이다.
도 12는 소정 세트의 규칙에 따른 어시스트 피처 배치를 예시한 도면이다.
본 발명의 앞의 또한 여타의 특징들, 형태들 및 장점들은, 첨부된 도면과 관련하여 본 발명의 다음의 상세화된 설명으로부터 보다 분명해질 것이다.
본 명세서에는, 어시스트 피처들(AF)의 배치를 예측하기 위해 새로운 모델링 접근법을 사용하는 서브-파장 콘택홀 마스크에 대한 광근접성보정(OPC) 설계방법이 설명된다. 유한한 조명원에 대한 에어리얼 이미지는 어시스트 피처들의 최적위치를 결정하기에 유용하다. 이러한 상(imagery)은 예를 들어, 콘택홀 및 간섭 영역(들)과 같은, 형성되는 피처들을 나타낼 수 있다. 이들 간섭 영역(들)에 대응하는 상기 마스크내의 어시스트 피처들의 전략적인 배치는, 형성되는 피처에 대응하여 기판 표면상에 광 세기를 유리하게 포커싱한다. 본 발명의 방법이 콘택홀 패턴들의 형성에만 한정되지 않음을 유의한다.
유한한 조명원에 대한 에어리얼 이미지를 계산하는 두가지 주요한 방법이 있고, 이는 본 명세서에서 참고자료로 채택된 미국특허 출원번호 US 2002/0152452 A1에 개시되어 있다. 거기에 설명된 일 방법은 홉킨의 공식이다. 그러나, 상기 두가지 방법은 대규모의 계산(extensive computation)을 필요로 한다.
예를 들어, 홉킨의 공식은 이미지 세기를 나타내기 위해 4차원 투과교차계수(TCC)를 사용한다. 보다 상세하게는, 상기 TCC는, 수학적으로 조명퓨필(Js(α,β))에 튜영퓨필(K(α,β))을 곱하는 오토코렐레이션(autocorrelation)인 수학식 1로 표현된다. 수학식 1에 따라 또한 도 1에 도시된 바와 같이, 가장 왼쪽의 원(10)은 조명퓨필(JS(α,β))을 나타내고, 중앙의 원(12)은 (-m/PxNA, -n/PyNA)에 중심을 둔 튜영퓨필(K(α,β))을 나타내며, 가장 오른쪽의 원(14)은 (p/PxNA, q/PyNA)에 중심을 둔 튜영퓨필(K(α,β))을 나타낸다. 상기 TCC는 상기 원(10, 12, 14)이 오버랩되는 영역(16)으로 표현된다.
수학식 1에서, m, n, p 및 q는 이산 회절 차수에 대응한다, 즉 상기 TCC는 4차원 함수이다. x 방향으로의 회절차수들은 m 및 p로 표현되고, y 방향으로의 회절차수들은 n 및 q로 표현된다.
본 명세서에서의 새로운 개념에 따라, 수학식 1은, 특이값 분해(Singular Value Decomposition)(SVD)로 공지된 잘 알려진 수학적인 연산을 사용함으로써 두개의 2D 함수의 세트로 분해될 수 있으며, 이는 4D 행렬을 대각화(diagnolizing)하는 것을 수반한다. 상기 TCC로부터, 고유벡터(Φ(m,n), Φ(p,q))에 스칼라값인 고유값(λb)을 곱하는 행렬이 형성된다. 따라서, 수학식 2로 표현되는 바와 같이, 각 고유값(λb)은 대응하는 소위 오른쪽 고유벡터(Φ(m,n)) 및 대응하는 왼쪽 고유벡터(Φ(p,q))와 짝 지워진다.
도 2는 Quasar, 환형 및 종래의 조명에 대응하는 고유값(λb)의 행렬의 플롯을 도시한다. 무한히 작은 반경 극을 가지는 이상적인 일루미네이터와 비교하여 일루미네이터들은 유한한 반경을 가지기 때문에, 하나 이상의 고유값들이 얻어진다. 하지만, 도 2의 플롯은 또한 어떻게 각각의 일루미네이터에 대한 고유값들이 빠른 속도로 감소(decay)되는지를 나타낸다. 수학식 2 및 그에 따른 계산값들의 복잡성을 감소시키기 위해, 제1고유값(20)은 TCC의 양호한 근사값으로 치환될 수 있다.하지만, 하나 이상의 고유값이 보다 높은 정확성을 위해 사용될 수 있다. 코히런트 합들의 합(Sum of Coherent Sums)(SOCS) 계산은 다수의 고유값(λb)을 고려하는 경우 에어리얼 이미지를 계산하는데 사용될 수 있고, 도 3의 흐름도로 도시되어 있다.
도 4a의 (a)~(d)는 제1고유값(λ1)만을 고려함으로써 각각 Quasar, Cquad, 환형 및 종래의 조명에 대한 TCC의 플롯을 그림으로 나타낸다. 종래의 조명을 사용하면(도 4a의 (d)), 콘택홀(410)의 외부에 현저한 간섭이 있다. 하지만, 도 4a의 (a)~(c)와 비교하면, 광선은 주로 상기 콘택홀(410)상에 포커싱되고, 개별적인 간섭 영역(412)이 상기 콘택홀(410)의 외부에 보여진다. 사용되는 일루미네이터에 따라, 이들 간섭 영역(또한 "사이드 로브(side lobes)"로 언급됨)은 상기 콘택홀(410)에 대해 상이한 형상 및 위치를 취한다. 이들 간섭 영역은 어두운 필드 마스크에 대해 소위 가능한 보강 간섭 영역에 대응한다, 즉 그들은 형성되는 피처들을 나타내는 광 세기와 건설적으로(positively) 간섭한다. 도 4a의 (a)에서, 사이드 로브(412)는 상기 콘택홀(410)에 대해 수평적으로 또한 수직적으로 형성된다. 도 4a의 (b)에서, 사이드 로브(412)는 상기 콘택홀(410)에 대해 대각선으로 형성된다. 도 4a의 (c)에서, 사이드 로브(412)는 상기 콘택홀(410)을 에워싸는 도넛 형상을 형성한다. 간섭 사이드 로브의 긍정적인 영향을 증가시키기 위해, 어시스트 피처들은, 상기 콘택홀(410)상에 광 세기를 포커싱하도록 각각의 사이드 로브에 대응하여 마스크내에 위치될 것이다.
콘택홀과 같이, 기판내에 형성되는 분해가능한 피처들의 에어리얼 이미지를 생성시키기 위해, 수학식 2는 콘택홀 및 대응하는 일루미네이터와 관련되어야만 한다. 임펄스 델타 함수가, 수학식 3에 의해 표현되고 또한 도 5a에 의해 도시되는 콘택홀을 나타내는데 사용될 수 있다.
예를 들어, 도 4a의 (a)~(d)의 소정 조명을 이용하는 콘택홀 패턴에 의해 야기된 간섭을 결정하기 위하여, 고유벡터 Φ(m,n)의 역푸리에변환은 수학식 4로 나타낸 바와 같이 콘택홀 패턴을 나타내는 임펄스 델타 함수와 콘볼루션될 수 있다.
하지만, 수학식 4의 계산은, 수학식 5로 나타낸 바와 같이, 우선 푸리에변환을 수행하여 임펄스 델타 함수 o(x,y)를 주파수 도메인으로 변환하여 단순화될 수 있다. 수학식 6은 주파수 도메인에서의 결과적인 식을 나타낸다.
에어리얼 이미지를 결정하기 위하여, 수학식 7로 도시된 바와 같이 수학식 6에 역푸리에변환이 취해져야 한다. 수학식 7은 또한 도 6에 의해 주기적으로 예시된 에어리얼 이미지를 나타낸다.
상이한 타입의 에어리얼 이미지는 최적 어시스트 피처 배치에 사용될 수 있다. 예컨대, 수학식 8로 나타낸 바와 같이 수학식 6의 2차 도함수를 취하면, 수학식 9로 나타낸 바와 같은 역푸리에변환은 예를 들어 도 8에 도시된 바와 같이 세기 레벨의 변화 정도(즉, 기울기(slope))를 예시하는 에어리얼 이미지를 생성한다. 변화 정도를 예시하는 에어리얼 이미지는 어시스트 피처 배치를 돕는다.
무크롬 위상 리소그래피(CPL) 콘택 마스크, 고백분율 투과 감쇠 위상시프트마스크(PSM) 및 기타 타입의 PSM은 0(레티클을 통하여 투과하는 광 없음), +1(100% 투과, 위상시프트 없음), -1(100% 투과, 180°위상시프트)의 3가지 가능한 투과 조건 Aa를 가지며, 이는 에어리얼 이미지를 생성하는 경우에 고려되어야만 한다. 피처 투과 레벨을 고려하기 위하여, 수학식 3은 투과 조건에 대하여 변수 Aa를 더하여 수정될 수 있다.
이에 따라, 수학식 11에 나타낸 에어리얼 이미지는, 수학식 10의 임펄스 함수 OCPL(x,y)로 고유벡터 Φ(m,n)의 역푸리에변환을 콘볼루션하여 결정된다.
각각의 콘택홀에서의 투과 조건 Aa는, 수학식 12에 도시된 바와 같이, 임펄스 함수 위치(xa, ya)에서의 최소 피크 세기를 최대화하여 결정될 수 있다는 장점을 가진다.
아래에서는 본 발명의 예시를 어두운 필드 마스크 타입을 이용하여 설명하지만, 여기서 논의된 새로운 개념들은 밝은 필드 마스크 타입으로 이용될 수도 있음을 유의한다. 도 7은 간섭 맵의 생성에 따라 광근접성보정기술을 마스크 패턴에 적용하는 방법을 예시하는 예시적인 플로우차트이다. 상기 간섭 맵은 여러 방법을 이용하여, 예컨대 함께 계류중인 출원 번호 제(추후결정)호에 개시된 바와 같이 종래의 에어리얼 이미지 시뮬레이터(예를 들면, 마스크툴즈 사의 Lithocruiser 또는 Mask Weaver 시뮬레이션 제품)를 사용하여, 또는 즉시 출원(instant application)에서와 같이 에어리얼 이미지를 수학적으로 모델링함으로써 생성될 수 있음도 유의한다.
개시된 개념들은, 도 7의 플로우차트에 의해 예시된 바와 같이, 일련의 단계들로 나타낼 수 있다. 단계 710에서, 마스크 모델은 하나 이상의 콘택홀을 포함하여 생성된다. 또한, 일루미네이터의 타입 및 해당 파라미터들이 선택된다. 단계 712에서, 임펄스 함수(예컨대, 수학식 3, 수학식 10)가 생성되어, 이에 따라 마스크의 각 콘택홀이 마스크 타입(예컨대, 위상시프팅마스크, 보통의(regular) 마스크)에 따른 임펄스 델타 함수로 표현된다. 이와 동시에, 단계 714에서, TCC(수학식 1)가 조명 및 투영 렌즈 모델에 기초하여 생성되고, 단계 716에서, 복잡한 TCC 함수가 고유벡터 및 고유값의 함수를 발생시키는 SVD를 이용하여 함수를 대각화함으로써 단순해진다. 단계 718에서, 단순화된 TCC 함수가, 고유벡터를 단계 712(수학식 4~6)에서 생성된 델타 함수로 대체함으로써 수정된다. 단계 720에서, 하나 이상의 고유값이 TCC를 근사화하기 위하여 선택된다. 만일 선택되는 값이 하나 보다 많다면, 단계 722에서, 역푸리에변환(수학식 9)이 각각의 선택된 고유값에 대하여 계산되고, 그 결과들은 단계 724에서와 같이, SOC(도 3 참조)에 따라 합계된다. 다른 한편으로, 단지 하나의 고유값이 선택된다면, 단계 726에서, 역푸리에변환(수학식9)이 선택된 값에 대하여 계산된다. 단계 724 또는 726 중 어느 하나의 결과들은 기판 상의 조명에 대응하는 에어리얼 이미지 또는 간섭 맵을 나타낸다. 단계 728에서, 간섭 영역들은 간섭 맵 상에서 식별되고, 단계 730에서, 어시스트 피처들은 상기 간섭 영역들에 대응하여 마스크 내에 최적으로 위치된다.
예시
신규 모델링 방법은 전략적인 어시스트 피처 배치를 결정하기 위한 간섭 패턴들을 정확하고도 간단하게 예측한다. 7개의 콘택홀을 가진 마스크, 0.75의 개구수 NA를 가진 Cquad 일루미네이터 및 193nm의 파장 λ을 가진 광원을 가정하자. 우선, 상기 콘택홀들은 수학식 2에 나타낸 바와 도 5에 의해 주기적으로 예시된 바와 같이 임펄스 델타 함수로 대체된다.
Cquad 조명에 대한 고유벡터 Φ(m,n)와 콘볼루션하면, 도 6의 에어리얼 이미지가 발생한다. 거기에는, 사이드 로브(side lobe) 간섭의 다수 영역(60)들이 도시되어 있다. 이에 따라, 사이드 로브 프린팅을 제한하기 위하여 마스크 내의 상기 간섭 영역들에 대응하여 어시스트 피처들이 위치될 수 있다.
도 9는 여기에 기술된 신규 묘화 방법 및 예시에 따라 생성된 마스크를 예시한다. 상기 마스크(90)는 콘택홀(92)과 같은 분해가능한 피처(92) 및 도 6의 에어리얼 이미지로 예시된 간섭 영역(60)들에 대응하여 위치한 복수의 어시스트 피처(94)를 포함한다.
도 10은 Quasar 일루미네이터를 이용하여 7개의 콘택홀 패턴의 에어리얼 이미지를 예시한다. 도 6 및 도 9와 비교하면, 예상하는 바와 같이, 간섭 영역들이Cquad 조명과 Quasar 조명간에 상이하다. 또한, Cquad 조명은 Quasar 조명에 비해 보다 큰 광 세기를 생성한다. 따라서, 가정된 파라미터들을 이용하면, Cquad 조명을 이용하는 것이 보다 우수한 결과를 낳는다.
상술된 모델링 방법은 마스크 디자인을 위한 복수의 어시스트 피처 배치들을 시간에 관계없이 테스트 및 분석할 필요가 없다는 장점을 가진다. 상기 모델링 방법은 사이드 로브 또는 간섭 타입이 특정 일루미네이터에 따라 나타날 것이라는 것을 예측한다. 이에 따라, 어시스트 피처들이 최적으로 또한 전략적으로 배치될 수 있다.
도 11은 본 발명의 도움으로 설계된 마스크를 이용하기에 적합한 리소그래피 투영장치를 개략적으로 도시한다. 상기 장치는,
- 방사선의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL)(이 경우에는 특별히 방사선시스템이 방사원(LA)도 포함한다);
- 마스크(MA)(예를 들어, 레티클)를 유지시키는 마스크 홀더가 마련된, 아이템(PL)에 대하여 마스크를 정확히 위치시키는 제1위치설정수단에 연결된 제1대물테이블(마스크테이블)(MT);
- 기판(W)(예를 들어, 레지스트 코팅된 실리콘 웨이퍼)을 유지시키는 기판 홀더가 마련된, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제2위치설정수단에 연결된 제2대물테이블(기판테이블)(WT);
- 기판(W)의 타겟부(C)(1이상의 다이를 포함)에 마스크(MA)의 조사된 부분을 묘화하는 투영시스템("렌즈")(PL)(예를 들어, 굴절형, 카톱트릭 또는 카타디옵트릭광학 시스템)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 (투과마스크를 구비한) 투과형이다. 하지만, 일반적으로는, 예를 들어 (반사마스크를 구비한) 반사형일 수도 있다. 대안적으로, 상기 장치는 마스크 사용의 대안으로서 또 다른 종류의 패터닝수단을 채용할 수도 있다; 예시는 프로그램가능한 거울 배열 또는 LCD 행렬을 포함한다.
방사원(LA)(예를 들어, 수은 램프 또는 엑시머 레이저)은 방사선의 빔을 생성한다. 상기 빔은 곧바로 조명시스템(일루미네이터)(IL)에 들어 가거나, 예를 들어 빔 익스펜더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명시스템으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기 분포의 외반경 및/또는 내반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다른 다양한 구성요소들을 포함하고 있다. 이러한 방식으로, 마스크(MA)에 도달하는 빔(PB)은 그 단면에 소정의 균일성과 세기 분포를 갖게 된다.
도 11과 관련하여, 상기 방사원(LA)은 리소그패피 투영장치의 하우징내에 놓이지만(흔히 예를 들어, 방사원(LA)이 수은 램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔이 (가령, 적절한 지향 거울에 의해) 장치 내부로 들어오게 할 수도 있다. 후자의 시나리오는 방사원(LA)이 흔히 (예컨대, KrF, ArF 또는 F2레이징에 기초한) 엑시머레이저인 때의 경우이다. 본 발명과 청구 범위는 이들 시나리오를 모두 포함하고 있다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 유지되는 마스크(MA)를 거친다. 상기 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)을 포커스한다. 제2위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 11에 명확히 도시되지는 않았지만, 장행정모듈 (long-stroke module)(개략 위치설정) 및 단행정모듈(미세 위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔툴과는 대조적으로) 웨이퍼스테퍼의 경우에는, 마스크테이블(MT)은 단지 단행정 엑추에이터에 연결되거나 또는 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)에 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 쉬프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
- 스캔 모드에서는, 소정 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동 가능해서,투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도V=Mv로, 동일한 방향 또는 그 반대 방향으로 동시에 이동하는 데, 이 때M은 렌즈(PL)의 배율(통상M=1/4 또는 1/5)이다. 이러한 방식으로, 해상도를 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
여기에 개시된 개념들은 서브 파장 피처들을 묘화하는 소정의 일반 묘화 시스템을 시뮬레이션 또는 수학적으로 모델링할 수 있으며, 특히 현저하게 보다 작은 크기의 파장을 생성할 수 있는 신생 묘화 기술에 유용할 수 있다. 이미 사용 중인 신생 기술들은, ArF 레이저를 사용하여 193nm 파장을 생성할 수 있으며, 심지어는 플루오르 레이저를 사용하여 157nm 파장을 생성할 수도 있는 EUV(극자외선) 리소그래피를 포함한다. 한편, EUV 리소그래피는 싱크로트론을 이용하여 또는 고에너지 전자들을 갖는 재료(고체 또는 플라즈마)를 때림(hitting)으로써 20~5nm 범위 내의 파장을 생성할 수 있으므로, 상기 범위 내의 광자를 생성할 수 있다. 대부분의 재료가 상기 범위 내에서 흡수성이기 때문에, 몰리브덴 및 실리콘의 멀티-스택을 갖는 반사형 거울에 의해 조명이 생성될 수 있다. 상기 멀티-스택 거울은, 각 층의 두께가 1/4 파장인 40층 쌍의 몰리브덴 및 실리콘을 가진다. 훨씬 더 작은 파장은 X-레이 리소그래피로 생성될 수 있다. 통상적으로, 싱크로트론은 X-레이 파장을 생성하는데 사용된다. 대부분의 재료가 x-레이 파장에서 흡수성이므로, 흡수 재료의 얇은 피스(piece)는 피처들이 프린트될 곳이거나(포지티브 레지스트) 프린트되지 않을 곳(네거티브 레지스트)을 정의한다.
여기에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 묘화하는데 이용될수 있지만, 상기 개시된 개념들은 여타의 리소그래피 묘화시스템, 예컨대 실리콘 웨이퍼 이외의 기판 상에 묘화하는데 사용되는 것으로 이용될 수도 있음을 이해하여야 한다.
실행가능한 코드를 포함하는, 프로그래밍을 수반하는 컴퓨터시스템의 소프트웨어 기능성들이 상술된 묘화 모델을 구현하는데 이용될 수 있다. 소프트웨어 코드는 범용 컴퓨터에 의해 실행가능하다. 작동시, 코드 및 관련된 데이터 기록들은 범용 컴퓨터 플랫폼 내에 저장될 수 있다. 하지만, 다른 때에는, 상기 소프트웨어가 다른 장소에 저장되거나 및/또는 적절한 범용 컴퓨터시스템으로의 로딩을 위하여 이동될 수도 있다. 이에 따라, 상술된 실시예들은 하나 이상의 기계-판독가능한 매체에 의해 전달된 코드의 1 이상의 모듈 형태의 1 이상의 소프트웨어 제품을 포함한다. 컴퓨터시스템의 프로세서에 의한 상기 코드의 실행은, 특히 본 명세서에 논의되고 예시된 실시예들에서 수행된 방식으로, 상기 플랫폼이 카탈로그 및/또는 소프트웨어 다운로딩 기능들을 구현하도록 할 수 있다.
여기서, 컴퓨터 또는 기계 "판독가능한 매체"와 같은 용어는, 실행을 위하여 프로세서에 명령어들 제공하는 것에 관여하는 소정의 매체를 칭한다. 이러한 매체는 여러 형태를 취하는데, 비휘발성 매체, 휘발성 매체 및 전송 매체들을 포함하기는 하지만, 여기에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 상술된 서버 플랫폼 중 하나로서 작동하는 소정의 컴퓨터(들)내의 소정의 기억장치와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 상기 컴퓨터 플랫폼의 메인 메모리와 같은 다이내믹 메모리를 포함한다. 물리적인 전송 매체는 컴퓨터시스템 내에 버스를 포함하는 와이어를 포함하는 섬유 다발, 구리선 및 동축케이블 등을 포함한다. 반송파(carrier-wave) 전송 매체는 전기 신호나 전자기 신호 또는 무선 주파수(RF) 및 적외(IR) 데이터 통신 시에 생성되는 것과 같은 탄성파 또는 광파의 형태를 취할 수 있다. 그러므로 컴퓨터-판독가능한 매체의 일반적인 형태들은 예컨대: 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 여타의 자기 매체, CD-ROM, DVD, 여타의 광학매체를 포함하며, 흔하지는 않지만 펀치 카드, 페이퍼 테잎(paper tape), 구멍들의 패턴을 갖는 여타의 물리적인 매체, RAM, PROM, EPROM, FLASH-EPROM, 여타의 메모리 칩이나 카트리지, 반송파 전달 데이터나 명령어, 상기 반송파를 전달하는 케이블이나 링크, 또는 컴퓨터가 프로그래밍 코드 및/또는 데이터를 판독할 수 있는 여타의 매체를 포함한다. 이들 컴퓨터 판독가능한 매체의 여러 형태들은 실행을 위하여 프로세서에 1 이상의 명령어의 1 이상의 시퀀스 전달 시에 수반될 수 있다.
지금까지 본 발명을 상세히 기술 및 예시하였지만, 본 발명은 예시 및 실시예에 의해서만 제한되는 것은 아니며, 본 발명의 범위는 첨부된 청구항의 용어에 의해서만 제한되는 것이 자명하다.
본 발명에 따르면, 기판의 표면내에 형성되는 패턴의 조명 프로파일을 최적화하는 방법을 제공하며, 또한 기판의 표면내에 형성되는 분해가능한 피처의 패턴의 조명 프로파일을 최적화하는 방법을 제공할 수 있다.

Claims (18)

  1. 기판의 표면에 형성될 패턴의 조명 프로파일을 최적화하는 방법에 있어서,
    일루미네이터에 대응하는 투영 퓨필 및 조명 퓨필에 따라 결정된 투과교차계수("TCC") 함수를 정의하는 단계;
    하나 이상의 임펄스 함수에 의해 상기 기판 상에 프린트될 마스크의 하나 이상의 분해가능한 피처를 나타내는 단계; 및
    상기 하나 이상의 임펄스 함수 및 상기 TCC 함수에 기초하여 소정 차수의 간섭 맵을 작성하는 단계를 포함하여 이루어지고, 상기 간섭 맵은 상쇄 간섭 영역 및 상기 기판 상에 프린트될 하나 이상의 분해가능한 피처를 나타내는 것을 특징으로 하는 조명 프로파일 최적화 방법.
  2. 제1항에 있어서,
    상기 상쇄 간섭 맵의 영역에 대응하여 상기 마스크 내에 어시스트 피처를 배치하는 단계를 특징으로 하는 조명 프로파일 최적화 방법.
  3. 제2항에 있어서,
    상기 어시스트 피처는 분해가능하지 않은 것을 특징으로 하는 조명 프로파일 최적화 방법.
  4. 제1항에 있어서,
    상기 간섭 맵은 상기 기판 상에 입사하는 광 세기를 모델링하는 것을 특징으로 하는 조명 프로파일 최적화 방법.
  5. 제4항에 있어서,
    상기 상쇄 간섭 영역에 대응하여 소정 레벨의 광 세기를 갖는 상기 간섭 맵 상의 영역에 대응하는 상기 마스크의 영역 상에 하나 이상의 어시스트 피처를 배치하는 단계를 더 포함하는 것을 특징으로 하는 조명 프로파일 최적화 방법.
  6. 제5항에 있어서,
    상기 소정 레벨은 분해가능한 광 세기에 대응하는 것을 특징으로 하는 조명 프로파일 최적화 방법.
  7. 제1항에 있어서,
    상기 간섭 맵은 상기 기판 상에 입사하는 광 세기의 변화를 나타내는 것을 특징으로 하는 조명 프로파일 최적화 방법.
  8. 기판의 표면에 형성될 분해가능한 피처의 패턴의 조명 프로파일을 최적화하는 방법에 있어서,
    상기 기판에 형성될 분해가능한 피처의 패턴을 나타내는 임펄스 함수 및 투과교차계수함수에 따라, 2개 이상의 축을 갖는, 데카르트 좌표 간섭 맵을 작성하는 단계를 포함하고, 상기 간섭 맵은 상기 형성될 분해가능한 피처들의 패턴 및 하나 이상의 간섭 영역을 나타내며, 상기 하나 이상의 간섭 영역은, 상기 형성될 패턴의 중심에 그 원점을 갖는 2개 이상의 축에 대하여 각을 이루고, 상기 간섭 맵의 상기 2개 이상의 축에 대하여 평행하며,
    상기 맵을 기초로 하여, 상기 하나 이상의 간섭 영역에 대응하여 상기 마스크의 영역 상에 어시스트 피처를 배치시키는 단계를 포함하는 것을 특징으로 하는 조명 프로파일 최적화 방법.
  9. 제8항에 있어서,
    상기 어시스트 피처는 분해가능하지 않은 것을 특징으로 하는 조명 프로파일 최적화 방법.
  10. 하나 이상의 기계 판독가능한 매체에 의해 전달될 수 있는 실행가능한 코드를 포함하는 프로그램물에 있어서,
    하나 이상의 프로그램가능한 컴퓨터에 의한 상기 코드의 실행은, 상기 하나 이상의 프로그램가능한 컴퓨터가 기판의 표면에 형성될 패턴의 조명 프로파일을 최적화하기 위한 일련의 단계들을 수행하도록 하며, 상기 단계는,
    일루미네이터에 대응하는 투영 퓨필 및 조명 퓨필에 따라 결정된 투과교차계수("TCC")함수를 정의하는 단계;
    하나 이상의 임펄스 함수에 의해 상기 기판 상에 프린트될 마스크의 하나 이상의 분해가능한 피처를 나타내는 단계; 및
    상기 하나 이상의 임펄스 함수 및 상기 TCC 함수에 기초하여, 소정 차수의 간섭 맵을 생성하는 단계를 포함하여 이루어지고, 상기 간섭 맵은 상쇄 간섭 영역 및 상기 기판 상에 프린트될 상기 하나 이상의 분해가능한 피처를 나타내는 것을 특징으로 하는 프로그램물.
  11. 제10항에 있어서,
    상기 간섭 맵에 의해 나타낸 상쇄 간섭 영역에 대응하여 상기 마스크 내에 어시스트 피처 배치를 정의하는 것을 특징으로 하는 프로그램물.
  12. 서브-파장 콘택홀을 묘화하는 방법에 있어서,
    일루미네이터에 대응하는 투영 퓨필 및 조명 퓨필에 따라 결정된 투과교차계수("TCC")함수를 정의하는 단계;
    하나 이상의 임펄스 함수에 의해 기판 상에 프린트될 마스크의 하나 이상의 콘택홀을 나타내는 단계; 및
    상기 하나 이상의 임펄스 함수 및 상기 TCC 함수에 기초하여, 소정 차수의 간섭 맵을 작성하는 단계를 포함하여 이루어지고, 상기 간섭 맵은 상쇄 간섭 영역 및 상기 기판에 프린트될 상기 하나 이상의 콘택홀을 나타내는 것을 특징으로 하는 묘화 방법.
  13. 제12항에 있어서,
    상기 상쇄 간섭 맵의 영역에 대응하여 상기 마스크 내에 어시스트 피처를 배치하는 단계를 특징으로 하는 묘화 방법.
  14. 제13항에 있어서,
    상기 어시스트 피처는 분해가능하지 않은 것을 특징으로 하는 묘화 방법.
  15. 제12항에 있어서,
    상기 간섭 맵은 상기 기판 상에 입사하는 광 세기를 모델링하는 것을 특징으로 하는 묘화 방법.
  16. 제15항에 있어서,
    상기 상쇄 간섭 영역에 대응하여 소정 레벨의 광 세기를 갖는 상기 간섭 맵 상의 영역에 대응하는 상기 마스크의 영역 상에 하나 이상의 어시스트 피처를 배치하는 단계를 더 포함하는 것을 특징으로 하는 묘화 방법.
  17. 제15항에 있어서,
    상기 소정 레벨은 분해가능한 광 세기에 대응하는 것을 특징으로 하는 묘화 방법.
  18. 제12항에 있어서,
    상기 간섭 맵은 상기 기판 상에 입사하는 광 세기의 변화를 나타내는 것을 특징으로 하는 묘화 방법.
KR1020040002651A 2003-01-14 2004-01-14 콘택홀 마스크를 위한 광근접성보정설계 방법 KR100719154B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US43980803P 2003-01-14 2003-01-14
US60/439,808 2003-01-14
US53065603P 2003-12-19 2003-12-19
US60/530,656 2003-12-19

Publications (2)

Publication Number Publication Date
KR20040065185A true KR20040065185A (ko) 2004-07-21
KR100719154B1 KR100719154B1 (ko) 2007-05-17

Family

ID=33422985

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040002651A KR100719154B1 (ko) 2003-01-14 2004-01-14 콘택홀 마스크를 위한 광근접성보정설계 방법

Country Status (7)

Country Link
US (1) US7594199B2 (ko)
EP (1) EP1439420A1 (ko)
JP (1) JP3992688B2 (ko)
KR (1) KR100719154B1 (ko)
CN (1) CN1573554A (ko)
SG (1) SG139530A1 (ko)
TW (1) TWI277827B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100889124B1 (ko) * 2006-07-12 2009-03-16 캐논 가부시끼가이샤 원판 데이터 작성 방법 및 원판 데이터 작성 프로그램

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7480889B2 (en) * 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
JP4563746B2 (ja) * 2003-06-30 2010-10-13 エーエスエムエル マスクツールズ ビー.ブイ. イメージ・フィールド・マップを利用して補助フィーチャを生成するための、方法、プログラム製品及び装置
US7231629B2 (en) * 2003-10-31 2007-06-12 Asml Masktools B.V. Feature optimization using enhanced interference mapping lithography
JP4524174B2 (ja) 2003-11-05 2010-08-11 エーエスエムエル マスクツールズ ビー.ブイ. 固有分解に基づくopcモデル
US7506299B2 (en) 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
JP2007534166A (ja) * 2004-04-14 2007-11-22 ライテル・インストルメンツ 射出瞳透過率を計測する方法および装置
US6977715B2 (en) * 2004-05-19 2005-12-20 Nanya Technology Corp. Method for optimizing NILS of exposed lines
US7620930B2 (en) * 2004-08-24 2009-11-17 Asml Masktools B.V. Method, program product and apparatus for model based scattering bar placement for enhanced depth of focus in quarter-wavelength lithography
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7271907B2 (en) * 2004-12-23 2007-09-18 Asml Netherlands B.V. Lithographic apparatus with two-dimensional alignment measurement arrangement and two-dimensional alignment measurement method
US7509621B2 (en) 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
SG125232A1 (en) 2005-02-23 2006-09-29 Asml Masktools Bv Method, program product and apparatus for optimizing illumination for full-chip layer
US7317506B2 (en) * 2005-03-29 2008-01-08 Asml Netherlands B.V. Variable illumination source
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7424699B2 (en) * 2005-06-10 2008-09-09 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques
WO2007018464A2 (en) * 2005-08-08 2007-02-15 Micronic Laser Systems Ab Method and apparatus for projection printing
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US20070048669A1 (en) * 2005-08-26 2007-03-01 Te-Hung Wu Method of forming the photo resist feature
US20070046917A1 (en) 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP5405109B2 (ja) * 2005-09-13 2014-02-05 ルミネセント テクノロジーズ インコーポレイテッド フォトリソグラフィのためのシステム、マスク、及び方法
JP4784220B2 (ja) * 2005-09-14 2011-10-05 凸版印刷株式会社 位相シフトマスク
WO2007041602A2 (en) 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Lithography verification using guard bands
US7921385B2 (en) 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
WO2007041701A2 (en) 2005-10-04 2007-04-12 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
WO2007044557A2 (en) 2005-10-06 2007-04-19 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
KR20080106293A (ko) * 2006-02-24 2008-12-04 마이크로닉 레이저 시스템즈 에이비 광학적 근접도 교정 방법
JP2008076683A (ja) 2006-09-20 2008-04-03 Canon Inc 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
JP4804294B2 (ja) * 2006-09-20 2011-11-02 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
US7617475B2 (en) 2006-11-13 2009-11-10 United Microelectronics Corp. Method of manufacturing photomask and method of repairing optical proximity correction
US7882480B2 (en) * 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
KR101096145B1 (ko) * 2007-06-04 2011-12-19 에이에스엠엘 네델란즈 비.브이. 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
JP4484909B2 (ja) * 2007-07-24 2010-06-16 キヤノン株式会社 原版データ作成方法、原版作成方法、露光方法および原版データ作成プログラム
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US8028252B2 (en) * 2007-09-14 2011-09-27 Luminescent Technologies Inc. Technique for determining mask patterns and write patterns
JP2009093138A (ja) * 2007-09-19 2009-04-30 Canon Inc 原版データの生成方法、原版作成方法、露光方法、デバイス製造方法及び原版データを作成するためのプログラム
EP2040120B1 (en) 2007-09-19 2011-03-02 Canon Kabushiki Kaisha Mask data generation method, mask fabrication method, exposure method, device fabrication method, and program
JP4402145B2 (ja) 2007-10-03 2010-01-20 キヤノン株式会社 算出方法、生成方法、プログラム、露光方法及び原版作成方法
JP2009194107A (ja) * 2008-02-13 2009-08-27 Canon Inc 有効光源形状のデータベースの生成方法、光学像の算出方法、プログラム、露光方法及びデバイス製造方法
JP5106220B2 (ja) 2008-04-10 2012-12-26 キヤノン株式会社 原版データ生成プログラム、原版データ生成方法、照明条件決定プログラム、照明条件決定方法およびデバイス製造方法
JP5300354B2 (ja) * 2008-07-11 2013-09-25 キヤノン株式会社 生成方法、原版作成方法、露光方法、デバイス製造方法及びプログラム
JP5086926B2 (ja) * 2008-07-15 2012-11-28 キヤノン株式会社 算出方法、プログラム及び露光方法
JP5159501B2 (ja) * 2008-08-06 2013-03-06 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイス製造方法
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
JP5607308B2 (ja) 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP2010165856A (ja) * 2009-01-15 2010-07-29 Canon Inc 決定方法、露光方法、デバイスの製造方法及びプログラム
JP5607348B2 (ja) 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
CN101957555B (zh) * 2009-07-16 2012-10-03 中芯国际集成电路制造(上海)有限公司 掩模版图修正方法、掩模版制作方法和光学邻近校正方法
JP2011028098A (ja) * 2009-07-28 2011-02-10 Toshiba Corp パターン評価方法、パターン作成方法およびパターン評価プログラム
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
JP2011059513A (ja) * 2009-09-11 2011-03-24 Toshiba Corp パターン作成方法、マスクの製造方法および半導体デバイスの製造方法
JP5185235B2 (ja) * 2009-09-18 2013-04-17 株式会社東芝 フォトマスクの設計方法およびフォトマスクの設計プログラム
JP4921536B2 (ja) * 2009-10-26 2012-04-25 キヤノン株式会社 プログラム及び算出方法
US8797721B2 (en) 2010-02-02 2014-08-05 Apple Inc. Portable electronic device housing with outer glass surfaces
JP5279745B2 (ja) * 2010-02-24 2013-09-04 株式会社東芝 マスクレイアウト作成方法、マスクレイアウト作成装置、リソグラフィ用マスクの製造方法、半導体装置の製造方法、およびコンピュータが実行可能なプログラム
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
CN102193306B (zh) * 2010-03-11 2012-09-05 中芯国际集成电路制造(上海)有限公司 设计光掩膜版的方法
JP5450262B2 (ja) * 2010-05-28 2014-03-26 株式会社東芝 補助パターン配置方法、プログラムおよびデバイス製造方法
JP5603685B2 (ja) 2010-07-08 2014-10-08 キヤノン株式会社 生成方法、作成方法、露光方法、デバイスの製造方法及びプログラム
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
JP5811491B2 (ja) * 2011-04-12 2015-11-11 株式会社ニコン 顕微鏡及びそのプログラム
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8846273B2 (en) 2012-06-04 2014-09-30 Micron Technology, Inc. Photomasks, methods of forming a photomask, and methods of photolithographically patterning a substrate
US9857676B2 (en) 2013-05-27 2018-01-02 International Business Machines Corporation Method and program product for designing source and mask for lithography
JP6192372B2 (ja) * 2013-06-11 2017-09-06 キヤノン株式会社 マスクパターンの作成方法、プログラムおよび情報処理装置
JP6238687B2 (ja) 2013-11-12 2017-11-29 キヤノン株式会社 マスクパターン作成方法、光学像の計算方法
KR102335186B1 (ko) * 2014-12-24 2021-12-03 삼성전자주식회사 렌즈 조립체, 이를 이용한 장애물 감지유닛, 및 이를 구비한 이동로봇
CN205556762U (zh) * 2016-05-05 2016-09-07 鄂尔多斯市源盛光电有限责任公司 掩膜板、母板、掩膜板制造设备和显示基板蒸镀系统
CN108153115A (zh) * 2017-12-19 2018-06-12 上海集成电路研发中心有限公司 极紫外光刻掩模、其制作方法及生成掩模图案的方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04216548A (ja) 1990-12-18 1992-08-06 Mitsubishi Electric Corp フォトマスク
US5242770A (en) * 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
US5446521A (en) * 1993-06-30 1995-08-29 Intel Corporation Phase-shifted opaquing ring
KR960002536A (ko) * 1994-06-29 1996-01-26
US5532090A (en) * 1995-03-01 1996-07-02 Intel Corporation Method and apparatus for enhanced contact and via lithography
US5682323A (en) * 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5595843A (en) * 1995-03-30 1997-01-21 Intel Corporation Layout methodology, mask set, and patterning method for phase-shifting lithography
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
EP0824722B1 (en) * 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US5707765A (en) * 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
DE69717975T2 (de) * 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
USRE40043E1 (en) 1997-03-10 2008-02-05 Asml Netherlands B.V. Positioning device having two object holders
US6223139B1 (en) 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
JP3275863B2 (ja) 1999-01-08 2002-04-22 日本電気株式会社 フォトマスク
US6263299B1 (en) * 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US6214497B1 (en) 1999-06-29 2001-04-10 Micron Technology, Inc. Method to eliminate side lobe printing of attenuated phase shift masks
JP4590146B2 (ja) * 2000-02-14 2010-12-01 エーエスエムエル マスクツールズ ビー.ブイ. フォトマスクの幾何形状を改良する方法
US6303253B1 (en) 2000-03-16 2001-10-16 International Business Machines Corporation Hierarchy and domain-balancing method and algorithm for serif mask design in microlithography
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6777141B2 (en) 2000-07-05 2004-08-17 Numerical Technologies, Inc. Phase shift mask including sub-resolution assist features for isolated spaces
US6503666B1 (en) 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
TW479157B (en) * 2000-07-21 2002-03-11 Asm Lithography Bv Mask for use in a lithographic projection apparatus and method of making the same
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6901575B2 (en) 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US6519760B2 (en) 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4266082B2 (ja) * 2001-04-26 2009-05-20 株式会社東芝 露光用マスクパターンの検査方法
US7030997B2 (en) * 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
JP3592666B2 (ja) * 2001-12-04 2004-11-24 株式会社東芝 露光用マスクパターンの補正方法、プログラム、マスクパターン形成方法、及び半導体装置の製造方法
US6749970B2 (en) 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
US7023528B2 (en) 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
US6807662B2 (en) 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
US7266480B2 (en) 2002-10-01 2007-09-04 The Regents Of The University Of California Rapid scattering simulation of objects in imaging using edge domain decomposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100889124B1 (ko) * 2006-07-12 2009-03-16 캐논 가부시끼가이샤 원판 데이터 작성 방법 및 원판 데이터 작성 프로그램

Also Published As

Publication number Publication date
US20040229133A1 (en) 2004-11-18
US7594199B2 (en) 2009-09-22
JP3992688B2 (ja) 2007-10-17
CN1573554A (zh) 2005-02-02
KR100719154B1 (ko) 2007-05-17
TW200502705A (en) 2005-01-16
JP2004221594A (ja) 2004-08-05
TWI277827B (en) 2007-04-01
EP1439420A1 (en) 2004-07-21
SG139530A1 (en) 2008-02-29

Similar Documents

Publication Publication Date Title
KR100719154B1 (ko) 콘택홀 마스크를 위한 광근접성보정설계 방법
KR100760037B1 (ko) 소스 및 마스크 최적화 방법
KR100860329B1 (ko) 이웃 영향을 팩터링하는 모델 기반 광 근접성 보정을수행하는 장치, 방법 및 컴퓨터 프로그램물
JP5121117B2 (ja) 強度プロフィールを最適化する方法及びプログラム
KR101096143B1 (ko) Na-시그마 노광세팅 및 디바이스 레이아웃을 이용하는스캐터링 바아 opc에 대한 동시 최적화 방법,프로그램물 및 장치
KR100824031B1 (ko) 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
KR100792808B1 (ko) 딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성피처들을 제공하는 방법 및 장치
KR100457839B1 (ko) 규정된 래더바를 서브-해상도 어시스트피처로 활용하는광근접성교정방법
KR100592580B1 (ko) 쌍극조명에 사용하기 위한 모델-기반 레이아웃 변환을수행하는 방법 및 장치
KR101527496B1 (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
EP1513012B1 (en) Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
KR100865768B1 (ko) 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치
EP1630601A2 (en) A mask manufacturing method, a method for optical proximity correction, a device manufacturing method, a computer program and a computer readable storage medium
KR100927455B1 (ko) 간섭 매핑 리소그래피를 이용한 피처 최적화 방법
US7376930B2 (en) Method, program product and apparatus for generating assist features utilizing an image field map
KR100592575B1 (ko) 조명 소스 최적화에 의해 렌즈 수차 보상을 제공하는 방법및 장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee