KR100865768B1 - 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치 - Google Patents

다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치 Download PDF

Info

Publication number
KR100865768B1
KR100865768B1 KR1020070034402A KR20070034402A KR100865768B1 KR 100865768 B1 KR100865768 B1 KR 100865768B1 KR 1020070034402 A KR1020070034402 A KR 1020070034402A KR 20070034402 A KR20070034402 A KR 20070034402A KR 100865768 B1 KR100865768 B1 KR 100865768B1
Authority
KR
South Korea
Prior art keywords
mask
horizontal
vertical
low contrast
generating
Prior art date
Application number
KR1020070034402A
Other languages
English (en)
Other versions
KR20070100182A (ko
Inventor
두안-푸 스티븐 흐스
박상봉
더글라스 판 덴 브로에크
장 풍 첸
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20070100182A publication Critical patent/KR20070100182A/ko
Application granted granted Critical
Publication of KR100865768B1 publication Critical patent/KR100865768B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature

Abstract

본 발명은 다크 필드 더블 이중극 이미징 프로세스에서 사용하기 위한 상보적 마스크들을 생성시키는 방법에 관한 것이다. 상기 방법은, 수평방향 및 수직방향 피처들을 포함하는 복수의 피처들을 갖는 타겟 패턴을 식별하는 단계; 상기 타겟 패턴을 기초로 하여 저 콘트라스트 피처들을 포함하는 수평방향 마스크를 생성시키는 단계를 포함한다. 상기 수평방향 마스크를 생성시키는 단계는 상기 수평방향 마스크에 포함되는 상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계; 및 상기 수평방향 마스크에 스캐터 바아들을 적용하는 단계를 포함한다. 상기 방법은 또한, 상기 타겟 패턴을 기초로 하여 저 콘트라스트 피처들을 포함하는 수직방향 마스크를 생성시키는 단계를 더 포함한다. 상기 수직방향 마스크를 생성시키는 단계는 상기 수직방향 마스크에 포함되는 상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계; 및 상기 수직방향 마스크에 스캐터 바아들을 적용시키는 단계를 포함한다.

Description

다크 필드 더블 이중극 리소그래피(DDL)를 수행하는 방법 및 장치{METHOD AND APPARATUS FOR PERFORMING DARK FIELD DOUBLE DIPOLE LITHOGRAPHY(DDL)}
도 1a는 통상적인 IC 디자인에서 발견되는 다양한 구성요소들에 대한 정규화된 디자인 룰 요건들을 예시한 도;
도 1b는 상이한 k1 조건들 하에 마스크 CD가 45 nm로부터 100 nm까지 변하는 경우 45 nm 하프 피치에 대한 다양한 조명 조건들에 대해 생성된 NILS를 예시한 도;
도 2a-2f는 클리어 필드 이미징과 다크 필드 이미징 상의 조명의 임팩트를 비교한 도;
도 3은 예시적인 1차원 마스크 격자를 예시한 도;
도 4a 및 4b는 70 nm 라인(즉, 클리어 필드) 및 70 nm 공간(다크 필드)과 연관된 예시적인 회절 패턴들을 예시한 도;
도 4c 및 도 4d는 도 4a 및 4b의 70 nm 라인(즉, 클리어 필드) 및 70 nm 공간(다크 필드)과 연관된 클리어 필드 마스크 및 다크 필드 마스크에 대해 대응되는 에어리얼 이미지(areal image)들을 각각 예시한 도;
도 4e는 도 4a 및 4b와 연관된 70 nm 라인(클리어 필드) 및 공간(다크 필드) 에 대해 프린팅하기 위한 각각의 NILS, 최소 또는 최대 세기(Imin/Imax), 및 세기 임계 값을 각각 나타낸 도;
도 5는 175 nm 피치에서 70 nm의 CD를 갖는 피처에 대한 라인 및 공간(즉, 라인 또는 트렌치(trench))에 대하여 렌즈 퓨필에 의해 캡처링되는 정규화된 에너지를 예시한 예시;
도 6은 엄격한(rigorous) EMF 대 스칼라 모델에 대한 싱글 사이드(sided) 다크 필드(SB)와 고립된 트렌치의 생성된 에어리얼 이미지의 비교를 나타낸 도;
도 7a는 환형 조명을 활용하는 트렌치의 시뮬레이팅된 에어리얼 이미지를 예시한 도;
도 7b-7e는 본 발명에 따른 더블 이중극 다크 필드 이미징 프로세스의 시뮬레이팅된 에어리얼 이미지를 예시한 도;
도 8은 본 발명에 따른 다크 필드 더블 이중극 리소그래피와 함께 사용하기 위하여 타겟 패턴을 수직방향 마스크 및 수평방향 마스크로 분해하는 프로세스의 예시적 플로우 차트;
도 9a-9j는 도 8의 프로세스를 활용하여 어떻게 마스크가 생성되는지의 예시를 나타낸 도;
도 10은 본 발명의 레이아웃 전환 방법의 실제적인 예시 및 생성된 이미지를 예시한 도;
도 11은 본 발명의 분해 방법을 구현하기 위한 예시적 프로세싱 시스템을 나 타낸 도;
도 12는 본 발명의 도움으로 설계된 마스크들과 함께 사용하기에 적합한 리소그래피 투영장치를 개략적으로 나타낸 도이다.
본 출원, 및 그로부터 발행되는 특허(들)은 본 명세서에서 인용 참조되는, 2006년 4월 6일에 "Method For Performing Dark Field Double Dipole Lithography(DDL)"라는 제목으로 출원된 미국 임시 특허출원 제 60/789,560 호에 대한 우선권을 청구한다.
본 발명은 더블 이중극 리소그래피에서 사용하기 위한 마스크들을 형성하는 신규 프로세스를 제공하며, 보다 특별하게는 다크 필드 더블 이중극 리소그래피 프로세스에서 사용하기 위한 마스크들을 형성하는 방법을 제공한다. 또한, 본 발명은 방사선 투영빔을 제공하는 방사선 시스템; 상기 투영빔을 패터닝하는 역할을 하는 레티클을 잡아주는 마스크 테이블; 기판을 잡아주는 기판테이블; 및 상기 패터닝된 투영빔을 상기 기판의 타겟부 상으로 투영하는 투영시스템을 포함하는 리소그래피 장치를 사용하는 디바이스 제조방법에 관한 것이다.
리소그래피 투영장치(툴들)는 예를 들어, 집적회로(ICs)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로패턴을 포함하고, 이 패턴은 방사선감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 하나 이상의 다이로 구성)상으로 이미징될 수 있다. 일반적으로, 단일 웨이퍼는 투영시스템에 의해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영장치에서, 타겟부 상에 전체 마스크 패턴을 한번에 노광함으로써 각각의 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)라 불리는 대안적인 장치에서, 투영빔 하에서 주어진 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하면서, 상기 방향과 평행하게 또는 반평행하게 기판 테이블을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는데; 일반적으로 투영시스템이 배율인자(M)(일반적으로<1)를 가지므로, 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자(M) 배가 된다. 여기에 기술된 리소그래피 장치에 관련된 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 사용하는 제조 프로세스에서, 마스크 패턴은 적어도 부분적으로 방사선감응재(레지스트)층으로 도포된 기판상에 이미징된다. 이러한 이미징 단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅, 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광후 베이크(PEB), 현상, 하드 베이크 및 이미징된 피처(imaged feature)의 측정/검사와 같은 기타 절차를 거칠 수 있다. 이러한 일련의 절차는, 예를 들어 IC와 같은 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음, 이러한 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 개별층을 마무 리하기 위한 다양한 프로세스를 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 절차 또는 그 변형 절차가 반복되어져야만 할 것이다. 결국, 디바이스의 배열이 기판(웨이퍼)상에 존재하게 될 것이다. 그 다음, 이들 디바이스가 다이싱 또는 소잉 등의 기술에 의해 서로 격리된다. 그 후, 각각의 디바이스는 캐리어에 탑재되고, 핀 등에 접속될 수 있다. 이러한 프로세스들에 관한 추가 정보는 예를 들어, 본 명세서에서 인용 참조되는 "Microchip Fabrication : A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill 출판사, 1997, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
상기 리소그래피 툴은 2 개 이상의 기판 테이블 (및/또는 두개 이상의 마스크 테이블)을 구비하는 형태가 될 수 있다. 이러한 "다수 스테이지" 디바이스들에서, 추가적인 테이블들이 병행하여 사용될 수 있거나, 하나 이상의 다른 테이블들이 노광을 위하여 사용되고 있는 동안에 하나 이상의 테이블에서 준비단계가 수행될 수 있다. 트윈 스테이지 리소그래피 툴들은 예를 들어, 본 명세서에서 인용 참조되는 US 제5,969,441호 및 WO 98/40791 호에 개시되어 있다.
상술된 포토리소그래피 마스크는 실리콘 웨이퍼 상으로 집적되는 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 형성하는데 사용되는 상기 패턴들은, CAD(컴퓨터 지원 설계 : computer-aided design) 프로그램을 사용하여 생성될 수 있고, 이 프로세스는 종종 EDA(전자설계 자동화: electronic design automation)로 언급된다. 대부분의 CAD 프로그램은 기능적인 마스크를 형성하기 위해 사전설정된 디자인 룰의 세트를 따른다. 이들 룰은 처리 및 디자인 제한 에 의해 설정된다. 예를 들어, 디자인 룰들은, 회로 디바이스들(게이트들, 캐패시터들 등과 같은) 또는 상호접속 라인들 사이의 간격 허용오차를 정의하여, 상기 회로 디바이스들 또는 라인들이 서로 바람직하지 않은 방식으로 상호 작용하지 않도록 한다.
물론, 집적 회로 제작에 있어서의 목적들 중 하나는 (마스크를 통해) 웨이퍼 상에 원래 회로 디자인을 충실하게 재현(faithfully reproduce)하는 것이다. 또 다른 목적은 가능한 한 반도체 웨이퍼의 가용 영역(real estate)의 많은 부분을 사용하도록 하는 것이다. 하지만, 집적 회로의 크기가 축소되고 그것의 밀도가 증가됨에 따라, 대응되는 마스크 패턴의 CD(임계 치수)는 광학 노광 툴의 분해능의 한계에 이르고 있다. 노광 툴에 대한 분해능은 노광 툴이 웨이퍼 상에서 반복적으로 노광할 수 있는 최소 피처로서 정의된다. 현재 노광 기구의 분해능 값은 많이 진보된 IC 회로 디자인들에 대한 CD에 제약을 가한다(constrain).
또한, 반도체 디바이스들의 훨씬 더 높은 성능에 대한 요구가 계속됨에 따라, 디자인 룰의 수축 속도(shrink rate)는 노광 파장의 저감 및 높은 개구수(NA) 렌즈의 진보 둘 모두의 발전에 앞서고 있다. 이 인자는 광학 리소그래피가 수 년 전 가능할 것으로 생각했던 한계를 넘어설 수 있도록 리소그래퍼들에게 도전의식을 부여하였다. 알 수 있는 바와 같이, RET(Resolution Enhancement Technique)는 저 k1 광학 리소그래피에서 긴요해졌다. 렌즈 퓨필에서 대칭의 0차 및 1차의 차수들을 갖는 2-빔 이미징을 사용하는 강한 오프-액시스 조명(Off-axis illumination:OAI) 은 분해능 및 콘트라스트를 크게 개선시킬 수 있다. 이중극 조명은 OAI의 가장 극단적인 경우이며, 매우 낮은 k1 이미징을 위해 향상된 프로세스 관용도를 갖는 더 나은 이미징 콘트라스트를 제공할 수 있다.
통상적으로, 이중극 조명을 활용하는 현재의 기술들은, 제 1 노광이 제 1 방향으로 배향되는 피처들(즉, 수평방향으로 배향된 피처들)을 이미징하는데 활용되고 제 2 노광이 제 1 방향으로 배향되는 피처들(즉, 수직방향으로 배향되는 피처들)을 이미징하는데 활용되는 다중 노광 프로세스의 사용을 포괄한다. 이는 타겟 패턴을, 예를 들어 각각 수평방향 및 수직방향의 방위들을 갖는 2 개의 마스크들로 전환시킴으로써 달성된다. 타겟 패턴이 이러한 방식으로 전환되면, 수평방향으로 배향되는 피처들을 이미징하는데 y-이중극 노광이 사용되고, 수직방향으로 배향된 피처들을 이미징하는데 x-이중극 노광이 활용된다.
알려진 이중극 이미징 기술들은 클리어 필드 마스크를 활용하므로, 더블 이중극 조명의 한가지 중요한 사항은 수평방향으로 배향된 피처들을 이미징할 경우, 수직방향으로 배향된 피처들이 열화되지 않도록 수직방향으로 배향된 피처들이 보호되어(즉, 실딩되어(shielded))야 한다는 것이다. 수직방향으로 배향된 피처들이 이미징되는 경우(즉, 수평방향으로 배향된 피처들이 보호되어야 하는 경우) 그 반대가 된다. 이 실딩 요건은 마스킹의 복잡성(complication)들을 마스킹하고 이미징 프로세스의 전체 성능을 제한하도록 유도될 수 있다.
따라서, 더블 이중극 리소그래피 프로세스에서 클리어 필드 마스크들을 활용하는 경우 필요한 실딩 요건들로부터 초래되는 복잡성들을 제거하기 위하여, 다크 필드 마스크를 활용하여 더블 이중극 리소그래피를 수행할 수 있도록 하는 방법이 요구된다.
앞선 요구들을 해결하기 위한 노력에 있어, 본 발명의 일 목적은 다크 필드 더블 이중극 리소그래피를 수행하는 방법을 제공하는 것이다. 상술된 바와 같이, 이미징 프로세스에서 다크 필드를 활용함으로써, 클리어 필드 이미징 프로세스를 활용하는 경우 이미 이미징된 피처들을 실딩하는 것과 연관된 이슈들을 제거하는 것이 가능하다.
보다 특별하게는, 본 발명은 다크 필드 더블 이중극 이미징 프로세스에서 사용하기 위한 상보적(complementary) 마스크들을 생성하는 방법에 관한 것이다. 상기 방법은: 수평방향 및 수직방향 피처들을 포함하는 복수의 피처들을 갖는 타겟 패턴을 식별하는 단계; 상기 타겟 패턴을 기초로 하여 수평방향 마스크를 생성하는 단계를 포함하며, 여기서 수평방향 마스크는 저 콘트라스트 수직방향 피처들을 포함한다. 수평방향 마스크의 생성은 수평방향 마스크에 포함되는 저 콘트라스트 수직방향 피처들의 바이어스를 최적화시키는 단계; 및 수평방향 마스크에 스캐터 바아들을 적용하는 단계를 포함한다. 상기 방법은 타겟 패턴을 기초로 하여 수직방향 마스크를 생성시키는 단계를 더 포함하며, 여기서 저 콘트라스트 수평방향 피처들이 저 콘트라스트 수평방향 피처들을 포함한다. 수직방향 마스크의 생성은 수직방향 마스크에 포함되는 저 콘트라스트 수평방향 피처들의 바이어스를 최적화시키는 단계; 및 수직방향 마스크에 스캐터 바아들을 적용시키는 단계를 포함한다.
본 발명은 또한 프로세서에 의한 실행을 위해 프로그램 명령어들을 저장하도록 구성되는 컴퓨터 판독가능 매체(computer readable medium)에 관한 것이다. 프로그램 명령어들은 프로세서가 다크 필드 더블 이중극 이미징 프로세스에서 사용하기 위한 상보적 마스크들에 대응되는 파일들을 생성할 수 있도록 한다. 파일들의 생성은 수평방향 및 수직방향 피처들을 포함하는 복수의 피처들을 갖는 타겟 패턴을 식별하는 단계; 상기 타겟 패턴을 기초로 수평방향 마스크를 생성시키는 단계를 포함하며, 여기서 수평방향 마크스는 저 콘트라스트 수직방향 피처들을 포함한다. 수평방향 마스크의 생성은 수평방향 마스크에 포함되는 저 콘트라스트 수직방향 피처들의 바이어스를 최적화시키는 단계; 및 수평방향 마스크에 스캐터 바아들을 적용시키는 단계를 포함한다. 파일들의 생성은 타겟 패턴을 기초로 하여 수직방향 마스크를 생성시키는 단계를 더 포함하며, 여기서 수직방향 마스크는 저 콘트라스트 수평방향 피처들을 포함한다. 수직방향 마스크의 생성은 수직방향 마스크에 포함되는 저 콘트라스트 수평방향 피처들의 바이어스를 최적화시키는 단계; 및 상기 수직방향 마스크에 스캐터 바아들을 적용시키는 단계를 포함한다.
본 명세서에서는 IC의 제조에 있어서 본 발명의 사용례에 대하여 언급하였으나, 본 발명은 여타 가능한 여러 적용례들을 갖는다는 것을 분명히 이해해야 한다. 예를 들어, 본 발명은 집적 광학 시스템, 자기 도메인 메모리용 유도 및 검출패턴, 액정 디스플레이, 박막 자기 헤드의 제조 등에 채용될 수도 있다. 당업자라면, 이러한 대안적인 적용례와 관련하여, 본 명세서에서 사용되는 "레티클", "웨이퍼" 또 는 "다이"와 같은 용어의 여하한의 사용은 각각 "마스크", "기판" 및 "타겟부" 등과 같은 좀 더 일반적인 용어들로 대체될 수도 있다는 것을 이해해야 한다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 (예를 들어, 5-20 nm 범위의 파장을 갖는) 극자외 방사선(EUV)을 포함하는 모든 형태의 전자기 방사선을 포괄하여 사용된다.
본 명세서에서 채용되는 마스크라는 용어는 기판의 타겟부 내에 생성될 수 있는 패턴에 대응하여, 입사 방사선 빔에 패터닝된 단면을 부여하는데 사용될 수 있는 일반적인 패터닝 수단을 지칭하는 것으로 폭넓게 해석되어야 한다; 또한 본 명세서에서 "광 밸브(light valve)"라는 용어도 사용될 수 있다. 전통적인 마스크(투과형 또는 반사형, 바이너리형, 위상-시프팅형, 하이브리드형 등)를 제외하고, 다른 패터닝 수단들의 예시로는 다음의 것들이 포함된다.
a) 프로그래밍가능한 거울 어레이. 이러한 디바이스의 예시로는 점탄성 제어층(viscoelastic control layer)과 반사면을 구비한 매트릭스-어드레서블 표면(matrix-addressable surface)이 있다. 이러한 장치의 기본 원리는, (예를 들어) 반사면의 어드레스된 영역(addressed area)에서는 입사광을 회절광으로 반사하는 한편, 어드레스되지 않은 영역에서는 입사광을 비회절광으로 반사하는 것이다. 적절한 공간 필터를 사용하면, 반사된 빔으로부터 상기 비회절광이 필터링됨으로써 회절광만이 남게 할 수 있다; 이러한 방식으로, 상기 빔은 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 패터닝된다. 적절한 전자 수단을 사용하여 요구되는 매 트릭스 어드레싱이 수행될 수 있다. 이러한 거울 어레이에 관한 보다 상세한 정보는, 예를 들어 본 명세서에서 인용 참조되는 미국특허 제 5,296,891 호 및 제 5,523,193 호로부터 얻을 수 있다.
b) 프로그램가능한 LCD 어레이. 이러한 구성의 예시는 본 명세서에서 인용 참조되는 미국특허 제 5,229,872 호에 주어져 있다.
본 발명의 방법은 종래 기술에 비해 중요한 장점들을 제공한다. 가장 중요하게는, 더블 이중극 이미징 프로세스에서 다크 필드 마스크를 활용함으로써, 클리어 필드 이미징 프로세스를 활용할 때 이미 이미징된 피처들을 실딩하는 것과 연관된 이슈들을 제거할 수 있다.
당업자라면, 본 발명의 실시예들의 후속하는 상세한 설명을 통해 본 발명의 추가 장점들을 명확히 이해할 수 있을 것이다.
본 발명 자체는 추가 목적들 및 장점들과 함께 후속하는 상세한 설명 및 첨부도면들을 참조하여 더 잘 이해될 수 있다.
보다 상세히 후술되는 바와 같이, 본 발명의 바람직한 실시예는 다크 필드 더블 이중극 리소그래피 "DDL"을 수행하는 방법 및 장치를 제공한다. 특별하게는, 본 발명은 타겟 패턴을, 제 1 이중극 조명(즉, x-이중극)을 활용하여 이미징될 제 1 마스크 및 제 2 이중극 조명(즉, y-이중극)을 활용하여 이미징될 제 2 마스크로 분해하는 방법을 제공하며, 상기 마스크는 다크 필드 이미징을 이용한다. 본 발명을 논의하기에 앞서 클리어 필드 및 다크 필드 이미징에 대한 간략한 설명이 제공 된다.
침지 및 편광이 활용될 수 있는 클리어 필드 DDL은 폴리-게이트 층을 이미징하는 것과 같은 다양한 이미징 작업들을 위한 양호한 분해능 개선 기술 "RET" 해법이다. 클리어 필드 DDL 기술들과 연관된 장점들은, 예를 들어 스캐터링 바아(SB) 또는 어시스트 피처들(AF)에 대한 축척조정가능성(scalability) 및 프린트가능성의 문제를 해결하고; 높은 콘트라스트 이중극 조명을 사용하여 디바이스들을 프린팅하는 것을 가능하게 하며; 모델 기반 레이아웃 전환 방법들을 기초로 하여 패터닝 디바이스 구조체들을 위한 선형 편광의 적용을 가능하게 한다.
도 1a를 참조하면, 주어진 디자인의 금속 1(METAL 1) 피치는 통상적으로 집적의 밀도를 정의하고, 따라서 피치 수축 투시(pitch shrinking perspective)로부터 가장 경질(hardest)로서 유도되는 치수이기 때문에, IC 디자인의 금속 1 피치는 흔히 연속적인 디바이스 생성들을 위한 기초적인 방책들 중 하나로서 활용된다. 현재, 백 엔드 금속(back end metal) 프로세싱은 매우 타이트한 피치들에서 작은 치수의 트렌치들을 필요로 하는 구리 다마스커스 집적 체계를 갖는 저-k 유전체를 활용한다. 이는, 이중극 조명과 연관된 기본적으로 높은 콘트라스트로 인하여 이러한 밀집된 트렌치들을 이미징하기 위한 양호한 조명의 선택이다. 도 1b는 상이한 k1 대 마스크 CD가 45 nm에서 100 nm까지 변하는 45 nm 하프 피치에 대해 시뮬레이팅되는 정규화된 이미지 슬로프 (NILS = CD*ILS) "NILS"를 나타내고 있으며, 여기서 k1 = 0.5 피치 * NA/λ이고, NA는 개구수에 대응되고 λ는 조명 디바이스의 노광 파장 에 대응된다. 도 1b의 일반적인 경향은 k1이 적을수록, 생성되는 NILS가 나빠진다는 것을 나타내고 있다. 45 nm 노드에 대하여, 웨이퍼 상의 피처들의 CD는 노광 파장의 1/4에 보다 가까우며, 이는 다크 필드 이미징을 시도해볼 만하게 한다. 이와 같이, 이중극 조명은 NILS를 개선시키기 위한 좋은 후보이다(보다 높은 NILS는 보다 높은 콘트라스트에 대응되고, 따라서 보다 나은 이미징을 가져온다). 도 1b에서, 시그마 인(sigma in)과 시그마 아웃(sigma out) 사이에 매우 작은 시그마 델타를 갖는 가장 어그레시브한 "하드" 이중극 셋팅을 이용하는 45nm 노드에 대해서도, NILS는 여전히 낮아서 강건한(robust) 이미징을 달성할 수 없다는 데 유의해야 한다. 이중극 이미징이 선형 편광과 조합되는 경우에만, 0.3 부근의 k1에서의 이미징을 위한 충분한 콘트라스트가 존재한다.
도 2a-2f를 참조하면, 클리어 필드 이미징과 다크 필드 이미징 상의 조명의 임팩트를 비교하기 위하여, (1) 환형, (2) c-쿼드(c-quad)(크로스-쿼드) 및 (3) 이중극 x를 비교하기 위한 조명 모드들이 선택되며, 이들 모두는 0.93 NA 침지를 이용한다. 비교를 수행하는데 활용되는 마스크는 175 nm 피치에서 70 nm CD를 갖는 바이너리 세기 마스크 "BIM"이었다. 도 2a 및 2b는 클리어 필드(70 nm 크롬 라인, 105 nm 공간) 및 다크 필드(70 nm 클리어, 105 nm 크롬)을 위한 렌즈 퓨필에서의 회절 패턴을 각각 나타내고 있다. 생성되는 제 1 차수 회절 패턴은 동일하지만, 0차 회절 차수의 진폭은 3 가지 조명 모두에 대해 상이하다. 도 2c는 조명들 각각에 대한 클리어 필드 마스크의 생성된 에어리얼 이미지 세기이고, 도 2d는 조명들 각 각에 대한 다크 필드 마스크의 생성된 에어리얼 이미지 세기이다. 도 2e는 클리어 필드 마스크에 대한 조명들 각각에 대해 70 nm 라인을 프린팅하기 위해 대응되는 NILS(정규화된 이미지 로그 슬로프), 최소 세기(Imin) 및 임계 값을 나타내는 한편, 도 2f는 다크 필드 마스크에 해당되는 것들을 나타내고 있다.
도시된 바와 같이, x-이중극 조명은 클리어 필드 및 다크 필드 케이스 둘 모두에서 최상의 NILS 및 최상의 Imin을 제공한다. C-쿼드는 1차 회절 광의 단지 25%만이 이미징에 기여하는 한편, x-이중극에 대해서는 1차 회절 광의 50%가 이미징을 위해 캡처링된다는 사실로 인하여 가장 나쁜 콘트라스트 및 가장 높은 Imin(클리어 필드의 경우, 그리고 다크 필드의 경우에는 가장 낮은 Imin)을 갖는다. 클리어 필드 경우에 대하여, 모두 3 가지 조명들에 대한 이미징 임계치는 세기 레벨의 0.35에 매우 근접하여, 라인 CD 타겟을 프린팅하는데 필요한 도즈에 필적할만 하다는데 유의해야 한다. 클리어 필드 및 다크 필드 경우들에 대하여, 이중극은 최상의 이미지 품질을 부여하는 한편, C-쿼드는 셋 중 가장 나쁜 이미지 품질을 제공한다.
이중극 조명 하의 클리어 필드 이미징과 다크 필드 이미징 간의 기본적인 차이를 이해하기 위하여, 먼저 각 회절 패턴에서의 차이를 이해할 필요가 있다. 폭(w), 피치(p) 및 세기 투과율(intensity transmission:T)의 소스 및 1-D 격자(도 3 참조) 상의 소정의 포인트를 고려하라. 이 마스크는 다음과 같이 표현될 수 있다.
Figure 112007026845129-pat00001
BIM 마크에 대하여
Figure 112007026845129-pat00002
Figure 112007026845129-pat00003
수학식 1로부터, 고정된 트렌치 CD를 갖는 다크 필드 마스크에 대하여, 피치가 클수록, 0차 회절 차수는 작아진다는 것은 분명하다. 바비넷의 원리(Babinet-s principal)는 2 개의 상보적 마스크들의 회절 패턴은 0차를 제외하고 같은 회절 패턴을 갖는다는 것을 말한다. 바비넷의 원리는 다음과 같이 나타낼 수 있다.
Figure 112007026845129-pat00004
수학식 3으로부터, 클리어 필드 마스크와 다크 필드 마스크 사이의 차이만 0차 회절 차수의 진폭이다. 1차 회절 차수는 부호가 반대인 동일한 진폭을 갖는다.
BIM 클리어에 대하여
Figure 112007026845129-pat00005
Figure 112007026845129-pat00006
수학식 4로부터, 클리어 필드 마스크에 대하여, 피치가 클수록 0차 회절 차수가 작아진다는 것이 명백하다. 상기 논의된 사항들은 소스로부터 일 포인트를 고려하지만, 1:1, 1:1.5 및 1:2의 비 각각에서의 70 nm 라인(즉, 클리어 필드) 및 70 nm 공간(다크 필드)에 대한 회절 패턴들을 예시한 도 4a 및 4b에서의 예시를 이해하는 것이 유용하다. 1:1이 비(즉, 피처의 폭-대-피치(width-to-pitch))에 대해, 클리어 필드 경우 및 다크 필드 경우 양자는 같다. 1:1.5의 비로부터 1:2의 비로 상향하여, 0차 회절 차수의 진폭에서 현저한 차이가 존재한다. 예를 들어, 1:2의 비에 의하면; 클리어 필드 마스크에 대해 0차 회절 차수의 진폭은 0.67인 한편, 다크 필드 마스크에 대해 0차 회절 차수의 진폭은 0.33이다. 제 1 및 제 2 회절 차수들에 대하여, 회절 차수의 진폭의 크기는 클리어 필드 마스크 및 다크 필드 마스크에 대해 같고 부호는 반대이다.
도 4c 및 도 4d는 클리어 필드 마스크 및 다크 필드 마스크 각각에 대해 대응되는 에어리얼 이미지들을 예시하고 있다. 주어진 예시에서, 조명 셋팅들은 0.93NA 및 이중극 x, 35도의 극 각, σ_out = 0.86이고 σ_in = 0.45인 ASML 스캐너의 사용을 가정하였다. 도 4e는 각각의 NILS, 최소 또는 최대 세기(Imin/Imax) 및 70 nm 라인(클리어 필드) 및 공간(다크 필드)을 프린팅하기 위한 세기 임계값이다. 알 수 있는 바와 같이, 다크 필드 마스크에 대해 Imax의 변화가 보다 현저할 뿐만 아니라, NILS 또한 클리어 필드의 상보적 마스크보다 20-30% 작다. 따라서, 다크 필드 마스크는 OPC를 프린팅하고 적용하는데 훨씬 더 큰 어려움을 야기하는 보다 높은 마스크 오차 인자(mask error factor:MEF)를 갖는다.
다크 필드 이미징을 위해서는, 0차 회절 차수가 세기 변동에 관여하지 않더라도, 주로 0차 회절 차수가 기여하는 패터닝 트렌치들에 대한 레지스트를 클리어링 하기(clear up) 위한 충분한 에너지를 갖는 것이 중요하다. 부분적인 코히런트 이미징을 위하여, NA 내의 회절 차수들 각각의 오버랩들이 고려될 필요가 있으며 회절 차수 당 렌즈 퓨필에 의하여 캡처링되는 에너지가 계산될 필요가 있다. 도 5는 175 nm 피치에서 70 nm의 CD를 갖는 피처에 대한 라인 및 공간(즉, 라인 또는 트렌치)에 대하여 렌즈 퓨필에 의해 캡처링되는 정규화된 에너지를 나타낸 예시이다. 퓨필에 의하여 캡처링된 정규화된 에너지와 비교하면, 라인 및 트렌치에 대해 +/- 1차로부터 나온 에너지는 동일하지만, 0차 회절 차수 에너지에서는 2X의 차이가 존재한다. 이는, 보다 많은 광/에너지가 통과할 수 있도록 통상적으로 포지티브 마스크 바이어스를 갖는 이유들 중 하나이다.
클리어 필드 DDL을 활용하는 경우, 강력한 스루-피치 프로세스 윈도우(through-pitch process window)를 달성하기 위하여 통상적으로 "풀-사이즈의" 스캐터링 바아(FSB)를 사용할 필요가 있다. 또한, 상술된 바와 같이 피치가 커질수록 0차 회절 차수가 커지므로, 각각의 낮은 콘트라스트 방위에서의 중요한 피처들에 대한 실딩을 부가할 필요가 있다. 또한, 클리어 필드 DDL에서, 전체 노광의 합은 프린트 잔류물들을 남기지 않고 FSB를 제거할(trim away) 수 있다. 이는 다크 필드 DDL에서는 이행될 수 없다. 하지만, 제조할 만한 가치가 있는 프로세스를 위해 초점 깊이를 향상시키기 위하여, 광 근접 보정 "OPC" 프로세스 동안 "다크-필드 SB" 또는 서브-분해능 어시스트 슬롯들이 부가될 수 있다.
45 nm 노드 및 그 이상의 노드에 대해 다크 필드 SB 슬롯을 적용하는 것은 문제가 있을 수 있다. 특별하게는, 4X 레티클 상의 다크 필드 SB의 폭이 노광 파장보다 적어지기 때문에, 키르히호프 스칼라 회절 이론(Kirchhoff scalar diffraction theory)이 깨어지기 시작하고 SB 슬롯의 세기 레벨을 과도 예측한다(over-predict). 스칼라 모델(즉, 전체 전자기장의 효과들을 포함하지 않는 보다 이상적인 모델) 대 엄격한 EMF 모델(즉, 이 모델은 에어리얼 이미지를 결정할 때 전체 전기장을 고려함)에 대한 단일 사이드 다크 필드 SB 슬롯을 갖는 고립된 트렌치의 생성된 에어리얼 이미지를 비교하는 도 6을 참조하면, EMF 에어리얼 이미지는 다크-필드 SB가 스칼라 이론에서 예측된 것보다 실제로 "더 작다"는 것을 나타낸다. 이는, 예측된 스칼라이 이론보다 덜 광학적인 무게를 갖는다는 것을 의미한다. 따라서, 보다 큰 서브-분해능 다크-필드 SB 슬롯은 프린트하기 그리 쉽지 않다. 다크 필드 SB 슬롯을 프린팅하는 것의 위험은 주요 피처들 사이의 간격이 더 작아지는 경우 증대된다. 이는 SB를 효과적으로 적용하기 시작할 때 제한된다. 다행히도, 엄격한 EMF 모델들과 스칼라 모델들 양자는 다크-필드 SB 슬롯을 적용하기 위한 동일한 위치를 예측한다. 따라서, 덜 엄격하고 시간 소모적인 스칼라 모델을 활용하여 다크-필드 SB 슬롯의 배치를 최적화할 수 있다. 보다 정확한 모델 OPC 결과들을 얻기 위해서는, 경계층 방법(boundary layer method)과 같은 유사하게-엄격한(quasi-rigorous) 접근법이 요구된다.
도 7b 내지 도 7e는 본 발명에 따른 더블 이중극 다크 필드 이미징 프로세스의 시뮬레이팅된 에어리얼 이미지를 예시한다. 도 7a는 본 발명의 다크 필드 더블 이중극 이미징 프로세스와의 성능 비교를 제공하기 위해, 환형 조명을 이용하는 시뮬레이팅된 에어리얼 이미지를 제공한다. 주어진 예시에서, 55 nm의 폭과 210 nm 피치를 갖는 트렌치가 이미징되고 있으며, 가장 밀집한 피치(densest)를 결정하기 위해 세기 임계치 레벨은 34 %로 설정된다. 이러한 작은 트렌치들을 프린팅하는 종래의 이전 기술 접근법은 더 나은 프린트가능성을 위해 트렌치에 포지티브 바이어스(positive bias)를 적용하는 것이다. 예시된 바와 같이, 도 7a는 1.2 NA, σ_out = 0.98, σ_in = 0.53을 갖는 환형 조명에 대한 시뮬레이션 결과를 제공한다. 원하는 CD로 트렌치를 프린팅하기 위해, 마스크는 77 nm로 바이어스될 필요가 있다; 또한, NILS 값은 0.82뿐이며, 이는 제조하기에는 너무 낮다.
더블 이중 프로세스에 대해, 두 노광으로부터의 상대 세기 레벨은 직접 합산될 수 없다. 하지만, 두 노광들로부터 발생되는 도즈 및 포토-액시드 농도가 누적된다. 화학적 증폭 레지스트(chemical amplified resist)에 대해, 수학식 6에 의해 포토-액시드 농도가 주어진다.
Figure 112007026845129-pat00007
수학식 6으로부터, 세기는 포토 액시드 생성에 대한, 및 더블 노광 이미징을 분석하는 양호한 근사치라는 것을 알 수 있다. 다음 설명에서, 조명 세팅들은 σ_out = 0.98, σ_in = 0.53인 경우의 각각 y 및 x 선형 편광을 갖는 1.2 NA x-이중극 및 y-이중극을 포함한다는 것에 유의한다. 본 발명의 DDL 이미징 프로세스에 따라, 도 7a에 프린팅된 동일한 트렌치를 프린팅하기 위해, 먼저 x-이중극(즉, 도 7b에 패스 1로 명시됨)이 수직 마스크 내의 트렌치를 이미징하는데 사용되고, 뒤이어 수평 방향으로 트렌치를 결정하도록 수평 마스크를 노광하는 y-이중극(즉, 도 7b에 마스크 2 바이어스로 명시됨)이 사용된다. 도 7e는 조합된(즉, 더블 이중극) 이미징 프로세스의 결과적인 에어리얼 이미지를 예시한다. 나타낸 바와 같이, 결과적인 NILS는 34 %의 임계치에서 1.83이며, 이는 도 7a에 예시된 단일의 환형 조명 프로 세스에 비해 NILS에서 약 120 %를 나타낸다.
도 7b에 예시된 더블 노광 시뮬레이션 결과는, NILS(즉, 이미징 성능)가 수직 트렌치 크기의 함수뿐만 아니라, 마스크 2 바이어스의 함수라는 것을 나타낸다는 것이 중요하다. 실제로, y-이중극 노광에 대한 마스크 2 바이어스의 변동들은 결과적인 전체 NILS의 상당한 변화를 야기한다. 이러한 것으로서, 두 마스크들 모두로부터의 바이어스의 조합이 전체 NILS를 최적화하는데 사용될 수 있다. 이는 제 2 노광에서, 조합된 세기의 NILS를 최적화하는데 조력하도록 더 많은 광이 통과하게 하기 위해(도 7d 참조) 수직 트렌치 에지를 개방(open)된 채로 유지할 수 있다는 사실의 결과이다. 도 7c, 도 7d 및 도 7e는 최적화 프로세스의 일 예시를 예시한다. 상기 예시에서, 수직 마스크는 65 nm로 바이어스되며(도 7c 참조), 수평 마스크 내의 수직 에지는 네거티브하게 35 nm로 바이어스된다. 나타낸 바와 같이, 조합된 세기의 NILS는 1.83이며, 이는 단일 노광 바이어스라인에 비해 NILS에서 약 120 % 개선된다. 따라서, 본 발명에 따라 각각의 마스크와 연계된 바이어스는 이미징 성능을 최적화하기 위한 노력으로 조정될 수 있다. 주어진 예시에서는 바이어싱 최적화 프로세스에서 이미징 성능을 정의하는 기준으로서 NILS가 이용되지만, 예를 들어 DOF, MEF, ILS, NILS, 콘트라스트 등과 같은 다른 적절한 기준이 이용될 수 있다는 것에 유의한다. 또한, 이는 두 마스크들 모두의 바이어스가 동시에 최적화되게 하는 최적화 프로세스에 대해, 또는 시리얼 방식으로 가능할 수도 있다.
도 8은 본 발명에 따른 다크 필드 더블 이중극 리소그래피와 사용하는 수직 마스크 및 수평 마스크로 타겟 패턴을 분해하는 프로세스의 예시적인 흐름도를 예 시한다. 도 9a 내지 도 9j는 도 8의 프로세스를 이용하여 마스크들이 발생되는 방식의 일 예시를 예시한다. 도 8을 참조하면, 프로세스에서 제 1 단계(단계 90)는 웨이퍼 또는 기판 상에 이미징될 원하는 타겟 패턴을 얻는 것이다. 타겟 패턴은, 예를 들어 GDSⅡ 디자인 데이터 포맷 또는 다른 적절한 데이터 포맷으로 나타낼 수 있다. 도 9a는 상기 예시에서 이용되는 예시적인 타겟 패턴을 예시한다. 일단 타겟 패턴이 정의되면, 그것은 수직 및 수평 마스크들로 분해되며, 이 때 도 8에 나타낸 단계들(91, 93, 95 및 97)은 수평 마스크 또는 H-마스크의 발생에 대응하고, 단계들(92, 94, 96 및 98)은 수직 마스크 또는 V-마스크의 발생에 대응한다.
더 명확하게, 단계들(91 및 92)에서 주어진 마스크의 낮은 콘트라스트 피처에 대한 바이어스는, 예를 들어 DOF, MEF, NILS, ILS, 콘트라스트 등과 같지만 그에 제한되지는 않는 정의된 기준을 최적화하도록 작동하는 적절한 시뮬레이션 모델을 이용하여 최적화된다. 이러한 것으로서, 도 9b에 나타낸 단계(91)에서 수직 피처들의 바이어스(즉, H-마스크 내의 낮은 콘트라스트)가 H-마스크 내에서 최적화된다. 이와 유사하게, 도 9c에 나타낸 단계(92)에서 수평 피처들의 바이어스(즉, V-마스크 내의 낮은 콘트라스트)는 V-마스크 내에서 최적화된다. 그 후, 단계들(93 및 94)에서 초기의 외부 스캐터링 바아(external scattering bar)들 및 내부 스캐터링 바아들이 각각의 마스크들에 적용된 후, 최종 바이어싱을 얻기 위해 각각의 마스크의 낮은 콘트라스트 피처의 바이어싱이 다시 수행된다. 이러한 것으로서, 단계(93)에서 외부 및 내부 스캐터링 바아들이 필요에 따라 H-마스크에 적용된다. 스캐터링 바아들은 스캐터링 바아들을 적용하는 방법에 기초한 모델 또는 그에 기초 한 여하한의 적절한 룰(rule)을 이용하여 적용될 수 있다. 그 후, H-마스크 디자인에 적용된 스캐터링 바아들을 이용하여, 수직 피처들의 바이어스(즉, H-마스크 내의 낮은 콘트라스트)가 다시 최적화된다. 도 9d는 주어진 예시에 따른 단계(93)를 수행한 이후의 H-마스크를 예시한다. 이와 유사하게, 단계(94)에서 외부 및 내부 스캐터링 바아들이 필요에 따라 V-마스크에 적용된 후, V-마스크 디자인에 적용된 스캐터링 바아들을 이용하여, 수평 피처들의 바이어스(즉, H-마스크 내의 낮은 콘트라스트)가 다시 최적화된다. 도 9e는 주어진 예시에 따른 단계(94)를 수행한 이후의 V-마스크를 예시한다. 그 다음, 단계(95)에서 H-마스크는 모델 OPC 처리(treatment)(여하한의 적절한 모델 OPC 처리가 이용될 수 있음) 및 마스크 제조가능성 검증을 거친다. 주어진 예시의 결과적인 H-마스크가 도 9f에 예시된다. 단계(95)의 완료 후, H-마스크가 완결되고(단계(97)), 다크 필드 더블 이중극 이미징 프로세스에서 이용되도록 준비된다. 도 9h에 나타낸 y-이중극 조명을 이용하여 H-마스크가 조명된다. 이와 유사하게, 단계(96)에서 V-마스크가 모델 OPC 처리(여하한의 적절한 모델 OPC 처리가 이용될 수 있음) 및 마스크 제조가능성 검증을 거친다. 주어진 예시의 결과적인 V-마스크는 도 9g에 예시된다. 단계(96)의 완료 후, V-마스크가 완결되고(단계(98)), 다크 필드 더블 이중극 이미징 프로세스에서 이용되도록 준비된다. 도 9i에 나타낸 x-이중극 조명을 이용하여 V-마스크가 조명된다. 도 9j는 다크 필드 H-마스크 및 V-마스크 프로세스를 이용하는 더블 이중극 프로세스의 결과적인 이미징을 예시한다.
도 10은 본 발명의 레이아웃 전환(layout conversion) 방법 및 결과적인 이 미지의 실제 예시를 예시한다. 명확하게, 140 nm 피치에서의 70 nm 트렌치 조합(comb)의 타겟 테스트 패턴이 상술한 프로세스에 따른 H-마스크 및 V-마스크로 분해되었고, H-마스크 및 V-마스크는 각각 y-이중극 및 x-이중극 조명으로 조명되었다. 나타낸 바와 같이, 결과적인 이미지는 타겟 패턴을 정확하게 재생성한다.
본 발명의 방법은 이전 기술에 걸쳐 중요한 장점들을 제공한다. 더블 이중극 이미징 프로세스에서 다크 필드 마스크를 이용함으로써, 클리어 필드 이미징 프로세스를 이용하는 경우 이전에 이미징된 필드들을 실딩(shield)하는 것과 연계된 이슈들을 제거할 수 있다는 것이 가장 중요하다.
도 11은 본 발명의 분해 프로세스를 수행하는 예시적인 프로세싱 시스템을 예시한다. 도 11에 예시된 바와 같이, 예시적인 프로세싱 시스템은 입력부(1003)로부터 입력을 수신하는 프로세서(1000)를 포함할 수 있다. 프로세서(1000)는 종래의 마이크로프로세서일 수 있으며, 또는 EEPROM 또는 EPROM 또는 제작된 집적 회로와 같은 특별히 디자인된 프로세싱 유닛일 수 있다. 입력부(1003)는 키보드 또는 마우스와 같은 여하한의 형태의 전자 입력 디바이스일 수 있으며, 또는 메모리 또는 인터넷 연결일 수 있다. 프로세서(1000)는 본 발명에 따른 주문형(customized) DOE들을 분해하도록 상기 설명된 방법들을 개선하는 프로토콜과 같은, ROM(1002) 및 RAM(1001)으로부터의 저장된 프로토콜들을 회수하는 것이 바람직하며, RAM(1001) 상의 정보를 저장한다. 프로세서(1000)의 계산된 결과들(예를 들어, DOE 디자인)이 디스플레이(1004) 상에 디스플레이될 수 있으며, 마스크 제작 디바이스 또는 제조 장치에 제공될 수 있다.
실행가능한 코드를 포함하는 이러한 컴퓨터 시스템 관련 프로그래밍의 소프트웨어 기능성들은, 주어진 타겟 패턴 내에 스캐터링 바아들을 배치하는 상기 설명된 OPC 방법을 개선하는데 사용될 수 있다. 소프트웨어 코드는 범용 컴퓨터에 의해 실행가능하다. 작동시, 코드 및 가능하게는 연계된 데이터 기록들이 범용 컴퓨터 플랫폼 내에 저장된다. 하지만, 평소에는 소프트웨어가 다른 장소들에 저장될 수 있으며, 및/또는 적절한 범용 컴퓨터 시스템들로 로딩하도록 전달될 수 있다. 그러므로, 상기 설명된 실시예들은 1 이상의 기계-판독가능한 매체에 의해 전달되는 코드의 1 이상의 모듈의 형태의 1 이상의 소프트웨어 제품들과 관련된다. 컴퓨터 시스템의 프로세서에 의한 이러한 코드의 실행은, 본질적으로 본 명세서에 설명되고 예시된 실시예들에서 수행되는 방식으로 플랫폼이 카탈로그 및/또는 소프트웨어 다운로딩 기능들을 구현할 수 있게 한다.
본 명세서에서 사용되는 바와 같이, 컴퓨터 또는 기계 "판독가능한 매체"와 같은 용어는, 실행을 위하여 프로세서에 명령어들 제공하는 것에 관여하는 소정의 매체를 칭한다. 이러한 매체는 여러 형태를 취하는데, 비휘발성 매체, 휘발성 매체 및 전송 매체들을 포함하기는 하지만, 이들로 제한되지는 않는다. 비휘발성 매체는, 예를 들어 상술된 서버 플랫폼 중 하나로서 작동하는 소정의 컴퓨터(들)내의 소정의 기억장치와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 상기 컴퓨터 플랫폼의 메인 메모리와 같은 다이내믹 메모리를 포함한다. 물리적인 전송 매체는 컴퓨터시스템 내에 버스를 포함하는 와이어를 포함하는 광섬유들, 구리선 및 동축케이블 등을 포함한다. 반송파(carrier-wave) 전송 매체는 전기 신호나 전 자기 신호 또는 무선 주파수(RF) 및 적외(IR) 데이터 통신 시에 생성되는 것과 같은 탄성파 또는 광파의 형태를 취할 수 있다. 그러므로 컴퓨터-판독가능한 매체의 일반적인 형태들은 예컨대: 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 여타의 자기 매체, CD-ROM, DVD, 여타의 광학매체를 포함하며, 흔하지는 않지만 펀치 카드, 페이퍼 테잎(paper tape), 구멍들의 패턴을 갖는 여타의 물리적인 매체, RAM, PROM, EPROM, FLASH-EPROM, 여타의 메모리 칩이나 카트리지, 반송파 전달 데이터나 명령어, 상기 반송파를 전달하는 케이블이나 링크, 또는 컴퓨터가 프로그래밍 코드 및/또는 데이터를 판독할 수 있는 여타의 매체를 포함한다. 이들 컴퓨터 판독가능한 매체의 여러 형태들은 실행을 위하여 프로세서에 1 이상의 명령어의 1 이상의 시퀀스 전달과 관련될 수도 있다.
도 12는 본 발명의 도움으로 디자인된 마스크에 사용하기 적합한 리소그래피 투영장치를 개략적으로 도시하고 있다. 상기 장치는,
- 방사선의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL)(이 특정한 경우에, 방사선시스템은 방사선 소스(LA)도 포함한다);
- 마스크(MA)(예를 들어, 레티클)를 지지하는 마스크 홀더가 제공되고, 아이템 PL에 대하여 마스크를 정확히 위치시키는 제 1 위치설정수단에 연결된 제 1 대상물 테이블(마스크테이블)(MT);
- 기판(W)(예를 들어, 레지스트코팅된 실리콘웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템 PL에 대하여 기판을 정확히 위치시키는 제 2 위치설정수단에 연결된 제 2 대상물 테이블(기판테이블)(WT); 및
- 기판(W)의 타겟부(C)(1 이상의 다이를 포함) 상으로 마스크(MA)의 조사된 부분을 이미징시키는 투영시스템("렌즈")(PL)(예를 들어, 굴절형, 카톱트릭 또는 카타디옵트릭 광학시스템)을 포함한다.
본 명세서에 나타낸 바와 같이, 상기 장치는 (예를 들어, 투과마스크를 구비한) 투과형으로 구성된다. 하지만, 일반적으로 상기 장치는 (예를 들어, 반사마스크를 구비한) 반사형일 수도 있다. 대안적으로, 상기 장치는 마스크의 사용의 대안례로서 또 다른 종류의 패터닝수단을 채용할 수도 있다; 그 예로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스가 포함된다.
상기 소스(LA)(예를 들어, 수은 램프, 엑시머 레이저 또는 플라즈마 방전 소스)는 방사선의 빔을 생성한다. 상기 빔은 곧바로 또는, 예를 들어 빔 익스펜더(Ex)와 같은 컨디셔닝수단을 거친 다음에 조명시스템(일루미네이터)(IL)으로 들어간다. 상기 일루미네이터(IL)는 빔 내의 세기분포의 외측반경 및/또는 내측반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한, 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다양한 구성요소들을 포함한다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(PB)은 그 단면에 소정의 균일성과 세기 분포를 갖게 된다.
도 12와 관련하여, 상기 소스(LA)는 리소그패피 투영장치의 하우징내에 놓이지만(흔히 방사선 소스(LA)가 예를 들어 수은램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선 빔이 (가령, 적절한 지향거울의 도움으로) 장치 내부로 들어오게 할 수도 있다; 후자의 시나리오 는 흔히 방사선 소스(LA)가 (예를 들어, KrF, ArF 또는 F2 레이징에 기초한) 엑시머 레이저인 경우이다. 본 발명은 적어도 이들 시나리오들을 모두 포괄한다.
이후, 상기 빔(PB)은 마스크테이블(MT) 상에 잡혀있는 마스크(MA)를 인터셉트한다(intercept). 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하고 상기 렌즈(PL)는 기판(W)의 타겟부(C) 상에 상기 빔(PB) 포커싱한다. 제 2 위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로 대상물 테이블(MT, WT)의 이동은, 도 12에 명확히 도시되지는 않았지만, 장행정모듈(long stroke module)(개략위치설정) 및 단행정모듈(미세위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 웨이퍼 스테퍼의 경우에는 마스크테이블(MT)이 단지 짧은 행정모듈에만 연결될 수도 있고 또는 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C) 상으로 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 시프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V= Mv로, 동일한 방향 또는 그 반대방향으로 동시에 이동하는데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
또한, 본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 소정의 일반 이미징 시스템을 시뮬레이션 또는 수학적으로 모델링할 수 있으며, 특히 현격히 더 작은 크기의 파장을 생성할 수 있는 신생 이미징 기술에 특히 유용할 수 있다. 이미 사용 중인 신생 기술들은, ArF 레이저를 사용하여 193nm 파장을 생성할 수 있으며, 심지어는 플루오르 레이저를 사용하여 157nm 파장을 생성할 수도 있는 EUV(극자외선) 리소그래피를 포함한다. 더욱이, EUV 리소그래피는 싱크로트론을 이용하여 또는 고에너지 전자들을 갖는 재료(고체 또는 플라즈마)를 때림(hitting)으로써 20~5nm 범위 내의 파장을 생성하여, 이 범위 내의 광자를 생성할 수 있다. 대부분의 재료들은 상기 범위 내에서 흡수성이기 때문에, 몰리브덴 및 실리콘의 멀티-스택을 갖는 반사형 거울에 의해 조명이 생성될 수 있다. 상기 멀티-스택 거울은, 각 층의 두께가 1/4 파장인 40층 쌍의 몰리브덴 및 실리콘을 가진다. 훨씬 더 작은 파장은 X-레이 리소그래피로 생성될 수 있다. 통상적으로, 싱크로트론은 X-레이 파장을 생성하는데 사용된다. 대부분의 재료가 x-레이 파장들에서 흡수성이므로, 흡 수재의 얇은 피스는 피처들이 프린트되는 곳(포지티브 레지스트) 또는 프린트되지 않는 곳(네거티브 레지스트)을 정의한다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상의 이미징에 이용될 수 있지만, 여타의 리소그래피 이미징시스템, 예컨대 실리콘 웨이퍼 이외의 기판 상의 이미징에도 사용될 수 있다는데 유의해야 한다.
본 발명의 특정한 실시예들이 개시되었으나, 본 발명은 그 기술적 사상 또는 근본적인 특징들을 벗어나지 않는 다른 형태들로 구현될 수도 있다는데 유의해야 한다. 따라서, 본 실시예들은 모든 사항들에 있어 예시적일 뿐 제한적이지 않은 것으로 고려되고, 본 발명의 범위는 후속 청구항들에 의해 나타나 있으며, 청구범위의 등가물의 의미 및 범위는 그 안에 포괄되도록 되어 있다.
본 발명에 따르면, 더블 이중극 리소그래피 프로세스에서 클리어 필드 마스크들을 활용할 때 필요한 실딩 요건들로부터 초래되는 복잡성들을 제거할 수 있는, 다크 필드 마스크를 활용하여 더블 이중극 리소그래피를 수행할 수 있는 방법을 얻을 수 있다.

Claims (15)

  1. 다크 필드 더블 이중극 이미징 프로세스(dark field double dipole imaging process)에서 사용하기 위한 상보적(complementary) 마스크 생성 방법에 있어서,
    수평방향 및 수직방향 피처들을 포함하는 복수의 피처들을 갖는 타겟 패턴을 식별하는 단계;
    상기 타겟 패턴을 기초로 하여 저 콘트라스트 피처들을 포함하는 수평방향 마스크를 생성시키는 단계로서:
    - 상기 수평방향 마스크에 포함되는 상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계; 및
    - 상기 수평방향 마스크에 스캐터 바아들을 적용하는 단계를 포함하는 상기 수평방향 마스크를 생성시키는 단계; 및
    상기 타겟 패턴을 기초로 하여 저 콘트라스트 피처들을 포함하는 수직방향 마스크를 생성시키는 단계로서:
    - 상기 수직방향 마스크에 포함되는 상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계; 및
    - 상기 수직방향 마스크에 스캐터 바아들을 적용시키는 단계를 포함하는 상기 수직방향 마스크를 생성시키는 단계를 포함하는 것을 특징으로 하는 상보적 마스크 생성 방법.
  2. 제 1 항에 있어서,
    상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계는 이미징 성능을 최대화시키기 위하여 상기 수평방향 마스크에 포함되는 수직방향 피처들의 폭을 조정하는 단계를 포함하는 것을 특징으로 하는 상보적 마스크 생성 방법.
  3. 제 1 항에 있어서,
    상기 수평방향 마스크를 생성시키는 단계는 상기 스캐터링 바아들을 상기 수평방향 마스크에 적용시키는 단계 후에 상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계를 재-수행하는 단계를 더 포함하는 것을 특징으로 하는 상보적 마스크 생성 방법.
  4. 제 1 항에 있어서,
    상기 수평방향 마스크를 생성시키는 단계는 상기 수평방향 마스크 상에서 모델 OPC를 수행하는 단계를 더 포함하는 것을 특징으로 하는 상보적 마스크 생성 방법.
  5. 제 1 항에 있어서,
    상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계는 이미징 성능을 최대화시키기 위하여 상기 수직방향 마스크 내에 포함되는 수평방향 피처들의 폭을 조정하는 단계를 포함하는 것을 특징으로 하는 상보적 마스크 생성 방법.
  6. 제 1 항에 있어서,
    상기 수직방향 마스크를 생성시키는 단계는 상기 수직방향 마스크에 상기 스캐터링 바아들을 적용시키는 단계 후에 상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계를 재-수행하는 단계를 더 포함하는 것을 특징으로 하는 상보적 마스크 생성 방법.
  7. 제 1 항에 있어서,
    상기 수직방향 마스크를 생성시키는 단계는 상기 수직방향 마스크 상에서 모델 OPC를 수행하는 단계를 더 포함하는 것을 특징으로 하는 상보적 마스크 생성 방법.
  8. 프로세서에 의한 실행을 위한 프로그램 명령어들을 저장하도록 구성된 컴퓨터 판독가능 매체에 있어서,
    상기 프로그램 명령어들은 상기 프로세서가 다크 필드 더블 이중극 이미징 프로세스에서 사용하기 위한 상보적 마스크들에 대응되는 파일들을 생성할 수 있도록 하고, 상기 파일들의 생성은:
    수평방향 및 수직방향 피처들을 포함하는 복수의 피처들을 갖는 타겟 패턴을 식별하는 단계;
    상기 타겟 패턴을 기초로 하여 저 콘트라스트 피처들을 포함하는 수평방향 마스크를 생성시키는 단계로서:
    - 상기 수평방향 마스크에 포함되는 상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계; 및
    - 상기 수평방향 마스크에 스캐터 바아들을 적용하는 단계를 포함하는 상기 수평방향 마스크를 생성시키는 단계; 및
    상기 타겟 패턴을 기초로 하여 저 콘트라스트 피처들을 포함하는 수직방향 마스크를 생성시키는 단계로서:
    - 상기 수직방향 마스크에 포함되는 상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계; 및
    - 상기 수직방향 마스크에 스캐터 바아들을 적용시키는 단계를 포함하는 상기 수직방향 마스크를 생성시키는 단계를 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  9. 제 8 항에 있어서,
    상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계는 이미징 성능을 최대화시키기 위하여 상기 수평방향 마스크에 포함되는 수직방향 피처들의 폭을 조정하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  10. 제 8 항에 있어서,
    상기 수평방향 마스크를 생성시키는 단계는 상기 스캐터링 바아들을 상기 수평방향 마스크에 적용시키는 단계 후에 상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계를 재-수행하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  11. 제 8 항에 있어서,
    상기 수평방향 마스크를 생성시키는 단계는 상기 수평방향 마스크 상에서 모델 OPC를 수행하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  12. 제 8 항에 있어서,
    상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계는 이미징 성능을 최대화시키기 위하여 상기 수직방향 마스크 내에 포함되는 수평방향 피처들의 폭을 조정하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  13. 제 8 항에 있어서,
    상기 수직방향 마스크를 생성시키는 단계는 상기 수직방향 마스크에 상기 스캐터링 바아들을 적용시키는 단계 후에 상기 저 콘트라스트 피처들의 바이어스를 최적화시키는 단계를 재-수행하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  14. 제 8 항에 있어서,
    상기 수직방향 마스크를 생성시키는 단계는 상기 수직방향 마스크 상에서 모델 OPC를 수행하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  15. 디바이스 제조방법에 있어서,
    (a) 적어도 부분적으로 방사선 감응재의 층으로 덮힌 기판을 제공하는 단계;
    (b) 방사선 시스템을 사용하여 방사선 투영빔을 제공하는 단계;
    (c) 패터닝 수단을 사용하여 상기 투영빔의 단면에 패턴을 부여하는 단계;
    (d) 패터닝된 방사선 빔을 상기 방사선 감응재 층의 타겟부 상으로 투영하는 단계를 포함하고,
    상기 (c) 단계의 패터닝 수단은 제 1 항에 따른 방법을 이용하여 생성되는 것을 특징으로 하는 디바이스 제조방법.
KR1020070034402A 2006-04-06 2007-04-06 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치 KR100865768B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US78956006P 2006-04-06 2006-04-06
US60/789,560 2006-04-06

Publications (2)

Publication Number Publication Date
KR20070100182A KR20070100182A (ko) 2007-10-10
KR100865768B1 true KR100865768B1 (ko) 2008-10-28

Family

ID=38283157

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070034402A KR100865768B1 (ko) 2006-04-06 2007-04-06 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치

Country Status (7)

Country Link
US (3) US7824826B2 (ko)
EP (2) EP1843202B1 (ko)
JP (2) JP4729527B2 (ko)
KR (1) KR100865768B1 (ko)
CN (2) CN102033422B (ko)
SG (1) SG136118A1 (ko)
TW (1) TWI349162B (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1843202B1 (en) * 2006-04-06 2015-02-18 ASML Netherlands B.V. Method for performing dark field double dipole lithography
US7966585B2 (en) * 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
US7802226B2 (en) * 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
US8713483B2 (en) 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
US7945869B2 (en) * 2007-08-20 2011-05-17 Infineon Technologies Ag Mask and method for patterning a semiconductor wafer
US9274438B1 (en) * 2008-06-25 2016-03-01 Western Digital (Fremont), Llc Method and system for exposing photoresist in a microelectric device
US8250495B2 (en) * 2009-01-16 2012-08-21 Mentor Graphics Corporation Mask decomposition for double dipole lithography
US8271910B2 (en) * 2010-03-29 2012-09-18 International Business Machines Corporation EMF correction model calibration using asymmetry factor data obtained from aerial images or a patterned layer
US8234603B2 (en) * 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
JP6140616B2 (ja) * 2011-03-02 2017-05-31 日本テキサス・インスツルメンツ株式会社 ダブルパターニングされるリソグラフィプロセスのためのパターン分割分解ストラテジー
US8575020B2 (en) 2011-03-02 2013-11-05 Texas Instruments Incorporated Pattern-split decomposition strategy for double-patterned lithography process
US8580675B2 (en) * 2011-03-02 2013-11-12 Texas Instruments Incorporated Two-track cross-connect in double-patterned structure using rectangular via
NL2009168A (en) * 2011-08-19 2013-02-21 Asml Netherlands Bv Lithographic apparatus and method.
EP2570854B1 (en) 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8806391B2 (en) * 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US8954898B2 (en) 2013-03-15 2015-02-10 International Business Machines Corporation Source-mask optimization for a lithography process
US8993217B1 (en) 2013-04-04 2015-03-31 Western Digital (Fremont), Llc Double exposure technique for high resolution disk imaging
JP5750476B2 (ja) * 2013-07-22 2015-07-22 東京応化工業株式会社 レジストパターン形成方法
CN111443576B (zh) 2015-04-07 2023-04-07 联华电子股份有限公司 照明系统以及使用其形成鳍状结构的方法
US9524361B2 (en) 2015-04-20 2016-12-20 United Microelectronics Corp. Method for decomposing a layout of an integrated circuit

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030038419A (ko) * 2001-11-05 2003-05-16 에이에스엠엘 네델란즈 비.브이. 쌍극 조명기술과 함께 이용되는 마스크를 생성하는 방법및 장치

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (de) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
EP0529971B1 (en) 1991-08-22 2003-07-23 Nikon Corporation High resolution printing technique by using a mask pattern adapted to the technique
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5881125A (en) 1992-09-25 1999-03-09 Intel Corporation Attenuated phase-shifted reticle using sub-resolution pattern
US5446521A (en) 1993-06-30 1995-08-29 Intel Corporation Phase-shifted opaquing ring
US5841517A (en) 1993-08-03 1998-11-24 Noritsu Koki Co., Ltd. Printer system for printing combined images on a same photosensitive sheet
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JPH09288345A (ja) 1996-04-19 1997-11-04 Sony Corp 投影プリント用マスク
JP2000505958A (ja) 1996-12-24 2000-05-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 2個の物品ホルダを有する二次元バランス位置決め装置及びこの位置決め装置を有するリソグラフ装置
DE69829614T2 (de) 1997-03-10 2006-03-09 Asml Netherlands B.V. Lithographiegerät mit einer positioniervorrichtung mit zwei objekthaltern
US6106979A (en) 1997-12-30 2000-08-22 Micron Technology, Inc. Use of attenuating phase-shifting mask for improved printability of clear-field patterns
US6077630A (en) 1998-01-08 2000-06-20 Micron Technology, Inc. Subresolution grating for attenuated phase shifting mask fabrication
TW587199B (en) * 1999-09-29 2004-05-11 Asml Netherlands Bv Lithographic method and apparatus
EP1091252A3 (en) 1999-09-29 2004-08-11 ASML Netherlands B.V. Lithographic method and apparatus
US6671035B2 (en) 1999-09-29 2003-12-30 Asml Netherlands B.V. Illuminator for a lithography apparatus, a lithography apparatus comprising such an illuminator, and a manufacturing method employing such a lithography apparatus
JP2001203139A (ja) * 2000-01-19 2001-07-27 Hitachi Ltd 半導体装置の製造方法
JP2001222097A (ja) 2000-02-09 2001-08-17 Fujitsu Ltd 位相シフトマスク及びその製造方法
TW457548B (en) 2000-02-10 2001-10-01 Winbond Electronics Corp A lithography process for reducing the dimension of the contact window openings
AU2000226927A1 (en) 2000-02-25 2001-09-03 Hitachi Ltd. Semiconductor integrated circuit device and method of producing the same, and method of producing masks
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
TWI228642B (en) 2001-10-09 2005-03-01 Asml Masktools Inc Method of two dimensional feature model calibration and optimization
US6875545B2 (en) 2001-11-28 2005-04-05 Asml Masktools B.V. Method of removing assist features utilized to improve process latitude
US7013439B2 (en) 2002-01-31 2006-03-14 Juan Andres Torres Robles Contrast based resolution enhancing technology
TWI293476B (en) * 2002-03-25 2008-02-11 Asml Masktools Bv Method and apparatus for performing rule-based gate shrink utilizing dipole illumination
CN100405221C (zh) 2002-03-25 2008-07-23 Asml蒙片工具有限公司 用于无铬相位光刻技术中将半导体器件图案分解为相位和镀铬区域的方法和装置
US6807662B2 (en) 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
KR100583697B1 (ko) 2002-07-26 2006-05-25 에이에스엠엘 마스크툴즈 비.브이. 자동 광근접성보정(opc) 규칙 생성방법
JP2004133427A (ja) 2002-07-26 2004-04-30 Asml Masktools Bv ダイポール照明技術とともに用いる配向依存遮蔽
SG137657A1 (en) * 2002-11-12 2007-12-28 Asml Masktools Bv Method and apparatus for performing model-based layout conversion for use with dipole illumination
TWI334962B (en) 2005-04-12 2010-12-21 Asml Masktools Bv A method, program product and apparatus for performing double exposure lithography
EP1843202B1 (en) * 2006-04-06 2015-02-18 ASML Netherlands B.V. Method for performing dark field double dipole lithography

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030038419A (ko) * 2001-11-05 2003-05-16 에이에스엠엘 네델란즈 비.브이. 쌍극 조명기술과 함께 이용되는 마스크를 생성하는 방법및 장치

Also Published As

Publication number Publication date
TWI349162B (en) 2011-09-21
EP1843202A2 (en) 2007-10-10
CN102033422A (zh) 2011-04-27
TW200745739A (en) 2007-12-16
KR20070100182A (ko) 2007-10-10
US7824826B2 (en) 2010-11-02
JP2011141544A (ja) 2011-07-21
JP5588853B2 (ja) 2014-09-10
CN101135861B (zh) 2010-12-22
EP2267530A1 (en) 2010-12-29
SG136118A1 (en) 2007-10-29
EP1843202A3 (en) 2007-12-12
CN102033422B (zh) 2015-05-06
CN101135861A (zh) 2008-03-05
US7981576B2 (en) 2011-07-19
JP2007328323A (ja) 2007-12-20
EP1843202B1 (en) 2015-02-18
US20110236808A1 (en) 2011-09-29
US20080020296A1 (en) 2008-01-24
JP4729527B2 (ja) 2011-07-20
US20110014552A1 (en) 2011-01-20
US8632930B2 (en) 2014-01-21

Similar Documents

Publication Publication Date Title
KR100865768B1 (ko) 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치
JP4267245B2 (ja) 解像度以下の補助フィーチャとして罫線ラダー・バーを利用した光近接補正方法
EP1712954B1 (en) A method and program product for performing double exposure lithography
US7247574B2 (en) Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US7666554B2 (en) Method and apparatus for performing model-based layout conversion for use with dipole illumination
TWI334961B (en) Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
JP5121117B2 (ja) 強度プロフィールを最適化する方法及びプログラム
JP2006065338A (ja) 1/4波長リソグラフィの焦点深さを上げるためにモデルに基づき散乱バーを配置する方法、プログラム製品および装置
KR20050025095A (ko) 서브-파장 광학 리소그래피용 위상-평형 스캐터링바아들의 모델 기반 배치를 수행하는 방법 및 장치
US7804646B2 (en) Method for decomposition of a customized DOE for use with a single exposure into a set of multiple exposures using standard DOEs with optimized exposure settings
JP2005316486A (ja) フォトリソグラフィ・システムを使用して印刷されるフィーチャのうねりを抑制するための、装置、方法、及びプログラム製品
US7604909B2 (en) Method for improved manufacturability and patterning of sub-wavelength contact hole mask
US20070122719A1 (en) Method and apparatus for generating OPC rules for placement of scattering bar features utilizing interface mapping technology
KR101198348B1 (ko) 풀-칩 소스 및 마스크 최적화를 위한 패턴 선택

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121012

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131011

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141010

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151012

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee