KR20050025095A - 서브-파장 광학 리소그래피용 위상-평형 스캐터링바아들의 모델 기반 배치를 수행하는 방법 및 장치 - Google Patents

서브-파장 광학 리소그래피용 위상-평형 스캐터링바아들의 모델 기반 배치를 수행하는 방법 및 장치 Download PDF

Info

Publication number
KR20050025095A
KR20050025095A KR1020040070727A KR20040070727A KR20050025095A KR 20050025095 A KR20050025095 A KR 20050025095A KR 1020040070727 A KR1020040070727 A KR 1020040070727A KR 20040070727 A KR20040070727 A KR 20040070727A KR 20050025095 A KR20050025095 A KR 20050025095A
Authority
KR
South Korea
Prior art keywords
features
assist features
phase
interference
assist
Prior art date
Application number
KR1020040070727A
Other languages
English (en)
Inventor
시쑤에롱
첸장풍
레이딕토마스
왐플러커트이.
판덴브로에크더글라스
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20050025095A publication Critical patent/KR20050025095A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Abstract

본 발명은 광근접성보정피처들이 그 안에 배치된 마스크 디자인을 생성하는 방법에 관한 것이다. 상기 방법은 기판 상에 묘화될 피처들을 구비한 원하는 타겟 패턴을 획득하는 단계; 상기 타겟 패턴에 기초하여 제1간섭맵을 결정하는 단계를 포함하여 이루어지고, 상기 제1간섭맵은 묘화될 1 이상의 상기 피처들과 상기 1 이상의 피처에 인접한 필드 영역 사이의 보강 간섭 영역들을 한정하며; 상기 제1간섭맵에 의해 한정된 보강 간섭 영역들에 기초하여 마스크 디자인 내의 제1위상을 갖는 제1세트의 어시스트 피처들을 배치시키는 단계; 상기 제1세트의 어시스트 피처들에 기초하여 제2간섭맵을 결정하는 단계를 포함하여 이루어지고, 상기 제2간섭맵은 상기 제1세트의 어시스트 피처들의 어시스트 피처들과 상기 제1세트의 어시스트 피처들의 어시스트 피처들 중 1 이상에 인접한 필드 영역 사이의 보강 간섭 영역들을 한정하며; 상기 제2간섭맵에 의해 한정된 보강 간섭 영역들에 기초하여 마스크 디자인 내의 제2위상을 갖는 제2세트의 어시스트 피처들을 배치시키는 단계를 포함하여 이루어지고, 상기 제1위상은 상기 제2위상과 같지 않은 것을 특징으로 한다.

Description

서브-파장 광학 리소그래피용 위상-평형 스캐터링 바아들의 모델 기반 배치를 수행하는 방법 및 장치 {METHOD AND APPARATUS FOR PERFORMING MODEL BASED PLACEMENT OF PHASE-BALANCED SCATTERING BARS FOR SUB-WAVELENGTH OPTICAL LITHOGRAPHY}
본 특허 출원 및 그로부터 발행된 여타의 특허(들)은, 본 명세서에서 그 전체 내용을 참고문헌으로 채택하고 있는, 2003년 9월 5일에 출원된 "A Model Based Placement of Phase-Balanced Scattering Bars to Achieve Lithography Patterning Requirements for Sub-wavelength Pitch Features" 제목의 미합중국 가출원번호 제60/500,260호를 우선권 주장한다.
본 발명은 포토리소그래피에 관한 것으로, 특히 간섭맵(interference map)을 활용하여 마스크 레이아웃에 광근접성보정 피처를 적용하는 방법에 관한 것이다. 또한, 본 발명은 리소그래피 장치를 이용하여 디바이스를 제조하는 방법에 관한 것으로서, 상기 리소그래피 장치는 방사선의 투영빔을 제공하는 방사선시스템; 상기 투영빔을 패터닝하는 역할을 하는 마스크를 유지하는 마스크테이블; 기판을 유지하는 기판테이블; 및 상기 기판의 타겟부상으로 상기 패터닝된 투영빔을 투영시키는 투영시스템을 포함하여 이루어진다.
리소그래피 투영장치(툴)는 예를 들어, 집적회로(ICs)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로패턴을 포함하고, 이 패턴은 방사선감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 하나 이상의 다이로 구성)상으로 묘화될 수 있다. 일반적으로, 단일 웨이퍼는 투영시스템에 의해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영장치에서, 타겟부상에 전체 마스크 패턴을 한번에 노광함으로써 각각의 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)라 불리는 대안적인 장치에서, 투영빔하에서 주어진 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하면서, 상기 방향과 평행하게 또는 반평행하게 기판 테이블을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는데, 일반적으로 투영시스템이 배율인자(M)(일반적으로<1)를 가지므로, 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자(M)배가 된다. 여기에 서술된 리소그래피 장치에 관련된 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 사용하는 제조공정에서, 마스크 패턴은 적어도 부분적으로 방사선감응재(레지스트)층으로 도포된 기판상에 묘화된다. 이러한 묘화 단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅, 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 피처(imaged feature)의 측정/검사와 같은 기타 절차를 거칠 수 있다. 이러한 일련의 절차는, 예를 들어 IC와 같은 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음, 이러한 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 개별층을 마무리하기 위한 다양한 공정을 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 공정 또는 그 변형 공정이 반복되어져야만 할 것이다. 종국에는, 디바이스의 배열이 기판(웨이퍼)상에 존재하게 될 것이다. 이들 디바이스가 다이싱 또는 소잉 등의 기술에 의해 서로 격리된 후에, 각각의 디바이스는 캐리어에 탑재되고, 핀에 접속될 수 있다. 이러한 공정에 관한 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 "Microchip Fabrication : A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill 출판사, 1997, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
상기 리소그래피 툴은 두개 이상의 기판 테이블 (및/또는 두개 이상의 마스크 테이블)을 구비하는 형태가 될 수 있다. 이러한 "다수 스테이지" 장치에서, 추가적인 테이블들이 병행하여 사용될 수 있거나, 하나 이상의 다른 테이블들이 노광을 위하여 사용되고 있는 동안에 하나 이상의 테이블에서 준비단계가 수행될 수 있다. 트윈 스테이지 리소그래피 툴은 예를 들어, 본 명세서에서 참고자료로 채택된 US 제5,969,441호 및 WO 제98/40791호에 개시되어 있다.
상기 언급된 포토리소그래피 마스크는 실리콘 웨이퍼상으로 집적되는 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 형성하는데 사용되는 상기 패턴들은, CAD(컴퓨터 지원 설계 : Computer-Aided Design) 프로그램을 사용하여 생성될 수 있고, 이 프로세스는 종종 EDA(전자 설계 자동화 : Electronic Design Automation)로 언급된다. 대부분의 CAD 프로그램은 기능적인 마스크를 형성하기 위해 미리 결정된 디자인 규칙의 세트를 따른다. 이들 규칙은 처리 및 디자인 제한에 의해 설정된다. 예를 들어, 디자인 규칙들은, 회로 디바이스들(게이트들, 캐패시터들 등과 같은) 또는 상호접속 라인들 사이의 간격 허용오차를 정의하여, 상기 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 상호 작용하지 않도록 한다.
물론, 집적회로 제조에서의 일 목적은, (마스크를 통해) 웨이퍼상의 오리지널 회로 디자인을 충실하게 재현하는 것이다. 또 다른 목적은 반도체 웨이퍼 물적 자산(real estate)을 가능한한 많이 사용하는 것이다. 집적회로의 크기가 감소될수록 그 밀도가 증가되지만, 그 대응하는 마스크의 CD(Critical Dimension)(임계치수)는 광학 노광툴의 분해능 한도에 가까워진다. 노광툴의 분해능은, 상기 노광툴이 상기 웨이퍼상에 반복적으로 노광할 수 있는 최소한도의 피처로 정의된다. 현재의 노광설비의 분해능 값은 종종 다수의 진보된 IC 회로 디자인에 대한 CD를 제약한다.
충분한 프로세스 관용도로 레지스트-코팅된 웨이퍼들 상의 노광 파장 훨씬 아래의 크기와 피치 치수를 갖는 패터닝 IC 디바이스 피처들은 오늘날 중요한 제조 목표가 되어 왔다. 차세대 리소그래피를 위한 예기치 않은 경제적이면서도 기술적인 도전들에 의하면, 반도체 산업은 현존하는 노광 파장 기술을 확장시키는 데 보다 많은 관심을 두고 있다. 아래의 롤리 표준(Raleigh Criterion)에 따르면, 동일한 노광 파장을 사용하는 것이라면, 세대간 디자인 룰 최소 피처 피치를 줄이기 위하여, 보다 큰 개구수 노광 툴 또는 보다 작은 k1 파라미터가 활용되어야만 한다. 최근 20년 동안, 파라미터 k1은 일반적으로 리소그래피 프로세스 능력으로 간주되어 왔다. k1이 보다 작을 수록, 프로세스는 더욱 어려워진다. 최소 피치는 다음과 같이 정의될 수 있다:
당업계는 매우 큰 개구수 노광 툴(NA>0.90)을 개발하기 위하여 노광 툴 공급자들에게 압력을 가해 왔지만, 제조를 위해 프로세스 능력이 보다 작은 k1을 얻도록 하기 위한 방법들도 찾고 있다. 현재 반도체 제조에 있어서 작은 k1 포토리소그래피 방법들이 주류임은 분명하다. k1이 작은 수많은 기술들 가운데, 광근접성보정(OPC)으로 바이너리 또는 위상-시프트된 마스크(PSM)와 결합되어 큰 NA 및 오프-액시스 조명(OAI)의 사용은 공지되어 있다. 스캐터링 바아(SB) 또는 어시스트 피처 OPC가 특히 주목을 끌며, 실제 제조에서도 사용되어 왔는데, 그 이유는 경제적일 뿐만 아니라, 클리어(clear) 및 다크(dark) 필드 마스크 타입 모두에서 모든 크리티컬 마스킹(critical masking) 층들에 효과적으로 적용될 수 있기 때문이다.
예컨대, USP 제5,242,770호 및 제5,447,810호에 상세히 설명된 바와 같이, SB OPC는 마스크 상의 오리지널 디자인 피처들에 부가되는 서브-분해능 어시스트 피처들을 명명한다. 상기 SB들은 메인 피처들의 프린팅을 증대시키기 위하여 작동하지만, SB들 자체가 프린트되어서는 안된다. 이와 같이, SB들은 메인 피처의 프린팅을 증대시키기 위하여 광근접성 범위 내에서 메인 피처들과 상호작용하는 한편, 상기 SB들 자체는 프린트될 수 없다. 이는 SB 피처들의 폭을 프린팅 분해능 이하로 조심스럽게 조정하고, 또한 포토레지스트의 비선형 응답의 장점을 취함으로써 부분적으로 가능해진다. SB들의 배치(deployment)로부터 최상의 이익을 얻기 위해서는, SB의 배치(placement)가 최적화되어야만 한다. 라인 또는 트렌치 구조체에 있어서, SB 배치 규칙들은 다소 수월한 방식(rather straightforward manner)으로 발전될 수 있다.
하지만, 콘택 또는 비아 홀과 같은 소정의 2차원(2D) 피처들을 위한 서브-파장에서의 피치 피처들에 대한 프린팅 성능 요건을 만족시키기 위하여, 현재로서는 현존하는 규칙-기반 방법들에 기초해서는 만족할 만한 프린팅 성능을 얻을 수 없다. 이는 예컨대 서브-파장 피치 콘택 홀의 프린팅을 증대시키기 위한 SB들의 최적 배치를 얻기 위하여, 규칙-기반 접근법을 적용하는 것이 매우 어렵다는 사실에 기인한다.
규칙-기반 접근법을 활용하는 것과 관련된 상기 문제에 대한 가능한 해결책으로서, SB들을 마스크 디자인에 적용시키는 모델-기반, 자동 배치 접근법이 연구되어 왔다. 예를 들어, 본 명세서에서 그 전체 내용이 참고문헌으로 채택되고 있으며 본 출원의 양수인에게 모두 양도된, 2004년 1월 1일에 출원된 USP 출원 제10/756,830호와 2004년 6월 29일에 출원된 제10/878,490호에는, 간섭맵(IM)을 활용하여 마스크 디자인에 SB들과 프린트될 수 없는 위상 피처들을 배치하는 개념이 개시되어 있다. 이러한 개념은 제조 목적을 위한 실현가능성이 언급되어 있지만, 특히 복잡한 마스크 디자인을 다루는 경우에, 상기 개념을 제조 가치가 있는 구현예에 적용하기 위하여 여전히 목표로 하고 있는 프로세스일 수 있다.
이에 따라, 적용 프로세스를 단순화하고 프린팅 성능을 더욱 향상시키는 타겟 마스크 디자인으로부터 생성된 간섭맵들에 기초하여 SB들을 마스크 디자인에 적용하는 방법의 필요성이 대두된다.
이러한 필요성을 해결하기 위한 노력으로, 본 발명의 일 목적은 모델-기반 접근법을 활용하여 주어진 마스크 디자인과 관련된 간섭맵을 기초로 하여 위상-평형(phase-balanced) SB 피처들을 마스크 디자인에 자동으로 적용시키기 위한 구현예의 시스템 방법을 제공하는 것이다. 또한, 0-위상 SB 피처들의 비-프린트성(non-printability)을 보장하고, 메인 디자인 피처들에 대한 프린팅 프로세스 관용도의 감소를 방지하기 위해서는, 프린트될 수 없는 0-위상 SB 피처들이 프린트될 수 없는 π-위상 SB 피처들과 평형되어야만 한다는 것이 밝혀졌다. 본 발명의 모델-기반 접근법은 이러한 π-위상 SB 피처들의 배치를 제공하는 것이 가능하다.
보다 특별하게는, 본 발명은 광근접성보정피처들이 그 안에 배치된 마스크 디자인을 생성하는 방법에 관한 것이다. 본 방법은 기판 상에 묘화될 피처들을 갖는 원하는 타겟 패턴을 획득하는 단계; 묘화될 1 이상의 피처들과 묘화될 1 이상의 피처에 인접한 필드 영역 사이의 보강 간섭 영역들을 한정하는, 타겟 패턴에 기초한 제1간섭맵을 결정하는 단계; 상기 제1간섭맵에 의해 한정된 보강 간섭 영역들에 기초하여 마스크 디자인에 제1위상을 갖는 제1세트의 어시스트 피처들을 배치하는 단계; 상기 제1세트의 어시스트 피처들의 어시스트 피처들과 상기 제1세트의 어시스트 피처들의 어시스트 피처들 중 1 이상에 인접한 필드 영역 사이의 보강 간섭 영역들을 한정하는, 상기 제1세트의 어시스트 피처들에 기초한 제2간섭맵을 결정하는 단계; 및 상기 제2간섭맵에 의해 한정된 보강 간섭 영역들에 기초하여 마스크 디자인에 제2위상을 구비한 제2세트의 어시스트 피처들을 배치시키는 단계를 포함하며, 상기 제1위상은 상기 제2위상과 같지 않은 것을 특징으로 한다.
비록 본 명세서에서는 본 발명을 사용함에 있어 IC의 제조에 대해서만 특정하여 언급하였으나, 이러한 장치가 여러 다른 응용례를 가지고 있음은 명백히 이해될 것이다. 예를 들어, 상기 장치는 집적 광학 시스템, 자기영역 메모리용 유도 및 검출 패턴, 액정표시패널, 박막자기헤드 등의 제조에도 사용될 수 있다. 당업자라면, 전술한 기타 응용분야들을 고려할 때, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 용어가 "마스크", "기판" 및 "타겟부" 등과 같은 좀 더 일반적인 용어로 각각 대체되는 것으로 간주되어야 함을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어 365, 248, 193, 157 또는 126㎚의 파장을 갖는) 자외선 방사선 및 EUV(예를 들어, 5 ~ 20nm 범위의 파장을 갖는 극자외선)을 포함하는 모든 형태의 전자기 방사선을 포괄하는 것으로 사용된다.
마스크라는 용어는 기판의 타겟부에 생성될 패턴에 대응하는, 패터닝된 단면을 입사하는 방사선 빔에 부여하는데 사용될 수 있는 일반적인 패터닝 수단을 의미하는 것으로서 폭넓게 해석되어야 하며, 본 명세서에서 "광 밸브(light valve)"라는 용어로도 사용된다. 전형적인 마스크(투과형 또는 반사형 : 바이너리형, 위상-시프트형, 하이브리드 등) 이외에, 여타의 이러한 패터닝 수단의 예시로는 다음과 같은 것들이 포함한다.
a) 프로그램가능한 거울 어레이. 이러한 디바이스의 일례로, 점탄성 제어층(viscoelastic control layer)과 반사면을 구비한 매트릭스-어드레서블 표면이 있다. 이러한 장치의 기본원리는, (예를 들어) 반사면의 어드레스된 영역에서는 입사광이 회절광으로 반사되는 한편, 어드레스되지 않은 영역에서는 입사광이 비회절광으로 반사되는 것이다. 적절한 필터를 사용하면, 상기 반사된 빔으로부터 상기 비회절광을 필터링하여 회절광만 남게 할 수 있다. 이러한 방식으로, 상기 빔은 상기 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 패터닝된다. 요구되는 매트릭스 어드레싱은 적당한 전자적 수단을 사용하여 수행될 수 있다. 이러한 거울 어레이에 관한 보다 상세한 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 미국특허 US 제5,296,891호 및 US 제5,523,193호로부터 얻을 수 있다.
b) 프로그램가능한 LCD 어레이. 이러한 구조의 일례는 본 명세서에서 참고자료로 채택된 미국특허 US 제5,229,872호에 개시되어 있다.
본 발명의 방법은 종래기술에 비해 중요한 장점들을 제공한다. 보다 중요하게는, 본 발명의 방법은, 모델-기반 접근법을 활용하여 주어진 마스크 디자인과 관련된 간섭맵에 기초하여 마스크 디자인에 위상-평형 SB 피처들을 자동으로 적용하기 위한 시스템 방법을 제공한다. 본 발명에 따른 위상-평형 어시스트 피처들의 적용예는, 타겟 피처들의 증대된 묘화의 이점을 허용하는 한편, 타겟 피처들의 묘화를 증대시키는 어시스트 피처들을 위상 평형시키기 위하여, 마스크 레이아웃에서 대향하는 위상을 갖는 추가 어시스트 피처들을 배치시켜 타겟 피처들의 묘화를 증대시키는 어시스트 피처들이 묘화될 수 있는 가능성을 최소화함으로써 프로세스 윈도우의 저하를 막게 된다.
본 발명의 부가적인 장점은, 당업계의 당업자에게 다음의 상세화된 본 발명의 전형적인 실시예의 설명으로부터 분명해질 것이다.
또 다른 목적 및 장점과 함께, 본 발명 자체는 다음의 상세화된 설명 및 첨부된 도면을 참조하여 보다 충분히 이해될 수 있다.
아래에 보다 상세히 설명하는 바와 같이, 본 발명의 OPC 기술은, 실질적으로 어떠한 조명 조건을 사용하더라도 딥 서브-파장(deep sub-wavelength) 마스크 패턴들의 피치 전체 범위가 묘화되도록 한다. 일반적으로, 상기 OPC 기술은 원하는 타겟 패턴을 둘러싸는 필드내의 각 지점이 어떻게 상기 타겟 패턴과 상호작용하는지를 나타내는, 마스크 디자인(즉, 타겟 패턴)에 기초한 간섭맵(IM)을 생성하는 것을 수반한다. 주어진 지점이 보강 간섭하거나 상쇄 간섭하거나 또는 상기 타겟 패턴에 대해 중립적(즉, 보강 간섭 또는 상쇄 간섭의 어느 쪽도 아님)일 수 있다. 일단 IM이 생성되면, 그것은 0-위상 어시스트 피처(즉, SB)들이 타겟 피처들의 묘화를 증대시키기 위하여 마스크 디자인 내에 위치되는 곳을 결정하는데 사용된다. 다음으로, 두번째 IM은 0-위상 어시스트 피처들의 배치에 기초하여 생성되는데, 이는 0-위상 어시스트 피처들을 둘러싸는 필드 내의 각각의 지점이 어떻게 서로 상호작용하는지를 나타낸다. 그 후, π-위상 어시스트 피처들은, 0-위상 어시스트 피처들을 국부적으로 위상 평형시키고 기판 상에 프린트되는 0-위상 어시스트 피처들의 가능성을 더욱 감소시키기 위하여, 0-위상 어시스트 피처들이 서로 보강적으로 상호작용하는 장소(즉, 원하는 타겟 피처들 이외의 장소)들에 배치된다. 상기 SB들의 위상들은 메인 피처들에 대하여 한정되므로, 0-위상 SB들은 메인 피처(예컨대, 콘택)들과 정확하게 동일한 위상을 가지는 한편, π-위상 SB들은 메인 피처들에 대해 180도의 위상차를 가진다. π-위상 SB들의 생성은 예컨대 마스크 기판을 정확한 깊이로 에칭하거나 또는 위상-시프팅 재료들을 사용함으로써 실현될 수 있다.
도 1은 상기 프로세스의 단계들을 설명하는 예시적인 플로우차트이다. 상기 프로세스는 콘택 홀 타겟 패턴의 예시를 활용하여 기술될 것이다. 도 1을 참조하면, 상기 프로세스의 제1단계(단계 12)는 마스크를 묘화하는데 사용되는 광학 묘화 시스템의 설정치 및 조명을 형성하는 것이다. 공지된 바와 같이, SB 피처(어시스트 피처라고도 함)들의 최적 배치는 조명 및 기타 광학 설정치(예컨대, NA, σin, σout 등)에 따라 좌우된다. 본 발명의 방법은 실질적으로 고도의 코히런트 온-액시스(부분 코히런스<0.4) 및 강한 오프-액시스 조명(예컨대, Quasar, C-Quasar, 이중 다이폴 및 단일 다이폴 조명 등), 또는 주문형 조명 타입을 포함하는 소정 조명과 함께 이용될 수 있다. 본 예시에서 사용된 조명은 도 2에 도시된 바와 같이, 노광 파장 λ=193nm; NA=0.85; σin=0.64 및 σout=0.92인 통상적인 Quasar 조명이다.
상기 프로세스에서 다음 단계(단계 14)는, SB들이 추가되어야 하는 타겟 마스크 패턴을 식별하는 것이다. 본 발명의 방법은, 본 발명의 프로세스가 실질적으로 자동화된 방식으로 수행되도록 하기 위하여, 통상적으로 ASML MaskTools사가 판매중인 MaskWeaverTM와 같은 컴퓨터 프로그램에서 구현될 것임을 유의한다. 일단 타겟 패턴이 컴퓨터 또는 프로세서 안으로 입력되면, 오퍼레이터는 SB들이 적용되어야 하는 타겟 패턴의 부분을 식별하거나 또는 타겟 패턴의 모든 부분들에 적용된 SB들을 가질 수 있다. 도 3은 기판 상에 묘화될 랜덤하게 이격된 콘택 홀(18)들을 구비한 예시적인 콘택 홀 패턴을 예시한다.
일단 타겟 마스크 패턴이 입력되면, 상기 프로세스의 다음 단계(단계 16)는 타겟 패턴에 기초하여 간섭맵을 생성하는 것이다. 도 3에 도시된 예시적인 콘택 홀 패턴은 상기 프로세스를 예시하는데 사용될 것이다. 물리적으로, 간섭맵은 본질적으로, 형성된 조명 조건들과 설정치들을 이용하여 타겟 디자인 피처들에 의해 형성된 노광 시간에서의 전기장(E-필드) 분포이다. E-필드 분포를 이용하면, SB 피처들의 최적 배치에 필요한 상대적인 위상 정보를 추출할 수 있다. 다시 말해, 상기 IM은 주어진 패턴에 대한 해당 광학 영역 내의 각각의 지점(예컨대, 그리드 지점)에서, 상기 지점에서 투과된 광이 원하는 타겟 패턴과 보강 간섭하거나(이에 따라 타겟 패턴 상에서의 투과된 광의 세기가 더 커지게 됨), 상쇄 간섭하거나(이에 따라 타겟 패턴 상에서의 투과된 광의 세기가 더 작아지게 됨) 또는 중립(타겟 패턴 상에서의 투과된 광의 세기가 변하지 않음)인지의 여부를 나타낸다. 상기 IM은 본 명세서에서 그 전체 내용을 참고문헌으로 채택하고 있는, 2004년 1월 1일에 출원된 미국특허출원 제10/756,830호에 개시된 종래의 에어리얼 이미지 시뮬레이터 또는 2003년 12월 19일에 출원된 미국특허 가출원 번호 제60/530,656호에 개시된 고유값 이미지 모델 커널(eigenvalued image model kernel)을 이용하는 수많은 방법들을 이용하여 생성될 수 있음을 유의한다.
나아가, 조명은 실제 포토리소그래피에서 부분적으로 코히런트하기 때문에, 주어진 마스크 패턴에 대한 E-필드 분포(또는 간섭맵)는 통계적인 의미로 이해될 수 있다. 다시 말해, 상이한 장소에서의 간섭맵의 위상 관계는 통계적으로 변동이 없다(stationary).
도 2에 예시된 사전정의된 조명 조건들 하에서 도 3에 도시된 타겟 콘택 홀 패턴과 관련된 E-필드 분포 또는 조명 맵이 도 4에 도시되어 있따. 일단 IM이 타겟 패턴에 대해 생성되면, 상기 프로세스에서의 다음 단계(단계 20)는 0-위상 SB가 각각의 주어진 장소에서 상기 SB의 모양을 따라 위치되어야만 하는 마스크 레이아웃 내의 장소들을 추출하거나 식별하는 것이다. 각각의 0-위상 SB의 모양 및 크기가 상이할 수도 있음을 유의한다. 물론, SB가 동일한 모양과 크기를 가질 수도 있으며, 마스크 레이아웃 내에서의 SB의 방위가 다를 수도 있다.
언급된 바와 같이, 간섭맵은 노광 시에 타겟 콘택 홀 마스크 패턴의 위상 관계 및 상대적인 상호작용 세기를 예시한다. 만일 메인 콘택 피처(18)들에서의 E-필드의 위상들이 0에서의 기준점으로 유지된다면, 포지티브 E-필드 값을 가지는 장소에 배치된 소정의 0-위상 SB 피처는 보강 방식으로 프린트될 이웃하는 콘택 홀(18)들과 상호작용할 것이다. 다시 말해, 이들 장소에 배치된 SB 피처들은 메인 콘택 홀 피처(18)들의 프린팅을 증대시키는 역할을 할 것이다. 일 실시예에서, SB 배치에 적합한 0-위상 영역들은 상기 IM의 고정된 E-필드 값 임계 설정치를 갖는 등고선(contour)에 의해 표시될 수 있다.
이러한 간섭맵으로부터 SB 피처들을 추출하기 위한 몇 가지 방법들이 있음을 유의한다. 상기 추출은 SB 배치를 위한 최적 장소들로 식별된 등고선 영역들에 기초한 정다각형을 생성하는 프로세스와 관련된다. 마스크 제조 관점으로부터, 실제로 마스크를 제조하는데 이용될 툴에 의해 정의된 제조 제약조건에 순응하는 추출된 SB 피처들을 가지는 것이 최상이다. 상기 제약조건은 예컨대 SB 피처의 크기 및 모양의 관점에서 표현될 수 있다. 주어진 예시에서는, 직사각형 및 팔각형 SB 피처들이 설명된다. 하지만, 본 발명은 이들 모양에 국한되는 것이 아니다. 프로세스들이 생산 가치가 있는 품질을 보장하도록 하는 현존하는 및/또는 미래의 마스크에 의해 생산되고 검사될 수 있는 한 여타의 모양들도 이용가능하다는 것은 확실하다.
과도한 개수의 SB 피처들이 마스크 디자인에 포함되는 것은 바람직하지 않은데, 그 이유는 이것이 마스크 데이터 내의 피처 카운트를 증가시키고, 알고 있는 바와 같이, 과도한 피처 카운트는 마스크 제조 프로세스 시에 관리하기가 너무 부담스러워질 수 있다. 또한, 과도한 SB 피처들은 0-위상 SB들을 평형시키는 단계를 수행할 때에 악영향을 끼치기 쉽다. 따라서, 최적으로 정의된 임계 설정치를 이용하여 IM으로부터 상당한 보강 간섭을 제공하는 영역들만을 추출/식별하는(및 상기 영역들에 0-위상 SB들을 배치시키는) 것이 좋다. 통상적으로, (0-위상 SB를 필요로 함에 따라 식별되는 과도한 개수의 IM 상의 영역들을 발생시키지 않는 임계값을 정의하기 위한) 최적화 프로세스는, 해당 등고선(즉, 0-위상 SB들을 수용하기 위한 영역)들을 한정하도록 최적 E-필드 임계 설정치를 결정하기 위하여 약간의 시행착오가 필요할 것이다.
일단, 0-위상 SB들이 위치되어야 하는 마스크 레이아웃의 영역들이 한정되면, 각각의 SB 피처들의 방위(즉, 모양)는 수많은 이용가능한 방법들 중 하나에 의해 결정되어야만 한다. 본 명세서에서 그 전체 내용을 참고문헌으로 채택하고 있는, 2004년 6월 29에 출원된 미국특허출원번호 제10/878,490호에는, IM으로부터 SB들을 추출하기 위한 여러 방법들이 개시되어 있다. 상기 방법들 중 2가지는 다음과 같다. 1) 형성된 등고선들의 "지원자(candidate)" 아일랜드(island)들의 주축의 방위를 계산하거나 또는 2) 지정된 마스크 모양 세트로 정의된 등고선들의 "지원자" 아일랜드들의 적분을 계산하는 것이다. 도 5 및 도 6(a) 내지 도 6(e)와 아래의 수학식들은 상기 방법 양자 모두를 예시한다. 예를 들어, 일단 "지원자" 아일랜드의 주축의 방위가 계산되면, 적절한 직사각형 SB가 상기 아일랜드 내의 정확한 방위로 배치될 수 있어, SB 방위 제약 하에서 최적의 SB 효과가 이루어질 수 있게 된다. 상기 SB의 크기는 SB 제조능력 및 프린트능력에 의해 부과되는 사전정의된 SB 길이 및 폭 제약들과 결합된 2개의 주축 길이들에 의해 결정된다.
첫번째 방법을 이용하는 경우, 주축 방위를 계산하기 위한 한 가지 기술에서는, 우선 매트릭스를 이용하여 관성 매트릭스의 모멘트들의 요소들을 계산한다:
여기서:
는 장소 i에서의 E-필드 값이다.
방위각, θ는 다음과 같은 수학식을 만족한다:
만일 두번째 방법을 이용한다면, 우선 "지원자" 아일랜드 등고선의 중심(center of gravity)에 마스크 모양 중심을 배치시킨 다음, 상기 마스크 모양 내에 있는 E-필드 값들을 더한다. 다음으로, 상기 E-필드 값들의 최대 합계를 제공하는 방위(A, B, C 또는 D)를 갖는 마스크 모양을 선택한다. 이렇게 선택된 마스크 모양들은 의도된 SB 피처들이다. 현재 마스크 제조 기술들은 통상적으로 방위의 {0,45,90,135} 도의 방위를 갖는 마스크 상의 직사각형들을 이용하기 때문에, 이러한 직사각형들은 종종 SB들에 대한 자연적인 선택이다. 하지만, 상술된 바와 같이, 여타의 모양들이 상기 SB들을 구현하는데 사용될 수도 있다.
SB 피처들의 가능한 프린팅을 막기 위하여, 상기 IM으로부터 결정된 추출된 SB 피처들에 대한 1세트의 사전정의된 제한조건(예컨대, 최대 폭 및 길이)들이 부과될 수 있음을 또한 유의한다. 예컨대, 최대 폭 및 길이의 정의는 마스크를 제조하는 제조능력에 순응하기 위하여 정의될 수 있으며, 마스크를 묘화하는데 사용될 묘화 시스템 및 처리 조건들을 기초로 할 수 있따. 또한, 원하는 콘택 홀 주위의 배타 영역(exclusion region) 또한 정의될 수 있는데, 이는 SB들을 배치하는 것을 허용할 수 없다. 이러한 배타 영역은 SB들이 프린팅 시에 메인 피처 왜곡을 유발하는 것을 막는다.
도 7은 상기 방법에 따라 원하는 콘택 홀(18)들에 인접하여 위치된 0-위상 SB(21)들을 포함하는 수정된 마스크 디자인을 예시한다. 상기 0-위상 SB(21)들은 단지 상술된 방식으로 결정되는 사전결정된 임계값을 초과하는 e-필드 값을 갖는 마스크 디자인 내에 있는 장소들에만 배치된다. 언급된 예시에서, 0-위상 SB들을 사이징 및 배향하는데 사용되는 방법은 상술된 두번째 방법이다. 0-위상 SB 피처(21)들과 메인 콘택 홀 피처(18)들은, 상기 피처들을 통해 투과된 광에 대하여 동일한 위상(본 예시에서는 0-위상 시프트임)을 가진다는 점을 유의한다. 이는 0-위상 SB 피처(21)들로부터 생성된 E-필드들이 콘택 홀 장소들로부터의 E-필드들과 보강적으로 상호작용하기 위한 것이다. 그 결과, E-필드(및 세기 레벨)들은 메인 콘택 홀 피처(18)들에서 강해지고, 콘택 홀 피처(18)들은 더욱 프린트가능하며, 향상된 프로세스 관용도를 가지게 된다.
이론적으로, 0-위상 SB 피처들의 크기는 0-위상 SB들이 서브분해능으로 남아 있는 한(즉, 0-위상 SB들이 프린트될 수 없음), 가능한 한 커야 한다. 하지만, 상술된 바와 같이, 0-위상 SB 피처(21)들은 메인 콘택 피처(18)들로부터의 E-필드들과 동일한 부호를 가지는 e-필드 값들을 가진다. 이는 메인 콘택 피처(18)들의 프린트능력을 증대시킬 수 있는 한편, 상기 피처들간의 광학 상호작용이 상호적(reciprocal)이라는 것도 인식되어야만 한다. 이는 0-위상 SB 피처(21)들의 세기 레벨들도 증대되고 더욱 프린트가능하게 된다는 것을 의미한다. 이것은 분명히 좋지 않으므로, 방지되어야 하며, 본 발명은 0-위상 SB(21)를 국부적으로 위상 평형시키기 위하여 π-위상 SB(23)들을 이용한다. 다시 말해, 본 발명에 따르면, 메인 콘택 피처에 대한 보다 나은 프린트능력의 이점을 유지하면서 0-위상 SB 피처(21)들의 프린팅을 억제하기 위하여, 상기 마스크 디자인은 π-위상 SB(23)들을 포함하도록 더 수정된다.
보다 상세하게는, 본 발명은 상대적인 0-π 위상들을 국부적으로 "평형"시키기 위하여 0-위상 카운터파트 SB들에 이웃하여 π-위상(또는 아웃-오브-위상(out-of-phase)) SB 피처(23)들을 배치시키는 단계를 포함한다. 중요한 점은 0-위상 SB 피처(21)과 메인 콘택 홀(10)들간의 보강적 상호작용을 유지하면서, 위상-평형 SB 피처들로부터의 E-필드들이 단지 서로 상쇄적으로 상호작용하는 것을 보장하는 것이다.
마스크 디자인 내의 π-위상 SB들의 배치는 다음과 같이 수행된다. 도 1을 다시 참조하면, 마스크 디자인 내에 포함되도록 결정된 0-위상 SB들에만 기초하여 두번째 IM이 생성된다(단계 22). 결과적인 IM은 광이 0-위상 SB(21)들의 프린팅과 보강 간섭하는 상기 장소 상에 입사하는 마스크 레이아웃 내의 장소들을 식별한다. 본 발명에 따르면, 상기 장소들에 π-위상 SB(23)들을 배치하면, 광 투과에 대한 180도 위상차를 나타내는 π-위상 SB들은 0-위상 SB(21)들간의 보강적 상호작용을 상쇄하거나 억제하는 역할을 한다. π-위상 SB들은 상술된 0-위상 SB들과 동일한 방식으로 상기 IM으로부터 추출될 수 있음을 유의한다. 도 8은 단계 20에 정의된 0-위상 SB들에만 대응하는 결과적인 IM을 예시한다.
일단 π-위상 SB(23)들이 추출되면, 오리지널 마스크 레이아웃(즉, 타겟 패턴)은 0-위상 SB(21)들과 π-위상 SB(23)들을 포함하도록 수정되며(단계 24), 이러한 소정 콘택 홀(18), 0-위상 SB 피처(21)들 및 π-위상 SB 피처(23)들을 구비한 마스크는 묘화될 실제 마스크 패턴을 나타낸다.
도 9는 어떠한 추가적인 미세-튜닝(fine-tuning)없이 추출된, 0-위상 SB 피처(21)들과 π-위상 SB 피처(23)들 모두를 갖는 마스크 레이아웃을 예시하고, 도 10은 0-위상 SB 피처(21)들과 π-위상 SB 피처(23)들의 대응하는 다각형 플롯들을 보여준다. 도 10에서, 볼드체 그린 다각형들은 π-위상 SB 피처(23)들이고, 볼드체 블랙 다각형들은 0-위상 SB 피처(21)들이며, 나머지 피처들은 메인 콘택 홀 정방형 피처(18)들이다.
본 발명에 따른 위상-평형 SB 피처들의 적용예에 관련된 이점/장점을 확인하기 위하여 에어리얼 이미지 시뮬레이션이 수행되었다. 도 11은 마스크 패턴에 적용된 위상-평형 SB 피처들이 없는(즉, 어떠한 스캐터링 바아들이 없는), 메인 콘택 피처들의 세기 레벨들을 보여준다. 도 11에 도시된 바와 같이, 세기 레벨들은 근사적으로 1.8이다. 도 12는 0-위상 SB들과 π-위상 SB들이 마스크 레이아웃에 적용될 때의 결과적인 세기 레벨들을 예시한다. 도 12에 예시된 바와 같이, 메인 콘택 피처들은, 위상-평형 SB 피처들이 마스크 내에 포함될 때에, 상당히 더 밝은 세기 레벨(근사적으로 0.35)들을 가진다. 실제로, 상기 세기 레벨들은 위상-평형 SB 피처들 없이 얻어진 메인 콘택 피처들에 대한 상대적인 세기 레벨의 거의 두배이다.
프린트-대-타겟-크기를 달성하기 위하여, 콘택 홀 피처들은 때때로 위상-평형 SB 피처들의 존재 시에 후속 모드 OPC 단계도 겪어야만 한다는 것을 유의한다. 다시 말해, SB들은 콘택 홀 프린팅을 돕는 어시스트 피처들이지만, 콘택 크기들은 여전히 원하는 타겟 크기들로부터 동떨어져 있을 수 있다. 이러한 경우, 프린트된 콘택 크기를 디자인된 타겟 크기에 보다 근접시키기 위하여, 추가적인 OPC 단계들이 모델 프로세스에 적용될 수 있다.
0-π SB 위상 피처들을 평형시키는 효과를 더 예시하기 위하여, 도 13은 메인 콘택 피처들과 단지 0-위상 SB 피처들만을 포함하는 마스크에 대한 에어리얼 이미지를 보여준다. 도 13의 에어리얼 이미지를 도 12의 에어리얼 이미지에 비교하면, 0-위상 SB 장소들에서의 세기 레벨들이 전반적으로 충분히 억제된다는 점은 자명하다("평형된" π-위상 SB 피처들이 마스크 레이아웃 내에 포함될 때의 도 13의 0-위상 SB의 예시 사이트 1 vs. 도 12의 대응하는 사이트 참조). 메인 콘택 홀 피처들의 세기 레벨들에 있어서와 같이, 예시 사이트 2는 도 13으로부터 도 12로의 약간의 저하를 보여준다. 이는 본 발명의 0-π 위상 평형으로 인하여 달성된다. 또한, 메인 콘택 피처들의 배타 구역의 크기를 조정하는 것이 0-π SB 위상 평형을 효과적으로 최적화할 수 있다고 밝혀졌다는 점을 유의한다. 또한, π 위상 SB들은, 0-위상 SB들의 간섭맵을 이용하여 그리고 배제된 메인 콘택 피처들 너머 소정 범위로 생성된다. 상기 배타 범위는 0-π SB들에 대한 평형을 조정하는데 효과적인 변수이다.
또한, 조밀한 피처 영역들에 대해서는, 0-위상 SB들의 크기가 더욱 제한될 수 있는데, 그 이유는 그렇지 않으면 π-위상 SB들을 삽입하기 위한 충분한 공간이 없을 수 있기 때문이다. 하지만, 근접성 효과로 인하여, 0-위상 SB들의 크기를 조정함으로써 비교가능한 프린팅 결과들을 달성하는 것이 가능하다는 것이 밝혀졌다. 콘택 홀 피처들이 더욱 격리됨에 따라, 0-위상 SB 피처들의 크기는 엄격한 제약조건들을 덜 겪게 되고, π-위상 SB들의 보다 넓은 범위가 위상 평형에 이용될 수 있따. 따라서, 보다 격리된 영역에서는, 0-위상 SB 피처들과 π-위상 SB 피처들 모두가 평형되어 있는 한, 격리된 콘택들의 프린트능력을 더욱 증대시키기 위하여 그들이 확대될 수 있다. 현재 지오메트리 조작 엔진들에 의하면, 상기 위상 평형된 SB들에 대한 자동 라벨링 및 크기 조정이 용이하게 달성될 수 있다.
도 14는 본 발명의 마스크 디자인 방법을 구현하기 위한 예시적인 처리 시스템을 예시한다. 도 14에 예시된 바와 같이, 예시적인 처리 시스템은 입력부(1003)로부터 입력을 수신하는 프로세서(100)를 포함할 수 있따. 프로세서(1000)는 종래의 마이크로프로세서일 수 있으며, 또는 EEPROM이나 EPROM 또는 제조된 집적회로와 같은 특별히 디자인된 처리유닛일 수도 있다. 입력부(1003)는 키보드나 마우스와 같은 소정 타입의 전자입력장치일 수 있으며, 또는 메모리나 인터넷 접속부일 수도 있다. 프로세서(1000)는 ROM(1002) 및 RAM(1001)으로부터 본 발명에 따른 마스크 레이아웃을 생성하기 위한 상술된 방법들을 구현하기 위한 프로토콜과 같은 저장된 프로토콜들을 검색하고, 정보를 RAM(1001) 상에 저장하는 것이 바람직하다. 프로세서(1000)의 계산된 결과값(예컨대, 마스크 디자인)들은 디스플레이(1004) 상에 디스플레이될 수 있으며, 마스크 제조유닛으로 제공될 수도 있다.
도 15는 본 발명에 의하여 디자인된 마스크들을 이용하기에 적합한 리소그래피 투영장치를 개략적으로 도시한다. 상기 장치는,
- 방사선의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL)(이 경우에는 특별히 방사선시스템이 방사선 소스(LA)도 포함한다);
- 마스크(MA)(예를 들어, 레티클)를 유지시키는 마스크 홀더가 마련된, 아이템(PL)에 대하여 마스크를 정확히 위치시키는 제1위치설정수단에 연결된 제1대물테이블(마스크테이블)(MT);
- 기판(W)(예를 들어, 레지스트 코팅된 실리콘 웨이퍼)을 유지시키는 기판 홀더가 마련된, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제2위치설정수단에 연결된 제2대물테이블(기판테이블)(WT);
- 기판(W)의 타겟부(C)(1이상의 다이를 포함)에 마스크(MA)의 조사된 부분을 묘화하는 투영시스템("렌즈")(PL)(예를 들어, 굴절형, 카톱트릭 또는 카타디옵트릭 광학 시스템)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 (반사마스크를 구비한) 반사형이다. 하지만, 일반적으로는, 예를 들어 (투과마스크를 구비한) 투과형일 수도 있다. 대안적으로, 상기 장치는 마스크 사용의 대안으로서 또 다른 종류의 패터닝수단을 채용할 수도 있다; 예시는 프로그램가능한 거울 배열 또는 LCD 행렬을 포함한다.
상기 소스(LA)(예를 들어, 수은 램프, 엑시머 레이저 또는 플라즈마 방전 소스)는 방사선의 빔을 생성한다. 상기 빔은 곧바로 조명시스템(일루미네이터)(IL)에 들어 가거나, 예를 들어 빔 익스펜더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명시스템으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기 분포의 외반경 및/또는 내반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다른 다양한 구성요소들을 포함하고 있다. 이러한 방식으로, 마스크(MA)에 도달하는 빔(PB)은 그 단면에 소정의 균일성과 세기 분포를 갖게 된다.
도 15와 관련하여, 상기 소스(LA)는 리소그패피 투영장치의 하우징내에 놓이지만(흔히 예를 들어, 소스(LA)가 수은 램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선 빔이 (가령, 적절한 지향 거울에 의해) 장치 내부로 들어오게 할 수도 있다. 후자의 시나리오는 소스(LA)가 흔히 (예컨대, KrF, ArF 또는 F2 레이징에 기초한) 엑시머레이저인 때의 경우이다. 본 발명과 청구 범위는 이들 시나리오를 모두 포함하고 있다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 유지되는 마스크(MA)를 거친다. 상기 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)을 포커스한다. 제2위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 15에 명확히 도시되지는 않았지만, 장행정모듈 (long-stroke module)(개략 위치설정) 및 단행정모듈(미세 위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔툴과는 대조적으로) 웨이퍼스테퍼의 경우에는, 마스크테이블(MT)은 단지 단행정 엑추에이터에 연결되거나 또는 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)에 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 시프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
- 스캔 모드에서는, 소정 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동 가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V=Mv로, 동일한 방향 또는 그 반대 방향으로 동시에 이동하는 데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
실행가능한 코드를 포함하는, 프로그래밍을 수반하는 컴퓨터시스템의 소프트웨어 기능성들이 상술된 묘화 모델을 구현하는데 이용될 수 있다. 소프트웨어 코드는 범용 컴퓨터에 의해 실행가능하다. 작동시, 코드 및 관련된 데이터 기록들은 범용 컴퓨터 플랫폼 내에 저장될 수 있다. 하지만, 다른 때에는, 상기 소프트웨어가 다른 장소에 저장되거나 및/또는 적절한 범용 컴퓨터시스템으로의 로딩을 위하여 이동될 수도 있다. 이에 따라, 상술된 실시예들은 하나 이상의 기계-판독가능한 매체에 의해 전달된 코드의 1 이상의 모듈 형태의 1 이상의 소프트웨어 제품을 포함한다. 컴퓨터시스템의 프로세서에 의한 상기 코드의 실행은, 특히 본 명세서에 논의되고 예시된 실시예들에서 수행된 방식으로, 상기 플랫폼이 카탈로그 및/또는 소프트웨어 다운로딩 기능들을 구현하도록 할 수 있다.
여기서, 컴퓨터 또는 기계 "판독가능한 매체"와 같은 용어는, 실행을 위하여 프로세서에 명령어들 제공하는 것에 관여하는 소정의 매체를 칭한다. 이러한 매체는 여러 형태를 취하는데, 비휘발성 매체, 휘발성 매체 및 전송 매체들을 포함하기는 하지만, 여기에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 상술된 서버 플랫폼 중 하나로서 작동하는 소정의 컴퓨터(들)내의 소정의 기억장치와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 상기 컴퓨터 플랫폼의 메인 메모리와 같은 다이내믹 메모리를 포함한다. 물리적인 전송 매체는 컴퓨터시스템 내에 버스를 포함하는 와이어를 포함하는 섬유 다발, 구리선 및 동축케이블 등을 포함한다. 반송파(carrier-wave) 전송 매체는 전기 신호나 전자기 신호 또는 무선 주파수(RF) 및 적외(IR) 데이터 통신 시에 생성되는 것과 같은 탄성파 또는 광파의 형태를 취할 수 있다. 그러므로 컴퓨터-판독가능한 매체의 일반적인 형태들은 예컨대: 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 여타의 자기 매체, CD-ROM, DVD, 여타의 광학매체를 포함하며, 흔하지는 않지만 펀치 카드, 페이퍼 테잎, 구멍들의 패턴을 갖는 여타의 물리적인 매체, RAM, PROM, EPROM, FLASH-EPROM, 여타의 메모리 칩이나 카트리지, 반송파 전달 데이터나 명령어, 상기 반송파를 전달하는 케이블이나 링크, 또는 컴퓨터가 프로그래밍 코드 및/또는 데이터를 판독할 수 있는 여타의 매체를 포함한다. 이들 컴퓨터 판독가능한 매체의 여러 형태들은 실행을 위하여 프로세서에 1 이상의 명령어의 1 이상의 시퀀스 전달 시에 수반될 수 있다.
또한, 본 명세서에 개시된 개념들은 서브 파장 피처들을 묘화하기 위하여 소정의 일반 묘화시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 현저하게 보다 작은 크기의 파장을 생성할 수 있는 신생 묘화 기술들에 특히 유용할 수 있다. 이미 사용 중인 신생 기술들은, ArF 레이저를 사용하여 193nm 파장을 생성할 수 있으며, 심지어는 플루오르 레이저를 사용하여 157nm 파장을 생성할 수도 있는 EUV(극자외선) 리소그래피를 포함한다. 한편, EUV 리소그래피는 싱크로트론을 이용하여 또는 고에너지 전자들을 갖는 재료(고체 또는 플라즈마)를 때림(hitting)으로써 20~5nm 범위 내의 파장을 생성할 수 있으므로, 상기 범위 내의 광자를 생성할 수 있다. 대부분의 재료가 상기 범위 내에서 흡수성이기 때문에, 몰리브덴 및 실리콘의 멀티-스택을 갖는 반사형 거울에 의해 조명이 생성될 수 있다. 상기 멀티-스택 거울은, 각 층의 두께가 1/4 파장인 40층 쌍의 몰리브덴 및 실리콘을 가진다. 훨씬 더 작은 파장은 X-레이 리소그래피로 생성될 수 있다. 통상적으로, 싱크로트론은 X-레이 파장을 생성하는데 사용된다. 대부분의 재료가 x-레이 파장에서 흡수성이므로, 흡수 재료의 얇은 피스(piece)는 피처들이 프린트될 곳이거나(포지티브 레지스트) 프린트되지 않을 곳(네거티브 레지스트)을 정의한다.
여기에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 묘화하는데 이용될 수 있지만, 상기 개시된 개념들은 여타의 리소그래피 묘화시스템, 예컨대 실리콘 웨이퍼 이외의 기판 상에 묘화하는데 사용되는 것으로 이용될 수도 있음을 이해하여야 한다.
지금까지 본 발명의 소정의 특정 실시예들을 기술하였지만, 본 발명은 그 기술적 사상 또는 핵심 특징들로부터 벗어나지 않고도 여타의 형태로 구현될 수 있음을 유의해야 한다. 따라서, 본 실시예들은 모든 면에 있어서 예시적인 것으로 고려되지만 제한적인 것은 아니며, 본 발명의 범위는 첨부된 청구항에 의해 정해지고, 모든 수정예들이 상기 청구항의 의미 및 균등론 범위 내에 있음은 자명하다.
본 발명에 따르면, 모델-기반 접근법을 활용하여 주어진 마스크 디자인과 관련된 간섭맵을 기초로 하여 위상-평형 SB 피처들을 마스크 디자인에 자동으로 적용시키기 위한 구현예의 시스템 방법을 제공할 수 있다.
도 1은 본 발명에 따라 SB들을 마스크 패턴에 적용하는 방법을 나타내는 예시적인 플로우차트이다.
도 2는 본 발명의 방법을 설명하기 위하여 아래에 설명하는 예시에서 활용되는 예시적인 Quasar 조명을 예시한 도면이다.
도 3은 랜덤하게 이격된 콘택 홀들을 구비한 예시적인 콘택 홀 패턴을 예시한 도면이다.
도 4는 도 2에 예시된 사전정의된 조명 조건들 하에 도 3에 도시된 타겟 콘택 홀 패턴으로부터 생성된 조명맵(illumination map)을 예시한 도면이다.
도 5 및 도 6(a) 내지 도 6(e)는 조명맵으로부터 SB 피처들을 추출하기 위한 방법들을 예시한 도면이다.
도 7은 본 발명의 방법에 따른 소정 콘택 홀(18)들에 인접하여 위치한 0-위상 SB들을 포함하는 수정된 예시적인 마스크 디자인을 예시한 도면이다.
도 8은 단지 0-위상 SB들에서만 생성된 결과적인 IM을 예시한 도면이다.
도 9는 0-위상 SB 피처들(21)과 π-위상 SB 피처들(23) 양자 모두를 구비한 결과적인 예시적인 마스크 레이아웃을 예시한 도면이다.
도 10은 도 9에 도시된 레이아웃의 0-위상 SB 피처들과 π-위상 SB 피처들의 대응하는 다각형 플롯들을 도시한 도면이다.
도 11은 오리지널 마스크 레이아웃에 적용된 본 발명의 위상-평형 SB 피처들 없이 메인 콘택 피처들의 세기 레벨들을 도시한 도면이다.
도 12는 0-위상 SB 피처들과 π-위상 SB 피처들이 오리지널 마스크 레이아웃에 적용될 때에 결과적인 세기 레벨들을 예시한 도면이다.
도 13은 메인 콘택 피처들과 단지 0-위상 SB 피처들만을 포함하는 마스크에 대한 에어리얼 이미지를 도시한 도면이다.
도 14는 본 발명의 마스크 디자인 방법을 구현하기 위한 예시적인 처리 시스템을 예시한 도면이다.
도 15는 본 발명의 도움을 받아 디자인된 마스크들을 사용하는데 적합한 리소그래피 투영장치를 개략적으로 도시한 도면이다.

Claims (18)

  1. 광근접성보정피처들이 그 안에 배치된 마스크 디자인 생성방법에 있어서,
    기판 상에 묘화될 피처들을 구비한 원하는 타겟 패턴을 획득하는 단계;
    상기 타겟 패턴에 기초하여 제1간섭맵을 결정하는 단계를 포함하여 이루어지고, 상기 제1간섭맵은 묘화될 1 이상의 상기 피처들과 상기 1 이상의 피처에 인접한 필드 영역 사이의 보강 간섭 영역들을 한정하며;
    상기 제1간섭맵에 의해 한정된 보강 간섭 영역들에 기초하여 마스크 디자인 내의 제1위상을 갖는 제1세트의 어시스트 피처들을 배치시키는 단계;
    상기 제1세트의 어시스트 피처들에 기초하여 제2간섭맵을 결정하는 단계를 포함하여 이루어지고, 상기 제2간섭맵은 상기 제1세트의 어시스트 피처들의 어시스트 피처들과 상기 제1세트의 어시스트 피처들의 어시스트 피처들 중 1 이상에 인접한 필드 영역 사이의 보강 간섭 영역들을 한정하며;
    상기 제2간섭맵에 의해 한정된 상기 보강 간섭 영역들에 기초하여 상기 마스크 디자인 내의 제2위상을 갖는 제2세트의 어시스트 피처들을 배치시키는 단계를 포함하여 이루어지고,
    상기 제1위상은 상기 제2위상과 같지 않은 것을 특징으로 하는 마스크 디자인 생성방법.
  2. 제1항에 있어서,
    상기 제2세트의 어시스트 피처들에서의 각각의 어시스트 피처들은, 상기 제1세트의 어시스트 피처들에서의 각각의 어시스트 피처들에 대하여 180°위상-시프트를 나타내는 것을 특징으로 하는 마스크 디자인 생성방법.
  3. 제1항에 있어서,
    상기 타겟 패턴 내의 1 이상의 피처를 둘러싸는 배타 영역을 한정하는 단계를 더 포함하여 이루어지고,
    상기 제1세트의 어시스트 피처들로부터의 어시스트 피처 또는 상기 제2세트의 어시스트 피처들로부터의 어시스트 피처 중 어떠한 것도 상기 배타 영역 내에 위치되지 않는 것을 특징으로 하는 마스크 디자인 생성방법.
  4. 제1항에 있어서,
    상기 제1세트의 어시스트 피처들에서의 어시스트 피처들은 원하는 타겟의 상기 피처들의 프린팅을 증대시키고 보강 간섭 영역들 내에 배치되며, 상기 제2세트의 어시스트 피처들에서의 어시스트 피처들은 상기 제1세트의 어시스트 피처들 내에 포함된 어시스트 피처들간에 발생하는 보강 간섭을 상쇄(negate)시키는 것을 특징으로 하는 마스크 디자인 생성방법.
  5. 제1항에 있어서,
    상기 제1간섭맵은 묘화될 피처에 대한 필드의 세기 레벨들을 한정하고, 상기 제1간섭맵은 제로가 아닌 DC 레벨에 대하여 양과 음의 세기 값 모두를 나타낼 수 있으며,
    상기 제로가 아닌 DC 레벨에 대하여 양의 세기 값들을 갖는 필드 영역들은 보강 간섭 영역들에 대응하고, 상기 제로가 아닌 DC 레벨에 대하여 음의 세기 값들을 갖는 필드 영역들은 상쇄 간섭 영역들에 대응하는 것을 특징으로 하는 마스크 디자인 생성방법.
  6. 제1항에 있어서,
    상기 제2간섭맵은 상기 제1세트의 어시스트 피처들에 대한 필드의 세기 레벨들을 한정하고, 상기 제2간섭맵은 제로가 아닌 DC 레벨에 대하여 양과 음의 세기 값 모두를 나타낼 수 있으며,
    상기 제로가 아닌 DC 레벨에 대하여 양의 세기 값들을 갖는 필드 영역들은 보강 간섭 영역들에 대응하고, 상기 제로가 아닌 DC 레벨에 대하여 음의 세기 값들을 갖는 필드 영역들은 상쇄 간섭 영역들에 대응하는 것을 특징으로 하는 마스크 디자인 생성방법.
  7. 광근접성보정피처들이 그 안에 배치된 마스크 디자인 생성장치에 있어서,
    기판 상에 묘화될 피처들을 구비한 원하는 타겟 패턴을 획득하는 수단;
    상기 타겟 패턴에 기초하여 제1간섭맵을 결정하는 수단을 포함하여 이루어지고, 상기 제1간섭맵은 묘화될 1 이상의 상기 피처들과 상기 1 이상의 피처에 인접한 필드 영역 사이의 보강 간섭 영역들을 한정하며;
    상기 제1간섭맵에 의해 한정된 보강 간섭 영역들에 기초하여 마스크 디자인 내의 제1위상을 갖는 제1세트의 어시스트 피처들을 배치시키는 수단;
    상기 제1세트의 어시스트 피처들에 기초하여 제2간섭맵을 결정하는 수단을 포함하여 이루어지고, 상기 제2간섭맵은 상기 제1세트의 어시스트 피처들의 어시스트 피처들과 상기 제1세트의 어시스트 피처들의 어시스트 피처들 중 1 이상에 인접한 필드 영역 사이의 보강 간섭 영역들을 한정하며;
    상기 제2간섭맵에 의해 한정된 상기 보강 간섭 영역들에 기초하여 상기 마스크 디자인 내의 제2위상을 갖는 제2세트의 어시스트 피처들을 배치시키는 수단을 포함하여 이루어지고,
    상기 제1위상은 상기 제2위상과 같지 않은 것을 특징으로 하는 마스크 디자인 생성장치.
  8. 제7항에 있어서,
    상기 제2세트의 어시스트 피처들에서의 각각의 어시스트 피처들은, 상기 제1세트의 어시스트 피처들에서의 각각의 어시스트 피처들에 대하여 180°위상-시프트를 나타내는 것을 특징으로 하는 마스크 디자인 생성장치.
  9. 제7항에 있어서,
    상기 타겟 패턴 내의 1 이상의 피처를 둘러싸는 배타 영역을 한정하는 수단을 더 포함하여 이루어지고,
    상기 제1세트의 어시스트 피처들로부터의 어시스트 피처 또는 상기 제2세트의 어시스트 피처들로부터의 어시스트 피처 중 어떠한 것도 상기 배타 영역 내에 위치되지 않는 것을 특징으로 하는 마스크 디자인 생성장치.
  10. 제7항에 있어서,
    상기 제1세트의 어시스트 피처들에서의 어시스트 피처들은 원하는 타겟의 상기 피처들의 프린팅을 증대시키고 보강 간섭 영역들 내에 배치되며, 상기 제2세트의 어시스트 피처들에서의 어시스트 피처들은 상기 제1세트의 어시스트 피처들 내에 포함된 어시스트 피처들간에 발생하는 보강 간섭을 상쇄시키는 것을 특징으로 하는 마스크 디자인 생성장치.
  11. 제7항에 있어서,
    상기 제1간섭맵은 묘화될 피처에 대한 필드의 세기 레벨들을 한정하고, 상기 제1간섭맵은 제로가 아닌 DC 레벨에 대하여 양과 음의 세기 값 모두를 나타낼 수 있으며,
    상기 제로가 아닌 DC 레벨에 대하여 양의 세기 값들을 갖는 필드 영역들은 보강 간섭 영역들에 대응하고, 상기 제로가 아닌 DC 레벨에 대하여 음의 세기 값들을 갖는 필드 영역들은 상쇄 간섭 영역들에 대응하는 것을 특징으로 하는 마스크 디자인 생성장치.
  12. 제7항에 있어서,
    상기 제2간섭맵은 상기 제1세트의 어시스트 피처들에 대한 필드의 세기 레벨들을 한정하고, 상기 제2간섭맵은 제로가 아닌 DC 레벨에 대하여 양과 음의 세기 값 모두를 나타낼 수 있으며,
    상기 제로가 아닌 DC 레벨에 대하여 양의 세기 값들을 갖는 필드 영역들은 보강 간섭 영역들에 대응하고, 상기 제로가 아닌 DC 레벨에 대하여 음의 세기 값들을 갖는 필드 영역들은 상쇄 간섭 영역들에 대응하는 것을 특징으로 하는 마스크 디자인 생성장치.
  13. 리소그래피 묘화 공정에서 사용하기 위한 마스크에 대응하는 파일들을 생성하기 위하여 컴퓨터에 명령을 내리기 위한 기록 매체 상에 기록된 수단으로서, 상기 컴퓨터에 의해 판독가능한 상기 기록 매체를 포함하는 컴퓨터를 제어하기 위한 컴퓨터 프로그램물(computer program product)에 있어서,
    상기 파일들의 상기 생성은,
    기판 상에 묘화될 피처들을 구비한 원하는 타겟 패턴을 획득하는 단계;
    상기 타겟 패턴에 기초하여 제1간섭맵을 결정하는 단계를 포함하여 이루어지고, 상기 제1간섭맵은 묘화될 1 이상의 상기 피처들과 상기 1 이상의 피처에 인접한 필드 영역 사이의 보강 간섭 영역들을 한정하며;
    상기 제1간섭맵에 의해 한정된 보강 간섭 영역들에 기초하여 마스크 디자인 내의 제1위상을 갖는 제1세트의 어시스트 피처들을 배치시키는 단계;
    상기 제1세트의 어시스트 피처들에 기초하여 제2간섭맵을 결정하는 단계를 포함하여 이루어지고, 상기 제2간섭맵은 상기 제1세트의 어시스트 피처들의 어시스트 피처들과 상기 제1세트의 어시스트 피처들의 어시스트 피처들 중 1 이상에 인접한 필드 영역 사이의 보강 간섭 영역들을 한정하며;
    상기 제2간섭맵에 의해 한정된 상기 보강 간섭 영역들에 기초하여 상기 마스크 디자인 내의 제2위상을 갖는 제2세트의 어시스트 피처들을 배치시키는 단계를 포함하여 이루어지고,
    상기 제1위상은 상기 제2위상과 같지 않은 것을 특징으로 하는 컴퓨터 프로그램물.
  14. 제13항에 있어서,
    상기 제2세트의 어시스트 피처들에서의 각각의 어시스트 피처들은, 상기 제1세트의 어시스트 피처들에서의 각각의 어시스트 피처들에 대하여 180°위상-시프트를 나타내는 것을 특징으로 하는 컴퓨터 프로그램물.
  15. 제13항에 있어서,
    상기 파일들의 상기 생성은, 상기 타겟 패턴 내의 1 이상의 피처를 둘러싸는 배타 영역을 한정하는 단계를 더 포함하여 이루어지고,
    상기 제1세트의 어시스트 피처들로부터의 어시스트 피처 또는 상기 제2세트의 어시스트 피처들로부터의 어시스트 피처 중 어떠한 것도 상기 배타 영역 내에 위치되지 않는 것을 특징으로 하는 컴퓨터 프로그램물.
  16. 제13항에 있어서,
    상기 제1세트의 어시스트 피처들에서의 어시스트 피처들은 원하는 타겟의 상기 피처들의 프린팅을 증대시키고 보강 간섭 영역들 내에 배치되며, 상기 제2세트의 어시스트 피처들에서의 어시스트 피처들은 상기 제1세트의 어시스트 피처들 내에 포함된 어시스트 피처들간에 발생하는 보강 간섭을 상쇄시키는 것을 특징으로 하는 컴퓨터 프로그램물.
  17. 제13항에 있어서,
    상기 제1간섭맵은 묘화될 피처에 대한 필드의 세기 레벨들을 한정하고, 상기 제1간섭맵은 제로가 아닌 DC 레벨에 대하여 양과 음의 세기 값 모두를 나타낼 수 있으며,
    상기 제로가 아닌 DC 레벨에 대하여 양의 세기 값들을 갖는 필드 영역들은 보강 간섭 영역들에 대응하고, 상기 제로가 아닌 DC 레벨에 대하여 음의 세기 값들을 갖는 필드 영역들은 상쇄 간섭 영역들에 대응하는 것을 특징으로 하는 컴퓨터 프로그램물.
  18. 제13항에 있어서,
    상기 제2간섭맵은 상기 제1세트의 어시스트 피처들에 대한 필드의 세기 레벨들을 한정하고, 상기 제2간섭맵은 제로가 아닌 DC 레벨에 대하여 양과 음의 세기 값 모두를 나타낼 수 있으며,
    상기 제로가 아닌 DC 레벨에 대하여 양의 세기 값들을 갖는 필드 영역들은 보강 간섭 영역들에 대응하고, 상기 제로가 아닌 DC 레벨에 대하여 음의 세기 값들을 갖는 필드 영역들은 상쇄 간섭 영역들에 대응하는 것을 특징으로 하는 컴퓨터 프로그램물.
KR1020040070727A 2003-09-05 2004-09-06 서브-파장 광학 리소그래피용 위상-평형 스캐터링바아들의 모델 기반 배치를 수행하는 방법 및 장치 KR20050025095A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50026003P 2003-09-05 2003-09-05
US60/500,260 2003-09-05

Publications (1)

Publication Number Publication Date
KR20050025095A true KR20050025095A (ko) 2005-03-11

Family

ID=34135370

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040070727A KR20050025095A (ko) 2003-09-05 2004-09-06 서브-파장 광학 리소그래피용 위상-평형 스캐터링바아들의 모델 기반 배치를 수행하는 방법 및 장치

Country Status (8)

Country Link
US (1) US7550235B2 (ko)
EP (1) EP1513012B1 (ko)
JP (1) JP4659425B2 (ko)
KR (1) KR20050025095A (ko)
CN (1) CN100465789C (ko)
DE (1) DE602004011860T2 (ko)
SG (1) SG109607A1 (ko)
TW (1) TW200519526A (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7620930B2 (en) 2004-08-24 2009-11-17 Asml Masktools B.V. Method, program product and apparatus for model based scattering bar placement for enhanced depth of focus in quarter-wavelength lithography
CN1800971A (zh) * 2004-09-14 2006-07-12 Asml蒙片工具有限公司 一种用于实施全芯片制造可靠性检查和校正的方法
WO2006138410A2 (en) * 2005-06-14 2006-12-28 Cadence Design Systems, Inc. Method and system for using pattern matching to process an integrated circuit design
US7266798B2 (en) * 2005-10-12 2007-09-04 International Business Machines Corporation Designer's intent tolerance bands for proximity correction and checking
US7614034B2 (en) * 2005-11-08 2009-11-03 Asml Masktools B.V. Method and apparatus for generating OPC rules for placement of scattering bar features utilizing interface mapping technology
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7604909B2 (en) * 2005-12-29 2009-10-20 Asml Masktools B.V. Method for improved manufacturability and patterning of sub-wavelength contact hole mask
CN101086622B (zh) * 2006-06-08 2010-05-12 中芯国际集成电路制造(上海)有限公司 一种应用反散射带的方法
JP5235322B2 (ja) 2006-07-12 2013-07-10 キヤノン株式会社 原版データ作成方法及び原版データ作成プログラム
JP2008076683A (ja) * 2006-09-20 2008-04-03 Canon Inc 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
CN101681093B (zh) * 2007-06-04 2012-05-30 Asml荷兰有限公司 用于实施基于模型的光刻引导的布局设计的方法
KR100891336B1 (ko) * 2007-07-05 2009-03-31 삼성전자주식회사 마스크 레이아웃 이미지의 생성 방법, 이를 수행하는프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한저장 매체 및 이미징 시스템
JP4484909B2 (ja) * 2007-07-24 2010-06-16 キヤノン株式会社 原版データ作成方法、原版作成方法、露光方法および原版データ作成プログラム
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
EP2040120B1 (en) 2007-09-19 2011-03-02 Canon Kabushiki Kaisha Mask data generation method, mask fabrication method, exposure method, device fabrication method, and program
JP2009093138A (ja) * 2007-09-19 2009-04-30 Canon Inc 原版データの生成方法、原版作成方法、露光方法、デバイス製造方法及び原版データを作成するためのプログラム
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
US8166423B2 (en) * 2009-09-08 2012-04-24 International Business Machines Corporation Photomask design verification
US8122597B2 (en) * 2010-05-07 2012-02-28 Digital Graphics Incorporation Method for fabricating light emitting diode signboard
US8739078B2 (en) 2012-01-18 2014-05-27 International Business Machines Corporation Near-neighbor trimming of dummy fill shapes with built-in optical proximity corrections for semiconductor applications
KR101991380B1 (ko) 2012-07-26 2019-06-20 삼성전자주식회사 반도체 소자의 레이아웃 생성 방법
US9223911B2 (en) 2014-01-30 2015-12-29 Globalfoundries Inc. Optical model employing phase transmission values for sub-resolution assist features
US9310674B2 (en) 2014-02-20 2016-04-12 International Business Machines Corporation Mask that provides improved focus control using orthogonal edges
KR102238742B1 (ko) * 2014-09-11 2021-04-12 삼성전자주식회사 마스크 패턴의 측정 관심 영역 그룹화 방법 및 이를 이용한 마스크 패턴의 선폭 계측 방법
CN104391425B (zh) * 2014-10-20 2019-01-22 中国科学院微电子研究所 一种小间隙平面电极的制作方法
KR102335186B1 (ko) * 2014-12-24 2021-12-03 삼성전자주식회사 렌즈 조립체, 이를 이용한 장애물 감지유닛, 및 이를 구비한 이동로봇
CN106444272A (zh) * 2016-09-30 2017-02-22 上海华虹宏力半导体制造有限公司 光刻工艺中光学临近修正方法
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
CN108153115A (zh) * 2017-12-19 2018-06-12 上海集成电路研发中心有限公司 极紫外光刻掩模、其制作方法及生成掩模图案的方法
CN110119065B (zh) * 2018-02-06 2022-08-26 中芯国际集成电路制造(天津)有限公司 图形优化方法及掩膜版的制备方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60234320A (ja) * 1984-05-08 1985-11-21 Hitachi Ltd フオトマスクの欠陥修正方法及び装置
JPH04216548A (ja) * 1990-12-18 1992-08-06 Mitsubishi Electric Corp フォトマスク
KR960002536A (ko) * 1994-06-29 1996-01-26
US5682323A (en) * 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5663893A (en) * 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
US6223139B1 (en) * 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
JP3275863B2 (ja) * 1999-01-08 2002-04-22 日本電気株式会社 フォトマスク
US6214497B1 (en) * 1999-06-29 2001-04-10 Micron Technology, Inc. Method to eliminate side lobe printing of attenuated phase shift masks
US6303253B1 (en) * 2000-03-16 2001-10-16 International Business Machines Corporation Hierarchy and domain-balancing method and algorithm for serif mask design in microlithography
US6777141B2 (en) * 2000-07-05 2004-08-17 Numerical Technologies, Inc. Phase shift mask including sub-resolution assist features for isolated spaces
US6787271B2 (en) * 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
TW552561B (en) 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6901575B2 (en) * 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US6519760B2 (en) * 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
US6792591B2 (en) * 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
KR100576752B1 (ko) * 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
JP4191923B2 (ja) * 2001-11-02 2008-12-03 株式会社東芝 露光方法および露光装置
US6749970B2 (en) 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
US6887633B2 (en) * 2002-02-08 2005-05-03 Chih-Hsien Nail Tang Resolution enhancing technology using phase assignment bridges
US7023528B2 (en) * 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
US6807662B2 (en) * 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
US7266480B2 (en) * 2002-10-01 2007-09-04 The Regents Of The University Of California Rapid scattering simulation of objects in imaging using edge domain decomposition
US6964032B2 (en) * 2003-02-28 2005-11-08 International Business Machines Corporation Pitch-based subresolution assist feature design

Also Published As

Publication number Publication date
SG109607A1 (en) 2005-03-30
DE602004011860D1 (de) 2008-04-03
JP2005122163A (ja) 2005-05-12
US7550235B2 (en) 2009-06-23
TW200519526A (en) 2005-06-16
EP1513012A3 (en) 2006-02-22
US20050142449A1 (en) 2005-06-30
EP1513012B1 (en) 2008-02-20
DE602004011860T2 (de) 2009-02-12
CN1664702A (zh) 2005-09-07
JP4659425B2 (ja) 2011-03-30
EP1513012A2 (en) 2005-03-09
CN100465789C (zh) 2009-03-04

Similar Documents

Publication Publication Date Title
EP1513012B1 (en) Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
KR100792808B1 (ko) 딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성피처들을 제공하는 방법 및 장치
KR100719154B1 (ko) 콘택홀 마스크를 위한 광근접성보정설계 방법
KR100457839B1 (ko) 규정된 래더바를 서브-해상도 어시스트피처로 활용하는광근접성교정방법
KR100592580B1 (ko) 쌍극조명에 사용하기 위한 모델-기반 레이아웃 변환을수행하는 방법 및 장치
KR100927454B1 (ko) 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
US7549140B2 (en) Method and apparatus for decomposing semiconductor device patterns into phase and chrome regions for chromeless phase lithography
KR100865768B1 (ko) 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치
JP3645242B2 (ja) ダイポール式照明技術に関連して使用されるマスクの生成方法と生成装置
KR100566153B1 (ko) 다이폴 조명을 활용하여 규칙기반 게이트 슈링크를수행하는 방법 및 장치
KR20060115660A (ko) 이웃 영향을 팩터링하는 모델 기반 광 근접성 보정을수행하는 장치, 방법 및 컴퓨터 프로그램물
US7604909B2 (en) Method for improved manufacturability and patterning of sub-wavelength contact hole mask
US7614034B2 (en) Method and apparatus for generating OPC rules for placement of scattering bar features utilizing interface mapping technology

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application