KR100792808B1 - 딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성피처들을 제공하는 방법 및 장치 - Google Patents

딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성피처들을 제공하는 방법 및 장치 Download PDF

Info

Publication number
KR100792808B1
KR100792808B1 KR1020040002728A KR20040002728A KR100792808B1 KR 100792808 B1 KR100792808 B1 KR 100792808B1 KR 1020040002728 A KR1020040002728 A KR 1020040002728A KR 20040002728 A KR20040002728 A KR 20040002728A KR 100792808 B1 KR100792808 B1 KR 100792808B1
Authority
KR
South Korea
Prior art keywords
interference
features
target pattern
mask
region
Prior art date
Application number
KR1020040002728A
Other languages
English (en)
Other versions
KR20040065188A (ko
Inventor
판덴브로에크더글라스
첸장풍
레이디그토마스
윔플러쿠르트이.
흐스듀안-후스티븐
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20040065188A publication Critical patent/KR20040065188A/ko
Application granted granted Critical
Publication of KR100792808B1 publication Critical patent/KR100792808B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명에 따른 마스크 디자인을 생성하는 방법은, 그 내부에 배치된 광근접성보정피처들을 가진다. 상기 방법들은 기판 상에 묘화될 피처들을 갖는 소정의 타겟 패턴을 획득하는 단계; 상기 타겟 패턴에 기초하여, 하나 이상의 묘화될 피처와 상기 하나 이상의 피처에 인접한 필드 영역 사이의 상쇄 간섭 영역 및 보강 간섭 영역을 정의하는 간섭 맵을 결정하는 단계; 및 상기 보강 간섭 영역 및 상기 상쇄 간섭 영역에 기초하여, 상기 마스크 디자인에서 어시스트 피처들을 배치하는 단계를 포함하는 것을 특징으로 한다.

Description

딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성 피처들을 제공하는 방법 및 장치{METHOD AND APPARATUS FOR PROVIDING OPTICAL PROXIMITY FEATURES TO A RETICLE PATTERN FOR DEEP SUB-WAVELENGTH OPTICAL LITHOGRAPHY}
도 1은 본 발명에 따라 OPC 기술을 마스크 패턴에 적용하는 방법의 전형적인 흐름도를 도시한 도면,
도 2는 종래기술을 사용하여, 피치 전체에 걸쳐 콘택홀을 프린팅하는 것으로 인한 문제점을 도시한 도면,
도 3a 내지 도 3f는 본 발명의 프로세스를 사용하여, 세개의 상이한 조명설정으로부터 도출된 본 발명의 간섭 맵을 도시한 도면,
도 4a 및 도 4b는 도 3a에 도시된 IM이 OPC 피처들을 포함하는 변경된 타겟 마스크 패턴에 어떻게 사용될 수 있는지를 도시한 도면,
도 5a 내지 도 5h는 본 발명에 따른 IM을 사용하여 어시스트 피처들을 포함하는 마스크 패턴이 어떻게 생성되고, 그에 따른 콘택 패턴의 에어리얼 이미지를 어떻게 시뮬레이션하는지를 나타내는 부가적인 예시를 도시한 도면,
도 6a 내지 도 6f는 도 3c에 도시된 바와 같은 환형 조명으로부터 야기된 IM으로부터 CPL 격리된 콘택 마스크가 어떻게 생성되는지를 도시한 도면,
도 7은 도 5에서의 세개의 CPL 콘택 마스크에 대해 피크 에어리얼 이미지 세 기를 사용하는 예견된 프린팅 성능의 비교를 도시한 도면,
도 8은 도 6에서의 두개의 CPL 콘택 마스크에 대해 피크 에어리얼 이미지 세기를 사용하는 예견된 프린팅 성능의 비교를 도시한 도면,
도 9a는 쌍극 일루미네이터의 일례를 도시한 도면,
도 9b는 격리된 콘택홀과 관련되어 생성된 간섭 맵을 도시한 도면,
도 9c는 도 9b에 예시된 IM의 3차원 이미지를 예시한 도면,
도 10a는 임의의 콘택 패턴에 대한 IM의 일례를 도시한 도면,
도 10b는 시뮬레이션을 수행하는데 사용되는 일루미네이터를 도시한 도면,
도 11은 본 발명의 도움으로 디자인된 마스크를 사용하기에 적당한 리소그래피 투영장치의 개략적인 도면이다.
본 발명은 포토리소그래피에 관한 것으로, 특히 실질적으로 어떠한 조명조건을 사용하더라도 마스크 패턴들이 묘화되도록 하고 또한 피치 전체에 걸쳐 분해능 성능을 유지하는 딥 서브-파장 패턴들의 마스크 레이아웃에 광근접성 기술을 적용하는 방법에 관한 것이다. 또한, 본 발명은, 방사선의 투영빔을 제공하는 방사선시스템; 상기 투영빔을 패터닝하는 역할을 하는 마스크를 유지하는 마스크 테이블; 기판을 유지하는 기판 테이블; 및 상기 기판의 타겟부상으로 상기 패터닝된 투영빔을 투영시키는 투영시스템을 포함하여 이루어지는 리소그래피 장치를 사용하는 디바이스 제조방법에 관한 것이다.
리소그래피 투영장치(툴)는 예를 들어, 집적회로(ICs)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로패턴을 포함하고, 이 패턴은 방사선감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 하나 이상의 다이로 구성)상으로 묘화될 수 있다. 일반적으로, 단일 웨이퍼는 투영시스템에 의해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영장치에서, 타겟부상에 전체 마스크 패턴을 한번에 노광함으로써 각각의 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)라 불리는 대안적인 장치에서, 투영빔하에서 주어진 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하면서, 상기 방향과 평행하게 또는 반평행하게 기판 테이블을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는데, 일반적으로 투영시스템이 배율인자(M)(일반적으로<1)를 가지므로, 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자(M)배가 된다. 여기에 서술된 리소그래피 장치에 관련된 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 사용하는 제조공정에서, 마스크 패턴은 적어도 부분적으로 방사선감응재(레지스트)층으로 도포된 기판상에 묘화된다. 이러한 묘화 단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅, 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 피처(imaged feature)의 측정/검사와 같은 기타 절차를 거칠 수 있다. 이러한 일련의 절차는, 예를 들어 IC와 같은 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음, 이러한 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 개별층을 마무리하기 위한 다양한 공정을 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 공정 또는 그 변형 공정이 반복되어져야만 할 것이다. 종국에는, 디바이스의 배열이 기판(웨이퍼)상에 존재하게 될 것이다. 이들 디바이스가 다이싱 또는 소잉 등의 기술에 의해 서로 격리된 후에, 각각의 디바이스는 캐리어에 탑재되고, 핀에 접속될 수 있다. 이러한 공정에 관한 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 "Microchip Fabrication : A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill 출판사, 1997, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
상기 리소그래피 툴은 두개 이상의 기판 테이블 (및/또는 두개 이상의 마스크 테이블)을 구비하는 형태가 될 수 있다. 이러한 "다수 스테이지" 장치에서, 추가적인 테이블들이 병행하여 사용될 수 있거나, 하나 이상의 다른 테이블들이 노광을 위하여 사용되고 있는 동안에 하나 이상의 테이블에서 준비단계가 수행될 수 있다. 트윈 스테이지 리소그래피 툴은 예를 들어, 본 명세서에서 참고자료로 채택된 US 제5,969,441호 및 WO 제98/40791호에 개시되어 있다.
상기 언급된 포토리소그래피 마스크는 실리콘 웨이퍼상으로 집적되는 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 형성하는데 사용되는 상기 패턴들은, CAD(컴퓨터 지원 설계 : Computer-Aided Design) 프로그 램을 사용하여 생성될 수 있고, 이 프로세스는 종종 EDA(전자 설계 자동화 : Electronic Design Automation)로 언급된다. 대부분의 CAD 프로그램은 기능적인 마스크를 형성하기 위해 미리 결정된 디자인 규칙의 세트를 따른다. 이들 규칙은 처리 및 디자인 제한에 의해 설정된다. 예를 들어, 디자인 규칙들은, 회로 디바이스들(게이트들, 캐패시터들 등과 같은) 또는 상호접속 라인들 사이의 간격 허용오차를 정의하여, 상기 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 상호 작용하지 않도록 한다.
물론, 집적회로 제조에서의 일 목적은, (마스크를 통해) 웨이퍼상의 오리지널 회로 디자인을 충실하게 재현하는 것이다. 또 다른 목적은 반도체 웨이퍼 물적 자산을 가능한한 많이 사용하는 것이다. 집적회로의 크기가 감소될수록 그 밀도가 증가되지만, 그 대응하는 마스크의 CD(Critical Dimension)(임계치수)는 광학 노광툴의 분해능 한도에 가까워진다. 노광툴의 분해능은, 상기 노광툴이 상기 웨이퍼상에 반복적으로 노광할 수 있는 최소한도의 피처로 정의된다. 현재의 노광설비의 분해능 값은 종종 다수의 진보된 IC 회로 디자인을 위해 CD를 제약한다.
또한, 마이크로프로세서 속도에서의 지속적인 개선, 메모리 실장밀도 및 마이크로-일렉트로닉 구성요소에 대한 저전력 소모는 다수의 반도체 디바이스층상에 패턴을 전이하고 형성시키기 위한 리소그래피 기술의 성능과 직접적으로 관련된다. 현재의 기술상태는 가용 광원파장 훨씬 아래의 CD의 패터닝을 요구한다. 예를 들어, 248㎚의 현재의 생산파장이 100㎚보다 작은 CD의 패터닝을 향해 추구되고 있다. 반도체에 대한 국제기술 로드맵(ITRS 2000)에서 설명된 바와 같이, 이 산업 트 렌드는 지속될 것이고, 또한 아마도 향후 5 ~ 10년 동안에 가속될 것이다.
리소그래피 방법들이 분해능의 개선에 목적을 두는 한편, 용인할 수 있는 처리 관용도 및 견고성을 유지하는 것은 분해능 향상기술(Resolution Enhancement Technology; RET)로 분류되고, 이는 매우 광범위한 적용례를 포함한다. 알려진 바와 같이, 광학 노광파장의 근처에서 또는 그 반파장 미만에서 마스크 피처들을 프린팅하는 것은, 매우 큰 개구수(NA>0.7)의 사용과 함께 예를 들어 오프-액시스 조명(OAI), 위상 시프트 마스크(PSM) 및 광근접성 보정(OPC)과 같은 이러한 분해능 향상기술을 적용하는 것을 요구한다.
하지만, 이러한 기술들이 서브-파장 패턴들을 프린팅하는데 사용될 수 있는 한편, 문제점들은 여전히 남아있다. 상술된 바와 같이, OAI의 사용은 조밀한(dense) 피치 피처들에 대한 분해능을 개선시키기 위해 논증되고 또한 성공적으로 사용되는 하나의 기술이다. 하지만, 지금까지, 이 기술은 어두운 필드 및 밝은 필드 마스크 형태 두가지의 경우 모두에서 격리된(isolated) 피처의 묘화를 몹시 저하시키는 것으로 알려졌다. 따라서, 상기 OAI 기술은 그 스스로 임의의 피치 피처들(즉, 격리된 것부터 조밀한 것까지 범위를 갖는 피처들)을 프린팅하기에 적당하지 않다. 서브-분해능 어시스트 피처들(SRAF)(또한, 스캐터링 바아(SB)로 언급됨)은 또한 격리된 피처의 프린팅을 개선하는데 사용되었다. 밝은 필드 마스크 형태내의 격리된 피처들에 인접하여 상기 SB를 배치함으로써, 상기 격리된 피처가 조밀한 피처로 동작하게 되어, 그것에 의해 OAI 하에서 노광되는 경우 개선된 프린팅 성능이 달성된다. 지금까지, SB의 배치는 실험적인 규칙을 적용하여 행해졌다. 하지만, 임의의 반-격리된(semi-isolated) 또는 중간의-피치 피처들에 대해, 상기 SB 배치규칙은 종종 SB 배치에 대한 충분한 공간의 부족으로 인해 대부분 절충되어야 할 필요가 있다. 이와 유사하게, 마스크 디자인에 안티-스캐터링 바아(즉, 스캐터링 바아가 밝은 필드 마스크내에 적용되는 어두운 피처들인 한편, 안티-스캐터링 바아는 어두운 필드 마스크상에 적용되는 밝은 마스크 피처임)가 부가되는 것은 어두운 필드 마스크 형태에 대해 묘화를 개선시킬 수 있는 한편, 피치 전체에 걸쳐 또한 임의의 지오메트리상에 이러한 안티-스캐터링 바아를 적용하는 것은 문제가 있다고 입증되었다. 상기 문제점은 감쇠 PSM을 사용하는 경우 더욱 악화된다. 이는 위상 시프트 되지 않은 마스크 형태와 비교하여 볼 때 보다 상당히 강력한 광근접성 효과로 인한 것이다. 상기 보다 강력한 광근접성 효과는 피치 전체에 걸쳐 프린팅 이슈가 상당히 엄격해지도록 한다. 따라서, 딥 서브-파장 피처들에 대한 프린팅을 만족스럽게 나타내기 위해, 위상 시프트 되지 않은 및 위상 시프트 마스크 형태 두가지 모두에 대해 현재의 법칙에 근거한 SB보다 월등한 것이 필요하다.
따라서, 마스크 레이아웃에 피치 전체에 걸쳐 딥 서브-파장 피처들의 프린팅이 이루어지도록 하는 또한 상기 언급된 종래기술인 RET 기술과 관련된 문제점 및 결함을 고치는 OPC를 적용하는 방법에 대한 요구가 존재한다.
앞선 요구들을 해결하려는 노력에서, 본 발명의 일 목적은, 고도의 코히런트 온-액시스(부분적인 코히런트<0.4) 및 강력한 오프-액시스 조명(예를 들어, Quasar, 이중 쌍극 및 단일 쌍극 조명)을 포함하여 실질적으로 어떠한 조명조건을 사용하더라도 딥 서브-파장 마스크 패턴들의 전체 피치 범위가 묘화되도록 하는 광근접성 보정 피처들을 마스크 패턴에 제공하는 방법을 제공하는 것이다. 이후에 상세하게 설명되는 바와 같이, 본 발명의 방법에 따라, 웨이퍼상에 프린팅되지는 않지만 보다 높은 처리 관용도로 보다 높은 프린팅 분해능을 야기하는 의도된 마스크 피처들의 에어리얼 이미지를 향상시키는 어시스트 피처들이 마스크 패턴에 부가된다. 중요하게는, 상기 어시스트 피처들의 배치는, 관련 광학영역내의 각 지점이 원하는 타겟 패턴에 보강 또는 상쇄 간섭하는지를 정의하는 "간섭 맵"에 근거하여 결정된다.
보다 상세하게는, 본 발명은 광근접성 보정 피처들을 그 안에 가지는 마스크 디자인을 생성하는 방법에 관한 것이다. 상기 방법은, 기판상에 묘화될 피처들을 가지는 원하는 타겟 패턴을 얻는 단계; 묘화될 하나 이상의 피처와 그 하나 이상의 피처에 근접한 필드 영역 사이의 보강 간섭 영역과 상쇄 간섭 영역을 정의하고, 상기 타겟 패턴에 근거한 간섭 맵을 결정하는 단계; 및 상기 보강 간섭 영역 및 상기 상쇄 간섭 영역에 근거하여 마스크 디자인 내에 어시스트 피처들을 배치하는 단계를 포함한다.
비록 본 명세서에서는 본 발명을 사용함에 있어 IC의 제조에 대해서만 특정하여 언급하였으나, 이러한 장치가 여러 다른 응용례를 가지고 있음은 명백히 이해될 것이다. 예를 들어, 상기 장치는 집적 광학 시스템, 자기영역 메모리용 유도 및 검출 패턴, 액정표시패널, 박막자기헤드 등의 제조에도 사용될 수 있다. 당업자라 면, 전술한 기타 응용분야들을 고려할 때, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 용어가 "마스크", "기판" 및 "타겟부" 등과 같은 좀 더 일반적인 용어로 각각 대체되어 있음을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어 365, 248, 193, 157 또는 126㎚의 파장을 갖는) 자외선 방사선 및 EUV(예를 들어, 5 ~ 20nm 범위의 파장을 갖는 극자외선)을 포함하는 모든 형태의 전자기 방사선을 포괄하는 것으로 사용된다.
"마스크"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하는, 패터닝된 단면을 입사하는 방사선 빔에 부여하는데 사용될 수 있는 일반적인 패터닝 수단을 의미하는 것으로서 폭넓게 해석되어야 하며, 본 명세서에서 "광 밸브(light valve)"라는 용어로도 사용된다. 전형적인 마스크(투과형 또는 반사형 : 바이너리형, 위상-시프트형, 하이브리드 등) 이외에, 여타의 이러한 패터닝 수단의 예시로는 다음과 같은 것들이 포함한다.
a) 프로그램가능한 미러 어레이. 이러한 디바이스의 일례로, 점탄성 제어층(viscoelastic control layer)과 반사면을 구비한 매트릭스-어드레서블 표면이 있다. 이러한 장치의 기본원리는, (예를 들어) 반사면의 어드레스된 영역에서는 입사광이 회절광으로 반사되는 한편, 어드레스되지 않은 영역에서는 입사광이 비회절광으로 반사되는 것이다. 적절한 필터를 사용하면, 상기 반사된 빔으로부터 상기 비회절광을 필터링하여 회절광만 남게 할 수 있다. 이러한 방식으로, 상기 빔은 상기 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 패터닝된다. 요구되는 매트 릭스 어드레싱은 적당한 전자적 수단을 사용하여 수행될 수 있다. 이러한 미러 어레이에 관한 보다 상세한 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 미국특허 US 제5,296,891호 및 US 제5,523,193호로부터 얻을 수 있다.
b) 프로그램가능한 LCD 어레이. 이러한 구조의 일례는 본 명세서에서 참고자료로 채택된 미국특허 US 제5,229,872호에 개시되어 있다.
본 발명의 방법은 종래기술에 비해 중요한 장점들을 제공한다. 보다 중요하게는, 본 발명의 OPC 기술은, 실질적으로 피치 전체에 걸쳐 어떠한 조명조건을 사용하더라도 딥 서브-파장 마스크 패턴들이 묘화되도록 한다. 그 결과, 본 발명은, 단일 조명을 사용하여, 예를 들어 격리된 콘택에서 조밀한 콘택까지의 범위를 갖는 임의의 배치된 콘택(즉, 모든 콘택들이 서로 균일한 간격으로 이격되지는 않음)을 가지는 콘택 어레이를 프린팅하는 것을 허용한다. 이와 대조적으로, 종래기술의 OPC 기술은, 용인할 수 있는 분해능 결과값을 얻도록 격리된 피처들 및 조밀한 피처들 두가지 모드의 프린팅을 제공하기 위해 통상적으로 다수 노광을 요구하였다. 하나의 특정 장점은, 본 발명이 OAI를 사용하여 전체 피치 범위의 피처들에 대해 최적 프린팅 성능을 실현한다는 것이다.
본 발명의 부가적인 장점은, 다음의 상세화된 본 발명의 전형적인 실시예의 설명으로부터 보다 분명해질 것이다.
또 다른 목적 및 장점과 함께, 본 발명은 다음의 상세화된 설명 및 첨부된 도면을 참조하여 보다 충분히 이해될 수 있다.
이후에 보다 상세하게 설명되는 바와 같이, 본 발명의 OPC 기술은, 실질적으로 어떠한 조명을 사용하더라도 딥 서브-파장 마스크 패턴들의 피치 전체 범위가 묘화되도록 한다. 상기 OPC 기술은, 원하는 타겟 패턴을 에워싸는 필드내의 각 지점이 어떻게 상기 타겟 패턴과 간섭하는지를 나타내는 간섭 맵(IM)을 생성하는 것을 포함한다. 주어진 지점이 상기 타겟 패턴에 대해 보강 간섭하거나 상쇄 간섭하거나 또는 중립적(즉, 보강 간섭 또는 상쇄 간섭의 어느 쪽도 아님)일 수 있다. 일단 IM이 생성되면, 그것은 어시스트 피처들이 원하는 패턴에 대해 배치되도록 결정하는데 사용된다. 보다 상세하게는, 보강 간섭을 향상시키는 어시스트 피처들은 보강 간섭이 제공되고 있는 IM에 의해 표시된 필드내의 위치에 위치되고, 상쇄 간섭을 감쇠시키는 기능을 하는 어시스트 피처들은 상쇄 간섭을 제공하고 있는 IM에 의해 표시된 필드내의 위치에 위치되며, 중립영역에는 어시스트 피처들의 어느 한 형태(또는 두 형태 모두)가 사용될 수 있다. 본 발명의 방법은, 딥 서브-파장 피처들의 프린팅을 향상시키기 위한 OPC의 수단으로서 SB/ASB 및 프린팅되지 않는 피처들("NPF")을 함께 사용하는 것을 포함하도록 스캐터링 바아("SB") 및 안티-스캐터링 바아("ASB")의 개념을 확장한다.
다음에 설명되는 것이 다음의 설명 및 도면에서 사용되는 프린팅되지 않는 피처들(NPF) 및 안티-스캐터링 바아(ASB 또는 어두운 필드 마스크 형태상에 사용되는 서브-분해능 어시스트 피처들)를 정의하는 것임이 주목된다.
NPF - 표준 묘화 조건 하에서 프린팅되는 패턴의 형태이지만, 위상 시프트(-1 전기장 진폭), 위상 시프트 되지 않은(+1 전기장 진폭) 및/또는 불투명(0 전기장 진폭) 구역의 디자인 때문에, 상쇄 간섭은 상기 패턴이 어두운 필드 마스크 형태내에서 어두워지고 또한 프린팅되지 않도록 한다. 상기 패턴의 목적은 타겟 패턴의 프린팅을 향상시키는 것이다.
ASB - ASB는, 표준 묘화 조건 하에서, 광학 시스템의 분해능 성능 미만이기 때문에 프린팅될 수 없는 어두운 필드 마스크 형태에 적용되는 밝은 마스크 피처이다(한편, SB는 밝은 필드 마스크 내에 사용되는 어두운 피처임). 상기 ASB 피처의 목적은 상기 타겟 패턴의 프린팅을 향상시키는 것이다.
다음의 논의는 어두운 필드 마스크 형태를 사용하는 본 발명의 예시를 설명하나, 당업자에게는 명백한 바와 같이, 본 방법이 또한 밝은 필드 마스크 형태에 적용될 수 있음에 주목한다. 도 1은 본 발명에 따라 OPC 기술들이 마스크 패턴에 적용되는 방법을 도시한 전형적인 흐름도이다. 도 1을 참조하면, 프로세스에서의 제1단계(단계 10)는 간섭 맵을 생성하는 것이다. 상기 IM은, 예를 들어 적용례에서 발표된 바와 같은 종래의 에어리얼 이미지 시뮬레이터(예를 들어, MaskTools, Inc.에 의해 제공된 Lithocruiser 또는 MaskWeaver 시뮬레이션 제품)와 같은 다수의 방법들을 사용하여 또한 함께 계류중인 특허에서 발표된 바와 같은 Eigenvalued image model kernel을 사용하여 생성될 수 있음에 주목한다. 다음에, 종래의 에어리얼 이미지 시뮬레이터를 사용하여 IM을 생성하는 단계가 설명된다. 유사한 절차가 또한 밝은 필드 마스크 형태에 대해 수행될 수 있음에 주목한다.
상기 언급된 바와 같이, 상기 IM은, 관련 광학구역내의 각 지점(예를 들어, 그리드 지점)에서 어떠한 주어진 패턴에 대해 그 지점에 투과되는 광이 원하는 타 겟 패턴과 보강 간섭하는지(그것에 의해, 상기 타겟 패턴상에 투과되는 광의 세기가 보다 커짐), 상쇄 간섭하는지(그것에 의해, 상기 타겟 패턴상에 투과되는 광의 세기가 보다 작아짐), 또는 중립적(상기 타겟 패턴상에 투과되는 광의 세기가 변경되지 않음)인지를 나타낸다. 상기 IM을 생성하는 일례는 다음과 같다.
우선, 상기 타겟 패턴이 얻어지고(단계 12), 필드 타겟 패턴(예를 들어, 어두운 필드)은, 타겟 지오메트리(즉, 패턴)가 마스크를 묘화시키는데 사용되는 광학 시스템의 분해능 성능보다 현저히 작아지도록 크기가 감소된다(단계 14). 예를 들어, 상기 타겟 패턴에 포함되는 피처 크기는 상기 피처들의 임계치수가 λ/(2π·NA) 미만이 되도록 감소되고, 여기서 λ는 묘화툴의 노광파장이고, NA는 노광 시스템의 렌즈의 개구수를 나타낸다. 이 감소된 타겟 패턴은, 본질적으로 원하는/타겟 피처들이 점 소스(point source)로 변경되는 상기 타겟 패턴내에 있는 모든 지오메트리의 중심구역을 나타낸다. 즉, 상기 피처의 중심과 둘러싸는 필드구역 사이에 발생되고 있는 상호작용(즉, 간섭)에 포커싱하는 것이 목적이다. 원하는 패턴내의 주어진 피처들의 크기만이 감소되고, 상기 피처들 사이의 피치(즉, 주어진 피처의 중심에서 또 다른 피처의 중심까지의 간격)가 오리지널 타겟 패턴으로부터 변경되지 않은채 남아있다는 것에 주목한다. "점 소스"의 이 패턴을 사용함으로써, 어두운 필드 영역내의 특정 지점의 광학 구역내에 있는 모든 타겟 지오메트리의 영향은, 주어진 위상의 광이 상기 필드의 그 지점 전체에 걸쳐 투과되는 경우에 세기가 상기 타겟 패턴으로부터 부가되는지 또는 감소되는지의 결정에 포함될 것이다. 일 예시로서, 광학구역은 묘화 디바이스의 10 파장(10 wavelengths)과 동일하 거나 미만인 영역일 수 있다.
일단 상기 타겟 패턴이 감소되면, 상기 감소된 패턴의 광학 시뮬레이션은, "어두운" 필드 영역이 제로보다는 크지만 "밝은" 지오메트리를 통한 투과율보다는 작은 투과율을 가지도록 상기 감소된 크기의 패턴의 투과율을 설정하는 것을 수행한다(단계 16). 상기 필드투과는 또한 상기 지오메트리에 대해 180°만큼 위상 시프팅된다. 통상적인 값은 180°위상 시프트에서는 0.10 필드투과율 또한 0 위상 시프트에서는 100% 지오메트리/피처 투과율일 수 있다. 물론, 여타의 값들(예를 들어, 4% ~ 10%의 범위를 갖는 필드투과율)도 사용될 수 있다. 상기 광학시뮬레이션이 상기 타겟이 묘화되는 것 하에서 원하는 광학조건(예를 들어, 파장, NA 및 조명)을 사용하여 수행됨이 주목된다. 이 광학 시뮬레이션의 결과가 IM이고(단계 18), 아래에 설명되는 예시에서 보다 명백하게 도시되는 바와 같이 상기 IM은 상기 감소된 타겟 패턴에 대응하는 이미지 평면내의 e-필드를 나타낸다.
필드투과율을 0% 이외의 투과율로 조정하는 것은 시뮬레이션에 의해 생성된 상기 e-필드로 DC 오프셋을 도입하기 위해 수행됨이 주목된다. 이 DC 오프셋을 도입함으로써, 시뮬레이션의 결과로 생성된 상기 e-필드는 상기 DC 오프셋에 대한 e-필드 내의 포지티브 및 네거티브 시프트 두가지 모두를 나타낼 수 있다. 상기 DC 오프셋이 없다면, 상기 e-필드 내의 네거티브 시프트를 식별할 수 없을 것이다.
일단 상기 IM이 생성되면, 프로세스에서의 다음 단계는 프린팅되는 각 피처를 둘러싸는 필드영역내의 간섭패턴을 분석하고, 또한 상기 주어진 구역이 DC 오프셋 레벨에 대해 포지티브인지, 네거티브인지 또는 중립인지에 근거하여 상기 필드 구역내에 SB, ASB 또는 NPF를 배치하는 것이다(단계 20). 보다 상세하게는, 현재 예시(예를 들어, 10% 투과율 및 180°위상 시프트)에서 정의되는 바와 같이, 상기 필드구역에 대해 투과율 값들을 사용함으로써,
ⅰ. 에어리얼 이미지 세기가 .10보다 큰 필드영역의 구역은, 180°위상 시프트된 광이 그 위에 투과되는 경우에 상기 광이 상기 타겟 패턴에 보강 간섭하여 상기 타겟 패턴이 보다 밝아지게 되는 필드영역을 나타낸다.
ⅱ. 에어리얼 이미지 세기가 .10보다 낮은 필드영역의 구역은, 180°위상 시프트된 광이 그 위에 투과되는 경우에 상기 광이 상기 타겟 패턴에 상쇄 간섭하여 상기 타겟 패턴이 보다 어두워지게 되는 필드영역을 나타낸다.
ⅲ. 에어리얼 이미지 세기가 .10 정도인 필드영역의 구역은, 180°위상 시프트된 광이 그 위에 투과되는 경우에 상기 광이 상기 타겟 패턴에 보강 간섭이나 상쇄 간섭하지 않는 필드영역을 나타낸다.
일단 앞의 세개의 구역들이 식별되면, 어시스트 피처들은 이들 구역들이 상기 타겟 패턴에 보강 간섭하는 기능을 하도록 구역(i) 및/또는 구역(ii)내에 배치된다. 구역(i)에 대해, 이는 주어진 예시에서 이들 필드구역내에 π-위상 시프트된 어시스트 피처들을 배치함으로써, 또한 구역(ii)로 분류된 필드 구역내에 위상 시프트되지 않은 어시스트 피처들을 배치함으로써 달성된다. 구역(ii)에서 π-위상 시프트된 광이 보강 간섭을 유발하기 때문에, 위상 시프트되지 않은 어시스트 피처들이 이들 구역내에 보강 간섭을 일으킬 것임이 주목된다. 따라서, 상기 IM 맵을 생성함으로써, 특히 이러한 프로세스 없이도 묘화 공정의 최종 분해능에 저하를 유 발하는 영역에서라도 보강 간섭을 생성하는데 어시스트 피처들이 어떻게 사용될 수 있는지를 확인할 수 있다. 또한, 앞선 예가 시뮬레이션에 대해 π-위상 시프트된 필드구역을 사용하는 한편, 위상 시프트 되지 않는 필드 구역을 사용할 수도 있음에 주목한다. 이러한 경우, 생성된 구역들 및 그안에 사용되는 어시스트 피처들은 또한 반대되는 것일 것이다(예를 들어, 구역(i)에서, 위상 시프트되지 않은 구역이 보강 간섭을 일으킨다면, 위상시프트되지 않은 어시스트 피처들이 마스크 디자인 내에 주어진 구역내에 사용될 것이다).
필드의 중립 영역(즉, 영역(iii))에서는, 언급된 바와 같은 π-위상 시프트된, 위상 시프트되지 않은 어시스트 피처들 또는 프린팅되지 않은 피처들 가운데 어느 하나가 이용될 수 있다. 하지만, 이러한 영역(또는 여타의 영역들)내에 포함된 어시스트 피처는, 상기 어시스트 피처가 프린트될 정도로 클 수 없다는 점에 유의한다. 이에 따라, 상기 어시스트 피처의 디자인은 π-위상 시프트된 영역 및 위상 시프트되지 않은 영역 양자 모두를 포함할 수 있어, 그 결과적인 어시스트 피처가 프린트가능하지 않은 상태로 남게 된다.
따라서, 상기 예시를 이용하여, 바이너리 어두운 필드 레티클의 경우에는, 상기 레티클 상에 위상 시프트된 영역이 없으므로, 단지 ASB만이 사용될 수 있다. 상기 ASB들은 에어리얼 이미지 세기가 .10 보다 적은 IM의 영역에 대응하여 마스크 패턴의 영역 내에 위치될 것이다. 상기 피처의 크기는 이미지 프린트를 갖지 않으면서 가능한 크게 만들어지고, 가능한 한 최소 영역 만큼의 크기이다.
어두운 필드 위상 시프트된 레티클의 경우에는, 위상 시프트된 ASB 및 위상 시프트되지 않은 ASB 양자 모두가 이용될 수 있다. 바이너리 패턴에 의하면, 상기 에어리얼 이미지 세기가 .10 보다 적은 IM의 영역에 대응하여 마스크 패턴의 영역 내에 위상 시프트되지 않은 피처들이 위치한다. 상기 에어리얼 이미지 세기가 .10 보다 큰 IM의 영역에 대응하여 마스크 패턴의 영역 내에는 위상 시프트된 피처들이 위치한다. 상기 에어리얼 이미지 세기가 .10 근처인 영역에서는, 프린트가능한 패턴들일 지도 모르는 것을 프린트할 수 없도록 만들기 위하여, 위상 시프트되지 않은 투과율, 위상 시프트된 투과율 또는 제로 투과율로서 상기 패턴이 정의될 수 있다.
포지티브 및 네거티브 간섭의 영역들을 결정하는(즉, IM을 생성하는) 대안적인 방법은 경험적인 방법들을 이용하는 것이다. 예컨대, 패턴이 낮은 콘트라스트 레지스트 상으로 10% 감쇠된 레티클을 이용하여 노광될 수 있다. 그 후, 상기 레지스트는 부분적으로 현상될 수 있으며, 남아있는 레지스트 두께(즉, 표면 프로파일)는 상기 포지티브 및 네거티브 영역들을 결정하는데 사용될 수 있다. 패턴화되지 않은 필드 영역보다 많이 현상된 레지스트의 영역들은, 위상 시프트된 어시스트 피처들이 위치되어야만 하는 곳을 나타내는 보강 간섭의 영역들일 것이다. 상기 레지스트가 덜 현상된(또는 보다 두꺼운) 영역들은, 위상 시프트되지 않은 어시스트 피처들이 위치되어야만 하는 곳을 나타내는 상쇄 간섭의 영역들일 것이다.
콘택홀 패턴들을 프린트하기 위하여 본 발명의 방법을 이용하는 몇 가지 예시들이 후술된다. 하지만, 본 발명은 콘택홀들의 프린팅에만 국한되는 것이 아니라는 점을 유의해야 한다. 실제, 실질적으로 소정의 마스크 패턴의 프린팅과 연계하 여 이용될 수 있다.
도 2는 종래 기술을 이용하여 피치를 통하여 콘택홀들을 프린팅하는 문제를 예시한다. 도 2를 참조하면, 격리된 콘택들은 종래의 낮은 시그마 조명에 의하여 가장 잘 묘화되는 것으로 도시되어 있다. 하지만, 조밀한 콘택들은 강한 오프-액시스 조명에 의하여 가장 잘 묘화된다. 이에 따라, 종래의 기술을 이용하여 격리된 콘택홀 및 조밀한 콘택홀 양자 모두를 프린트하기 위해서는, 조밀한 그리고 격리된 또는 스플릿-피치들을 프린팅하기 위하여 이중 노광/2가지 마스크 프로세스를 이용하거나 또는 맞춤(custom) 조명을 생성하는 것 중 어느 하나가 필요하였다. 주지하는 바와 같이, 이중 노광 마스크들을 이용하는 것은 비용을 증가시키고, 마스크-마스크 오버레이 정확성에 의해 제약을 받는다. 또한, 단일 노광 마스크에 의한 맞춤 조명은 OAI보다는 양호하게 수행할 수 있지만, 마스크 패턴들의 공간주파수의 제한된 분포를 가진 주기적인 디자인 패턴들에 가장 적합하다.
더욱이, 종래 조명으로 조밀한 콘택들을 프린팅하는 경우, 조밀한 피치는 λ/(NA(1+σ))로 제한되며, 여기서 NA는 개구수이고, λ는 코히런스비(coherence ration)이다. 종래의 낮은 σ(<0.4) 조명을 이용하여 매우 조밀한 콘택 마스크 피처들을 프린팅하기 위하여, 교번 PSM이 고려될 수 있다. 하지만, 마스크 디자인이 불가피한 위상 대립 제약(unavoidable phase conflict constraint)으로 인하여 극히 복잡해질 수 있다. 강한 오프-액시스 조명(외측-σ→1.0) 하에, 감쇠된 PSM 또는 CPL 마스크를 이용하면, (종래의 낮은 σ 조명과 대비하여) 보다 조밀한 피치를 프린트하는 것이 가능하다. 하지만, 격리된 콘택 피처 및 절반-격리된 콘택 피처들 에 대해서는, 조밀한 것들에 대한 프린팅 성능을 정합시키기 위하여 ASB 및 NPF 양자 모두가 적용될 필요가 있다.
따라서, 종래 기술들은 피치를 통하여 랜덤 콘택홀 패턴을 프린팅하기 위한 간단한 솔루션을 제공하지 않는다.
도 3a 내지 도 3f는 본 발명의 프로세스를 이용하여 3가지 상이한 조명 세팅으로부터 도출된 본 발명의 간섭 맵을 예시한다. 상기 언급된 바와 같이, IM은 묘화시스템의 처리 조건 및 조명에 따라 좌우된다. 이와 같이, 상기 IM은 결과적인 마스크를 묘화하는데 사용될 세팅을 이용하여 생성되어야 한다. 도 3에 예시된 예시에서, 100nm 격리된 콘택홀 피처는 193nm(ArF) 레이저 및 0.75NA를 이용하여 노광된다. QUASAR, 환형 및 종래의 낮은 σ 조명(즉, σ=0.4)으로 형성된 결과적인 IM들은 각각 도 3a, 도 3c 및 도 3e에 도시되어 있다. 도 3b, 도 3d 및 도 3f는 각각 3가지 조명 세팅을 예시한다.
도 3a를 참조하면, 이는 Quasar 조명을 이용하여 생성된 IM을 예시하고(도 3b에 도시됨), 영역(31)들은 보강 간섭 영역(즉, DC 변조 레벨 초과의 세기 레벨을 갖는 영역)에 대응하므로, 상기 콘택홀의 세기를 증대시키며, 영역(32)들은 중립인 영역(즉, 보강 또는 상쇄 영역도 아닌 - DC 변조 레벨과 거의 같은 세기 레벨을 갖는 영역)에 대응하고, 영역(33)들은 콘택홀의 세기를 저하시키는 상쇄 간섭 영역(즉, DC 변조 레벨 미만의 세기 레벨을 갖는 영역)에 대응한다. 도 3c 및 도 3e는 각각 환형 및 종래 조명을 이용하여 생성된 간섭 맵을 예시한다.
도 4는 도 3a에 예시된 IM이 어떻게 OPC 피처들을 포함하도록 타겟 마스크 패턴을 수정하는데 이용될 수 있는지를 예시한다. 도 4를 참조하면, 본 명세서에 도시된 바와 같이, 수정된 마스크 패턴은 IM 전반에 걸쳐 포개진다(superimpose). 상기 언급된 바와 같이, 보강 간섭 영역(도 3a의 영역(31))은, 상기 수정된 마스크 패턴의 대응하는 부분에서 그 내부에 배치된 π-위상 시프트된 피처들을 가진다. 이들 영역은 도 4에서 요소(41)로 표시된다. 상쇄 간섭 영역(도 3a의 영역(33))은 상기 수정된 마스크 패턴의 대응하는 부분에서 그 내부에 배치된 위상 시프트되지 않은 피처들을 가진다. 이들 영역은 도 4에서 요소(42)로 표시된다. 최종적으로, 중립 영역(도 3a의 영역(32))은 주어진 예시에서 그 내부에 배치된 어떠한 어시스트 피처도 가지지 않는다. 따라서, 도 4는 어시스트 피처들이 어떻게 본 발명에 따라 타겟 마스크 패턴으로 배치되는지를 예시한다.
도 5a 내지 도 5h는 어시스트 피처들을 포함하는 마스크 패턴이 어떻게 본 발명에 따라 IM을 이용하여 생성되는지를 나타내는 추가 예시 및 결과적인 콘택 패턴의 시뮬레이션된 에어리얼 이미지를 보여준다. 보다 상세하게는, 도 5b, 도 5e 및 도 5g는 Quasar 조명을 이용하여 묘화될 CPL 격리된 콘택 마스크 패턴을 디자인하는 것을 예시한다. 도 5a 및 도 5d에 예시된 간섭 맵들은 도 3a에 예시된 간섭 맵에 대응하며, 상기 IM 맵의 상쇄 영역(33)에 포개지는 프린팅되지 않는 피처(51)들을 포함한다는 것을 유의한다. 상기 콘택홀은 요소(52)로 표시된다. 도 5d는 동일한 IM을 예시하지만, 어시스트 피처들이 배치되는 콘택홀 주위의 해당 영역은 도 5a에 비해 확대된다. 도 5b 및 도 5e는 각각 도 5a 및 도 5d에 대응하는 수정된 마스크 패턴의 CPL 디자인에 대응한다. 도 5b를 참조하면, 상기 영역(33)은 상쇄 간 섭 영역에 대응하므로, 마스크 디자인에서 그 내부에 배치된 위상 시프트되지 않은 피처(53)들을 가진다. 상기 콘택홀(54)은 상기 위상 시프트되지 않은 피처(53)와 동일한 위상을 가진다. 상기 상쇄 영역을 둘러싸는 영역은 도 3a와 연계하여 상술된 바와 같이 보강 간섭 영역(32)에 대응한다. 이와 같이, 이들 영역은 마스크 디자인에서 그 내부에 배치된 π-위상 시프트된 피처(55)들을 가진다. 해당 영역 외측의 영역들은 중립으로 간주되므로, 제로 투과율 피처(56)(즉, 크롬)들이 이 영역에 배치된다. 주어진 예시에서, 위상 시프트된 피처(55) 및 위상 시프트되지 않은 피처(53)의 투과율은 100%이다. 도 5e는 어시스트 피처들을 배치시키기 해당 영역이 도 5b에 예시된 것을 넘어 확대되는 동일한 IM을 위한 마스크 디자인의 예시를 보여준다. 도 5e에 도시된 바와 같이, 위상 시프트되지 않은 추가 피처(53)들이 상쇄 간섭 영역에 추가되고, 보강 간섭 영역에 대응하는 π-위상 시프트된 피처(55)의 추가 영역도 마스크에 추가된다. 또한, π-위상 시프트된 피처의 배치는 위상 시프트되지 않은 피처의 프린팅을 방지하도록 이용될 수 있다. 도 5g는 어시스트 피처들을 배치시키는 해당 영역이 도 5e에 예시된 것을 넘어 확대되는 도 5a 및 도 5d에 도시된 것과 동일한 IM을 위한 마스크 디자인의 예시를 보여준다. 도 5e와 유사하게, 위상 시프트되지 않은 추가 피처(53)들이 상쇄 간섭 영역들에 추가되고, 보강 간섭 영역에 대응하는 π-위상 시프트된 피처(55)의 추가 영역들도 상기 마스크에 추가된다.
도 5c, 도 5f 및 도 5h는 각각 도 5b, 도 5e 및 도 5g에 예시된 마스크 패턴의 시뮬레이션된 2차원 이미지를 보여준다. 도시된 바와 같이, 해당 영역이 확대됨 에 따라, 적용되는 어시스트 피처들이 많아질수록, 결과적인 프린팅 성능이 보다 좋아진다. 하지만, 보다 많은 어시스트 피처들이 적용됨에 따라, 마스크 디자인이 더욱 복잡해진다는 것을 유의한다. 이에 따라, 디자이너는 허용가능한 결과치를 얻기 위해 필요한 해당 영역이 얼마나 되는지를 결정하여야 하며, 이는 예컨대 시뮬레이션 프로세스를 이용하고 해당 영역을 변화시킴으로써 행해질 수 있다.
도 5a에 도시된 바와 같이, CPL 콘택에 대한 간섭 맵의 중심은 어둡다는 점이 중요하다(즉, 매우 낮은 광 투과율을 가짐). 따라서, 상기 중심은 상쇄 간섭에 의해 형성된다. 하지만, 실제 CPL 패턴 디자인에 보정이 적용된 후, 중심 세기는 도 5c, 도 5f 및 도 5h에 도시된 바와 같이 콘택홀 마스크를 위해 의도된 것처럼 최대이다.
도 6a 내지 도 6f는 도 3c에 예시된 바와 같이 환형 조명으로부터 발생되는 IM을 위하여 CPL 격리된 콘택 마스크가 어떻게 생성되는지를 예시한다. 도 5a 내지 도 5h와 연계하여 상술된 바와 유사하게, 도 6a는 도 3c에 예시된 간섭 맵에 대응하며, 상기 IM 맵의 상쇄 영역(33)에 포개지는 프린팅되지 않는 피처(61)들을 포함한다. 상기 콘택홀은 요소(62)로 표시된다. 하지만, 도 6d는 어시스트 피처들이 배치되는 콘택홀 주위의 해당 영역이 도 6a에 비해 확대되는 동일한 IM을 예시한다. 도 6b 및 도 6e는 각각 도 6a 및 도 6d에 대응하는 수정된 마스크 패턴의 CPL 디자인에 대응한다. 도 6b를 참조하면, 영역(33)들은 상쇄 간섭에 대응하므로, 마스크 디자인에서 그 내부에 배치된 위상 시프트되지 않은 피처(61)들을 가진다. 상기 콘택홀(62)은 상기 위상 시프트되지 않은 피처(61)들과 동일한 위상을 가진다. 상기 상쇄 영역(61)들을 둘러싸는 영역들은 도 3c와 연계하여 상술된 바와 같이 보강 간섭 영역(32)들에 대응한다. 이와 같이, 이들 영역은 마스크 디자인에서 그 내부에 배치된 π-위상 시프트된 피처(65)들을 가진다. 해당 영역의 외측 영역은 중립으로 간주되므로, 제로 투과율 피처(66)들(즉, 크롬)이 상기 영역에 배치된다. 주어진 예시에서, 위상 시프트된 피처(61) 및 위상 시프트되지 않은 피처(65)의 투과율은 100%이다. 도 6c 및 도 6f는 각각 도 6b 및 도 6e에 예시된 마스크 패턴들의 시뮬레이션된 2차원 이미지를 예시한다. 다시 한번, 해당 영역이 확대됨에 따라, 적용되는 어시스트 피처들이 많아질수록, 결과적인 프린팅 성능이 보다 좋아진다.
도 7은 Quasar 조명 대 소정의 OPC 없이 통상적인 9% 감쇠된 콘택홀 마스크를 이용하여, 도 5b-CPL1; 도 5e-CPL2; 도 5g-CPL3에 예시된 3가지 CPL 콘택 마스크 디자인에 대한 피크 에어리얼 이미지 세기를 이용하여 예측된 프린팅 성능의 비교예를 예시한다.
도시된 바와 같이, 상기 차트는, 각각 잠재적인 프린팅 성능의 상한 및 하한을 예시하기 위하여, 9% attSPM(종래, σ=0.35) 및 9% attPSM(Quasar)을 포함한다. 도 7을 참조하면, 콘택홀 마스크를 프린팅에 있어서, 피크 세기가 높으면 높을수록, 예상된 프린팅 성능도 더욱 좋아진다. 예시된 바와 같이, 상기 CPL3 디자인은 예상된 프린팅 성능이 최상인데, 그 이유는 상기 디자인이 ASB 및 NPF의 가장 포괄적인 디자인(comprehensive design)이기 때문이다. 상기 CPL1 디자인은 비교적 더 간단한 디자인이지만, 프린팅 성능은 Quasar 조명 하에서 9% attPSM 콘택 마스크에 비해 현저하게 개선된다. 최종적으로, 상기 CPL2 디자인은 상기 9% attSPM 종래 조 명과 거의 동일한 성능을 가진다.
도 8은 환형 조명 대 소정의 OPC 없이 통상적인 9% 감쇠된 콘택홀 마스크를 이용하여, 도 6b-CPL1 및 도 6e-CPL2에 예시된 3가지 CPL 콘택 마스크 디자인에 대한 피크 에어리얼 이미지 세기를 이용하여 예측된 프린팅 성능의 비교예를 예시한다.
도시된 바와 같이, 환형 조명에 의한 CPL 콘택 마스크 디자인은, 피크 세기 레벨 대 환형 조명에 의한 9% attPSM 콘택(OPC 없음)에 대하여 현저한 개선을 보여준다. Quasar 조명 예시에서와 같이, OPC 디자인이 포괄적일수록, 결과적인 프린팅 성능도 보다 좋아진다. 도 8에 도시된 바와 같이, 환형 조명에 의한 CPL2 디자인의 예측된 프린팅 성능은 9% attPSM 종래 조명(σ=0.35)에 매우 근접한다.
본 발명의 방법은 또한 다른 조명들, 예컨대 쌍극 조명으로 이용될 수도 있음을 유의한다. 도 9a는 쌍극 일루미네이터의 예시를 보여준다. 도 9b는 격리된 콘택홀과 관련된 결과적인 간섭 맵을 예시한다. 도 9b에 도시된 바와 같이, 상기 IM은 보강 간섭 영역(91), 상쇄 간섭 영역(92) 및 중립 영역(93)을 나타낸다. 상기 도면을 통해 이용되는 본 예시와 계속해서, 상기 보강 간섭 영역(91)들은 마스크 패턴에서 그 내부에 배치된 π-위상 시프트된 어시스트 피처들을 가질 수 있으며, 상기 상쇄 간섭 영역(92)들은 마스크 패턴에서 그 내부에 배치된 위상 시프트되지 않은 어시스트 피처들을 가질 수 있다. 상기 중립 영역(93)들은 그 내부에 배치된 제로 투과율의 어시스트 피처들을 가질 수 있다. 도 9c는 도 9b에 예시된 IM의 3차원 이미지를 예시한다. 도시된 바와 같이, 상기 IM은 음의 값과 양의 값 양자 모두 를 가지며, 이는 보강 및 상쇄 간섭 영역을 결정하는데 이용된다.
도 10a는 랜덤 콘택 패턴에 대한 IM의 예시이다. 앞선 예시와 유사하게, 필드의 영역(101)들은 에어리얼 이미지 세기가 .10보다 큰 영역들을 나타내고, 영역(102)들은 에어리얼 이미지 세기가 .10보다 적은 영역들을 나타내며, 영역(104)들은 에어리얼 이미지 세기가 .10 근처인 영역들이다. 이러한 시뮬레이션은, 도 10b에 도시된 바와 같이, ArF, 0.75NA, .92/.72/30deg c-Quad 조명을 이용하여 수행되었다. 앞선 예시에서와 같이, 일단 IM이 생성되고 상기 영역들이 결정되면, 어시스트 피처들은 상술된 방식으로 마스크 패턴에 위치될 수 있다.
따라서, 본 발명의 방법은 IM과 SB, ASB와 NPF의 사용을 포함하는 신규 OPC 기술을 설명한다. 본 발명을 이용하면, 딥 서브-파장 크기에 있는 마스크 피처들의 풀 피치 범위에 대하여 OAI(또는 여타의 조명)을 이용하여 최적의 프린팅 성능을 얻을 수 있다.
또한, 상기 최적의 OPC 마스크 디자인을 생성하기 위하여, 본 발명의 방법을 자동으로 수행하는 것도 가능하다. 이는 MaskWeaver와 같은 전자 CAD 디자인 툴을 이용하여 달성될 수 있다.
도 11은 본 발명에 의하여 디자인된 마스크들을 이용하기에 적합한 리소그래피 투영장치를 개략적으로 도시한다. 상기 장치는,
- 방사선의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL)(이 경우에는 특별히 방사선시스템이 방사원(LA)도 포함한다);
- 마스크(MA)(예를 들어, 레티클)를 유지시키는 마스크 홀더가 마련된, 아이 템(PL)에 대하여 마스크를 정확히 위치시키는 제1위치설정수단에 연결된 제1대물테이블(마스크테이블)(MT);
- 기판(W)(예를 들어, 레지스트 코팅된 실리콘 웨이퍼)을 유지시키는 기판 홀더가 마련된, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제2위치설정수단에 연결된 제2대물테이블(기판테이블)(WT);
- 기판(W)의 타겟부(C)(1이상의 다이를 포함)에 마스크(MA)의 조사된 부분을 묘화하는 투영시스템("렌즈")(PL)(예를 들어, 굴절형, 카톱트릭 또는 카타디옵트릭 광학 시스템)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 (투과마스크를 구비한) 투과형이다. 하지만, 일반적으로는, 예를 들어 (반사마스크를 구비한) 반사형일 수도 있다. 대안적으로, 상기 장치는 마스크 사용의 대안으로서 또 다른 종류의 패터닝수단을 채용할 수도 있다; 예시는 프로그램가능한 거울 배열 또는 LCD 행렬을 포함한다.
상기 방사원(LA)(예를 들어, 수은 램프, 엑시머 레이저 또는 플라즈마 방전원)은 방사선의 빔을 생성한다. 상기 빔은 곧바로 조명시스템(일루미네이터)(IL)에 들어 가거나, 예를 들어 빔 익스펜더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명시스템으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기 분포의 외반경 및/또는 내반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다른 다양한 구성요소들을 포함하고 있다. 이러한 방식으로, 마스크(MA)에 도달하는 빔(PB)은 그 단면에 소정의 균일성과 세기 분포를 갖게 된 다.
도 11과 관련하여, 상기 방사원(LA)은 리소그패피 투영장치의 하우징내에 놓이지만(흔히 예를 들어, 방사원(LA)이 수은 램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔이 (가령, 적절한 지향 거울에 의해) 장치 내부로 들어오게 할 수도 있다. 후자의 시나리오는 방사원(LA)이 흔히 (예컨대, KrF, ArF 또는 F2 레이징에 기초한) 엑시머레이저인 때의 경우이다. 본 발명과 청구 범위는 이들 시나리오를 모두 포함하고 있다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 유지되는 마스크(MA)를 거친다. 상기 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)을 포커스한다. 제2위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 11에 명확히 도시되지는 않았지만, 장행정모듈 (long-stroke module)(개략 위치설정) 및 단행정모듈(미세 위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔툴과는 대조적으로) 웨이퍼스테퍼의 경우에는, 마스크테이블(MT)은 단지 단행정 엑추에이터에 연결되거나 또는 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)에 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 쉬프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
- 스캔 모드에서는, 소정 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동 가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V=Mv로, 동일한 방향 또는 그 반대 방향으로 동시에 이동하는 데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
실행가능한 코드를 포함하는, 프로그래밍을 수반하는 컴퓨터시스템의 소프트웨어 기능성들이 상술된 묘화 모델을 구현하는데 이용될 수 있다. 소프트웨어 코드는 범용 컴퓨터에 의해 실행가능하다. 작동시, 코드 및 관련된 데이터 기록들은 범용 컴퓨터 플랫폼 내에 저장될 수 있다. 하지만, 다른 때에는, 상기 소프트웨어가 다른 장소에 저장되거나 및/또는 적절한 범용 컴퓨터시스템으로의 로딩을 위하여 이동될 수도 있다. 이에 따라, 상술된 실시예들은 하나 이상의 기계-판독가능한 매체에 의해 전달된 코드의 1 이상의 모듈 형태의 1 이상의 소프트웨어 제품을 포함한다. 컴퓨터시스템의 프로세서에 의한 상기 코드의 실행은, 특히 본 명세서에 논 의되고 예시된 실시예들에서 수행된 방식으로, 상기 플랫폼이 카탈로그 및/또는 소프트웨어 다운로딩 기능들을 구현하도록 할 수 있다.
여기서, 컴퓨터 또는 기계 "판독가능한 매체"와 같은 용어는, 실행을 위하여 프로세서에 명령어들 제공하는 것에 관여하는 소정의 매체를 칭한다. 이러한 매체는 여러 형태를 취하는데, 비휘발성 매체, 휘발성 매체 및 전송 매체들을 포함하기는 하지만, 여기에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 상술된 서버 플랫폼 중 하나로서 작동하는 소정의 컴퓨터(들)내의 소정의 기억장치와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 상기 컴퓨터 플랫폼의 메인 메모리와 같은 다이내믹 메모리를 포함한다. 물리적인 전송 매체는 컴퓨터시스템 내에 버스를 포함하는 와이어를 포함하는 섬유 다발, 구리선 및 동축케이블 등을 포함한다. 반송파(carrier-wave) 전송 매체는 전기 신호나 전자기 신호 또는 무선 주파수(RF) 및 적외(IR) 데이터 통신 시에 생성되는 것과 같은 탄성파 또는 광파의 형태를 취할 수 있다. 그러므로 컴퓨터-판독가능한 매체의 일반적인 형태들은 예컨대: 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 여타의 자기 매체, CD-ROM, DVD, 여타의 광학매체를 포함하며, 흔하지는 않지만 펀치 카드, 페이퍼 테잎, 구멍들의 패턴을 갖는 여타의 물리적인 매체, RAM, PROM, EPROM, FLASH-EPROM, 여타의 메모리 칩이나 카트리지, 반송파 전달 데이터나 명령어, 상기 반송파를 전달하는 케이블이나 링크, 또는 컴퓨터가 프로그래밍 코드 및/또는 데이터를 판독할 수 있는 여타의 매체를 포함한다. 이들 컴퓨터 판독가능한 매체의 여러 형태들은 실행을 위하여 프로세서에 1 이상의 명령어의 1 이상의 시퀀스 전달 시에 수반될 수 있다.
상술된 바와 같이, 본 발명의 방법은 종래 기술에 뛰어넘는 중요한 장점들을 제공한다. 가장 중요하게는, 본 발명의 OPC 기술은 실질적으로 피치를 통한 소정 조명 조건을 이용하여 묘화될 딥 서브-파장 마스크 패턴을 고려한다. 그 결과, 본 발명은 예를 들어 단일 조명을 이용하여 격리된 콘택에서 조밀한 콘택(즉, 모든 콘택들이 서로 균일하게 이격되지 않음)까지의 범위로 랜덤하게 배치된 콘택들을 갖는 콘택 어레이들을 프린팅하기 위한 기술을 제공한다. 이와는 대조적으로, 종래의 OPC 기술들은 통상적으로 허용가능한 분해능 결과치를 얻기 위하여 격리되고 조밀하게 이격된 피처 모두의 프린팅을 고려하도록 다수 노광들을 요구했다. 한가지 특별한 장점은, 본 발명이 OAI를 이용하여 풀-피치 범위 피처들에 대한 최적 프린팅 성능을 실현한다는 점이다.
또한, 상기 방법의 변형예들도 가능하다. 예를 들어, 상기 방법은 바이너리 레티클 패턴 양자 모두(전기장 진폭의 0 및 +1)에 대하여, 그리고 위상 시프트 레티클 패턴(전기장 진폭의 0, +1, -1)에 대하여 사용될 수 있다. 상기 예시들은 어두운 필드 마스크 타입에 밝은 마스크 패턴들을 프린팅하기 위한 본 발명의 방법을 예시하였다. 하지만, 상기 방법은 동등하게 밝은 필드 마스크 타입에 어두운 피처들의 풀 피치 범위를 프린팅하도록 잘 적용될 수도 있다.
또한, 본 명세서에 개시된 개념들은 서브 파장 피처들을 묘화하기 위하여 소정의 일반 묘화시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 현저하게 보다 작은 크기의 파장을 생성할 수 있는 신생 묘화 기술들에 특히 유용할 수 있다. 이미 사용 중인 신생 기술들은, ArF 레이저를 사용하여 193nm 파장을 생성할 수 있으며, 심지어는 플루오르 레이저를 사용하여 157nm 파장을 생성할 수도 있는 EUV(극자외선) 리소그래피를 포함한다. 한편, EUV 리소그래피는 싱크로트론을 이용하여 또는 고에너지 전자들을 갖는 재료(고체 또는 플라즈마)를 때림(hitting)으로써 20~5nm 범위 내의 파장을 생성할 수 있으므로, 상기 범위 내의 광자를 생성할 수 있다. 대부분의 재료가 상기 범위 내에서 흡수성이기 때문에, 몰리브덴 및 실리콘의 멀티-스택을 갖는 반사형 거울에 의해 조명이 생성될 수 있다. 상기 멀티-스택 거울은, 각 층의 두께가 1/4 파장인 40층 쌍의 몰리브덴 및 실리콘을 가진다. 훨씬 더 작은 파장은 X-레이 리소그래피로 생성될 수 있다. 통상적으로, 싱크로트론은 X-레이 파장을 생성하는데 사용된다. 대부분의 재료가 x-레이 파장에서 흡수성이므로, 흡수 재료의 얇은 피스(piece)는 피처들이 프린트될 곳이거나(포지티브 레지스트) 프린트되지 않을 곳(네거티브 레지스트)을 정의한다.
여기에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 묘화하는데 이용될 수 있지만, 상기 개시된 개념들은 여타의 리소그래피 묘화시스템, 예컨대 실리콘 웨이퍼 이외의 기판 상에 묘화하는데 사용되는 것으로 이용될 수도 있음을 이해하여야 한다.
지금까지 본 발명의 소정의 특정 실시예들을 기술하였지만, 본 발명은 그 기술적 사상 또는 핵심 특징들로부터 벗어나지 않고도 여타의 형태로 구현될 수 있음을 유의해야 한다. 따라서, 본 실시예들은 모든 면에 있어서 예시적인 것으로 고려되지만 제한적인 것은 아니며, 본 발명의 범위는 첨부된 청구항에 의해 정해지고, 모든 수정예들이 상기 청구항의 의미 및 균등론 범위 내에 있음은 자명하다.
본 발명에 따르면, 어떠한 조명조건을 사용하더라도 딥 서브-파장 마스크 패턴들의 전체 피치 범위가 묘화되도록 하는 마스크 패턴에 광근접성 보정 피처들을 제공하는 방법을 제공한다. 또한, 본 발명은 광근접성 보정 피처들을 그 안에 가지는 마스크 디자인을 생성하는 방법도 제공한다.

Claims (21)

  1. 광근접성보정피처들이 그 내부에 배치된 마스크 디자인을 생성하는 방법에 있어서,
    기판 상에 묘화될 피처들을 갖는 소정의 타겟 패턴을 획득하는 단계;
    상기 타겟 패턴에 기초하여, 하나 이상의 묘화될 피처와 상기 하나 이상의 피처에 인접한 필드 영역 사이에, 상기 타겟 패턴상에 투과되는 광이 상기 타겟 패턴에 대해 상쇄 간섭되는 상쇄 간섭 영역 및 상기 타겟 패턴상에 투과되는 광이 상기 타겟 패턴에 대해 보강 간섭되는 보강 간섭 영역을 정의하는 간섭 맵을 생성하는 단계; 및
    상기 보강 간섭 영역 및 상기 상쇄 간섭 영역에 기초하여, 상기 마스크 디자인내에 어시스트 피처들을 배치하는 단계를 포함하여 이루어지는 것을 특징으로 하는 마스크 디자인 생성방법.
  2. 제1항에 있어서,
    상기 간섭 맵을 결정하는 단계는,
    상기 마스크를 묘화하기 위해 이용되는 묘화시스템의 분해능보다 상기 피처들의 크기가 작도록, 상기 소정의 타겟 패턴에 포함된 상기 피처들의 크기를 줄이는 단계; 및
    상기 감소된 크기의 타겟 패턴의 광학 시뮬레이션을 수행하는 단계를 포함하여 이루어지고, 상기 감소된 크기의 타겟 패턴의 상기 필드 영역이 영(zero)보다 큰 퍼센트 투과율을 가지도록 상기 시뮬레이션이 수행되는 것을 특징으로 하는 마스크 디자인 생성방법.
  3. 제2항에 있어서,
    상기 감소된 크기의 타겟 패턴내에 포함된 상기 피처들의 임계치수가 λ/(2πㆍNA)(여기서, λ는 묘화 툴의 노광파장이고, NA는 묘화시스템의 투영렌즈의 개구수를 나타냄) 보다 작은 것을 특징으로 하는 마스크 디자인 생성방법.
  4. 제1항에 있어서,
    상기 간섭 맵은 또한 간섭 중립 영역들을 정의하고, 상기 중립 영역들은 상기 묘화될 피처에 대한 보강 간섭 또는 상쇄 간섭 중의 어느 하나를 초래하지 않는 것을 특징으로 하는 마스크 디자인 생성방법.
  5. 제1항에 있어서,
    상기 마스크 디자인에 배치될 상기 어시스트 피처들은, 스캐터 바아, 안티-스캐터 바아 및 프린팅되지 않는 어시스트 피처들을 포함하는 것을 특징으로 하는 마스크 디자인 생성방법.
  6. 제5항에 있어서,
    상기 묘화될 피처의 프린팅을 증대시키는 어시스트 피처들이 상기 보강 간섭 영역내에 배치되고, 상쇄 간섭을 상쇄하는(negate) 어시스트 피처들이 상기 상쇄 간섭 영역내에 배치되는 것을 특징으로 하는 마스크 디자인 생성방법.
  7. 제1항에 있어서,
    상기 간섭 맵은 상기 묘화될 피처에 대한 필드의 세기 레벨들을 정의하고, 상기 간섭 맵은 영이 아닌 DC 레벨에 대한 양의 세기값과 음의 세기값 모두를 표시할 수 있으며,
    상기 영이 아닌 DC 레벨에 대하여 양의 세기값들을 갖는 필드의 영역들은 상기 보강 간섭 영역에 대응하고, 상기 영이 아닌 DC 레벨에 대하여 음의 세기값들을 갖는 필드의 영역들은 상기 상쇄 간섭 영역에 대응하는 것을 특징으로 하는 마스크 디자인 생성방법.
  8. 광근접성보정피처들이 그 내부에 배치된 마스크 디자인을 생성하는 장치에 있어서,
    기판 상에 묘화될 피처들을 갖는 소정의 타겟 패턴을 획득하는 수단;
    상기 타겟 패턴에 기초하여, 하나 이상의 묘화될 피처와 상기 하나 이상의 피처에 인접한 필드 영역 사이에, 상기 타겟 패턴상에 투과되는 광이 상기 타겟 패턴에 대해 상쇄 간섭되는 상쇄 간섭 영역 및 상기 타겟 패턴상에 투과되는 광이 상기 타겟 패턴에 대해 보강 간섭되는 보강 간섭 영역을 정의하는 간섭 맵을 생성하는 수단; 및
    상기 보강 간섭 영역 및 상기 상쇄 간섭 영역에 기초하여, 상기 마스크 디자인내에 어시스트 피처들을 배치하는 수단을 포함하여 이루어지는 것을 특징으로 하는 마스크 디자인 생성장치.
  9. 제8항에 있어서,
    상기 간섭 맵을 결정하는 것은,
    상기 마스크를 묘화하기 위해 이용되는 묘화시스템의 분해능보다 상기 피처들의 크기가 작도록, 상기 소정의 타겟 패턴에 포함된 상기 피처들의 크기를 줄이는 단계; 및
    상기 감소된 크기의 타겟 패턴의 광학 시뮬레이션을 수행하는 단계를 포함하여 이루어지고, 상기 감소된 크기의 타겟 패턴의 상기 필드 영역이 영보다 큰 퍼센트 투과율을 가지도록 상기 시뮬레이션이 수행되는 것을 특징으로 하는 마스크 디자인 생성장치.
  10. 제9항에 있어서,
    상기 감소된 크기의 타겟 패턴내에 포함된 상기 피처들의 임계치수가 λ/(2πㆍNA)(여기서, λ는 묘화 툴의 노광파장이고, NA는 묘화시스템의 투영렌즈의 개구수를 나타냄) 보다 작은 것을 특징으로 하는 마스크 디자인 생성장치.
  11. 제8항에 있어서,
    상기 간섭 맵은 또한 간섭 중립 영역들을 정의하고, 상기 중립 영역들은 묘화될 피처에 대한 보강 간섭 또는 상쇄 간섭 중의 어느 하나를 초래하지 않는 것을 특징으로 하는 마스크 디자인 생성장치.
  12. 제8항에 있어서,
    상기 마스크 디자인에 배치될 상기 어시스트 피처들은, 스캐터 바아, 안티-스캐터 바아 및 프린팅되지 않는 어시스트 피처들을 포함하는 것을 특징으로 하는 마스크 디자인 장치.
  13. 제12항에 있어서,
    상기 묘화될 피처의 프린팅을 증대시키는 어시스트 피처들이 상기 보강 간섭 영역내에 배치되고, 상쇄 간섭을 상쇄하는 어시스트 피처들이 상기 상쇄 간섭 영역내에 배치되는 것을 특징으로 하는 마스크 디자인 생성장치.
  14. 제8항에 있어서,
    상기 간섭 맵은 상기 묘화될 피처에 대한 상기 필드의 세기 레벨들을 정의하고, 상기 간섭 맵은 영이 아닌 DC 레벨에 대한 양의 세기값과 음의 세기값 모두를 표시할 수 있으며,
    상기 영이 아닌 DC 레벨에 대하여 양의 세기값들을 갖는 상기 필드의 영역들은 상기 보강 간섭 영역에 대응하고, 상기 영이 아닌 DC 레벨에 대하여 음의 세기값들을 갖는 상기 필드의 영역들은 상기 상쇄 간섭 영역에 대응하는 것을 특징으로 하는 마스크 디자인 생성장치.
  15. 리소그래피 묘화공정에 사용하는 마스크에 대응하는 파일들을 생성하도록 컴퓨터에 지시하기 위한 수단을 포함하는, 컴퓨터를 제어하기 위한 컴퓨터 프로그램을 기록한 컴퓨터에 의해 판독가능한 기록 매체에 있어서,
    상기 파일들을 생성하는 것은,
    기판 상에 묘화될 피처들을 갖는 소정의 타겟 패턴을 획득하는 단계;
    상기 타겟 패턴에 기초하여, 하나 이상의 상기 묘화될 피처와 상기 하나 이상의 피처에 인접한 필드 영역 사이에, 상기 타겟 패턴상에 투과되는 광이 상기 타겟 패턴에 대해 상쇄 간섭되는 상쇄 간섭 영역 및 상기 타겟 패턴상에 투과되는 광이 상기 타겟 패턴에 대해 보강 간섭되는 보강 간섭 영역을 정의하는 간섭 맵을 생성하는 단계; 및
    상기 보강 간섭 영역 및 상기 상쇄 간섭 영역에 기초하여, 상기 마스크 디자인내에 어시스트 피처들을 배치하는 단계를 포함하여 이루어지는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터에 의해 판독가능한 기록 매체.
  16. 제15항에 있어서,
    상기 간섭 맵을 결정하는 단계는,
    상기 마스크를 묘화하기 위해 이용되는 묘화시스템의 분해능보다 상기 피처들의 크기가 작도록, 상기 소정의 타겟 패턴에 포함된 상기 피처들의 크기를 줄이는 단계; 및
    상기 감소된 크기의 타겟 패턴의 광학 시뮬레이션을 수행하는 단계를 포함하여 이루어지고, 상기 감소된 크기의 타겟 패턴의 상기 필드 영역이 영보다 큰 퍼센트 투과율을 가지도록 상기 시뮬레이션이 수행되는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터에 의해 판독가능한 기록 매체.
  17. 제16항에 있어서,
    상기 감소된 크기의 타겟 패턴내에 포함된 상기 피처들의 임계치수가 λ/(2πㆍNA)(여기서, λ는 묘화 툴의 노광파장이고, NA는 묘화시스템의 투영렌즈의 개구수를 나타냄) 보다 작은 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터에 의해 판독가능한 기록 매체.
  18. 제15항에 있어서,
    상기 간섭 맵은 또한 간섭 중립 영역들을 정의하고, 상기 중립 영역들은 묘화될 피처에 대한 보강 간섭 또는 상쇄 간섭 중의 어느 하나를 초래하지 않는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터에 의해 판독가능한 기록 매체.
  19. 제15항에 있어서,
    상기 마스크 디자인에 배치될 상기 어시스트 피처들은, 스캐터 바아, 안티-스캐터 바아 및 프린팅되지 않는 어시스트 피처들을 포함하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터에 의해 판독가능한 기록 매체.
  20. 제19항에 있어서,
    상기 묘화될 피처의 프린팅을 증대시키는 어시스트 피처들이 상기 보강 간섭 영역내에 배치되고, 상쇄 간섭을 상쇄하는 어시스트 피처들이 상기 상쇄 간섭 영역내에 배치되는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터에 의해 판독가능한 기록 매체.
  21. 제15항에 있어서,
    상기 간섭 맵은 상기 묘화될 피처에 대한 상기 필드의 세기 레벨들을 정의하고, 상기 간섭 맵은 영이 아닌 DC 레벨에 대한 양의 세기값과 음의 세기값 모두를 표시할 수 있으며,
    상기 영이 아닌 DC 레벨에 대하여 양의 세기값들을 갖는 상기 필드의 영역들은 상기 보강 간섭 영역에 대응하고, 상기 영이 아닌 DC 레벨에 대하여 음의 세기값들을 갖는 상기 필드의 영역들은 상기 상쇄 간섭 영역에 대응하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터에 의해 판독가능한 기록 매체.
KR1020040002728A 2003-01-14 2004-01-14 딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성피처들을 제공하는 방법 및 장치 KR100792808B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US43980703P 2003-01-14 2003-01-14
US60/439,807 2003-01-14

Publications (2)

Publication Number Publication Date
KR20040065188A KR20040065188A (ko) 2004-07-21
KR100792808B1 true KR100792808B1 (ko) 2008-01-14

Family

ID=32595350

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040002728A KR100792808B1 (ko) 2003-01-14 2004-01-14 딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성피처들을 제공하는 방법 및 장치

Country Status (8)

Country Link
US (2) US7247574B2 (ko)
EP (1) EP1439419B1 (ko)
JP (1) JP4101770B2 (ko)
KR (1) KR100792808B1 (ko)
CN (1) CN100468196C (ko)
DE (1) DE602004002598T2 (ko)
SG (1) SG125109A1 (ko)
TW (1) TWI290262B (ko)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI290262B (en) * 2003-01-14 2007-11-21 Asml Masktools Bv Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US7096452B2 (en) * 2003-06-24 2006-08-22 Micron Technology, Inc. Method and device for checking lithography data
KR101115477B1 (ko) * 2003-06-30 2012-03-06 에이에스엠엘 마스크툴즈 비.브이. 이미지 필드 맵을 이용하여 어시스트 피처를 생성하는방법, 프로그램물 및 장치
KR100927454B1 (ko) 2003-10-31 2009-11-19 에이에스엠엘 마스크툴즈 비.브이. 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
US7506299B2 (en) 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7475379B2 (en) * 2004-06-23 2009-01-06 International Business Machines Corporation Methods and systems for layout and routing using alternating aperture phase shift masks
US7620930B2 (en) * 2004-08-24 2009-11-17 Asml Masktools B.V. Method, program product and apparatus for model based scattering bar placement for enhanced depth of focus in quarter-wavelength lithography
US8043797B2 (en) * 2004-10-12 2011-10-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7509621B2 (en) * 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US8037429B2 (en) * 2005-03-02 2011-10-11 Mentor Graphics Corporation Model-based SRAF insertion
US7480891B2 (en) * 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7424699B2 (en) * 2005-06-10 2008-09-09 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques
US8890095B2 (en) * 2005-07-25 2014-11-18 Mapper Lithography Ip B.V. Reliability in a maskless lithography system
US7512928B2 (en) * 2005-08-12 2009-03-31 Texas Instruments Incorporated Sub-resolution assist feature to improve symmetry for contact hole lithography
US20070046917A1 (en) 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
KR100642417B1 (ko) * 2005-09-20 2006-11-03 주식회사 하이닉스반도체 레이어 대 레이어 검사방법을 이용한 광학근접보정검증방법
US7614034B2 (en) * 2005-11-08 2009-11-03 Asml Masktools B.V. Method and apparatus for generating OPC rules for placement of scattering bar features utilizing interface mapping technology
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
JP4812470B2 (ja) * 2006-03-08 2011-11-09 ルネサスエレクトロニクス株式会社 フォトマスクおよび露光方法
US7510960B2 (en) * 2006-08-29 2009-03-31 International Business Machines Corporation Bridge for semiconductor internal node
JP4804294B2 (ja) * 2006-09-20 2011-11-02 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
KR100818999B1 (ko) * 2006-10-09 2008-04-02 삼성전자주식회사 마스크 제작 방법
US8732625B2 (en) 2007-06-04 2014-05-20 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
JP2009093138A (ja) * 2007-09-19 2009-04-30 Canon Inc 原版データの生成方法、原版作成方法、露光方法、デバイス製造方法及び原版データを作成するためのプログラム
EP2040120B1 (en) * 2007-09-19 2011-03-02 Canon Kabushiki Kaisha Mask data generation method, mask fabrication method, exposure method, device fabrication method, and program
US8103985B2 (en) 2007-11-02 2012-01-24 Cadence Design Systems, Inc. Method and system for implementing controlled breaks between features using sub-resolution assist features
CN101452205B (zh) * 2007-11-30 2011-04-20 中芯国际集成电路制造(上海)有限公司 一种散射条生成方法
US7930657B2 (en) 2008-01-23 2011-04-19 Micron Technology, Inc. Methods of forming photomasks
JP5311326B2 (ja) * 2008-02-18 2013-10-09 ルネサスエレクトロニクス株式会社 フォトマスク、パターンの形成方法および電子デバイスの製造方法
JP2009231769A (ja) * 2008-03-25 2009-10-08 Toshiba Corp 投影露光方法
US8037446B2 (en) * 2008-07-16 2011-10-11 Micron Technology, Inc. Methods for defining evaluation points for optical proximity correction and optical proximity correction methods including same
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
KR101113326B1 (ko) * 2009-07-01 2012-03-13 주식회사 하이닉스반도체 포토마스크의 보조패턴 형성방법
JP5185235B2 (ja) * 2009-09-18 2013-04-17 株式会社東芝 フォトマスクの設計方法およびフォトマスクの設計プログラム
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
US8153522B2 (en) 2010-03-02 2012-04-10 Micron Technology, Inc. Patterning mask and method of formation of mask using step double patterning
US8512938B2 (en) 2010-06-14 2013-08-20 Micron Technology, Inc. Methods of forming a pattern in a material and methods of forming openings in a material to be patterned
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
KR101757743B1 (ko) * 2011-03-03 2017-07-17 삼성전자 주식회사 플레어 보정방법 및 euv 마스크 제조방법
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8739078B2 (en) 2012-01-18 2014-05-27 International Business Machines Corporation Near-neighbor trimming of dummy fill shapes with built-in optical proximity corrections for semiconductor applications
KR102154105B1 (ko) 2012-04-18 2020-09-09 디2에스, 인코포레이티드 하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템
KR20150001834A (ko) 2012-04-18 2015-01-06 디2에스, 인코포레이티드 하전 입자 빔 리소그래피를 사용한 임계 치수 균일성을 위한 방법 및 시스템
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US20140129997A1 (en) * 2012-11-08 2014-05-08 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8846273B2 (en) 2012-06-04 2014-09-30 Micron Technology, Inc. Photomasks, methods of forming a photomask, and methods of photolithographically patterning a substrate
KR101991380B1 (ko) 2012-07-26 2019-06-20 삼성전자주식회사 반도체 소자의 레이아웃 생성 방법
KR102238742B1 (ko) * 2014-09-11 2021-04-12 삼성전자주식회사 마스크 패턴의 측정 관심 영역 그룹화 방법 및 이를 이용한 마스크 패턴의 선폭 계측 방법
CN105592308A (zh) * 2014-10-21 2016-05-18 鸿富锦精密工业(深圳)有限公司 测试图纸、采用该测试图纸的摄像模组检测方法及系统
US9805154B2 (en) 2015-05-15 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of lithography process with inserting scattering bars
KR102466464B1 (ko) 2015-08-03 2022-11-14 삼성전자주식회사 광 근접 보정을 위해 초기 바이어스 값을 제공하는 방법, 및 그 초기 바이어스 값에 기초한 광 근접 보정을 수반하는 마스크 제작 방법
JP6381502B2 (ja) * 2015-09-14 2018-08-29 東芝メモリ株式会社 パターンデータ作成方法、パターンデータ作成装置及びマスク
CN205556762U (zh) * 2016-05-05 2016-09-07 鄂尔多斯市源盛光电有限责任公司 掩膜板、母板、掩膜板制造设备和显示基板蒸镀系统
US10170309B2 (en) 2017-02-15 2019-01-01 Globalfoundries Inc. Dummy pattern addition to improve CD uniformity
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
CN108153115A (zh) * 2017-12-19 2018-06-12 上海集成电路研发中心有限公司 极紫外光刻掩模、其制作方法及生成掩模图案的方法
DE102022202523A1 (de) 2022-03-15 2023-09-21 Robert Bosch Gesellschaft mit beschränkter Haftung Optisch abbildendes System mit Blende mit verrauschtem Blendenrand

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04216548A (ja) * 1990-12-18 1992-08-06 Mitsubishi Electric Corp フォトマスク

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960002536A (ko) * 1994-06-29 1996-01-26
US5682323A (en) * 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US6223139B1 (en) 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
US6037082A (en) * 1998-10-30 2000-03-14 Advanced Micro Devices, Inc. Design of a new phase shift mask with alternating chrome/phase structures
JP3275863B2 (ja) * 1999-01-08 2002-04-22 日本電気株式会社 フォトマスク
US6214497B1 (en) * 1999-06-29 2001-04-10 Micron Technology, Inc. Method to eliminate side lobe printing of attenuated phase shift masks
US6303253B1 (en) 2000-03-16 2001-10-16 International Business Machines Corporation Hierarchy and domain-balancing method and algorithm for serif mask design in microlithography
US6787271B2 (en) * 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6777141B2 (en) * 2000-07-05 2004-08-17 Numerical Technologies, Inc. Phase shift mask including sub-resolution assist features for isolated spaces
US6503666B1 (en) 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
TW552561B (en) 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6901575B2 (en) * 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
TWI285295B (en) 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US6792591B2 (en) * 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
US6519760B2 (en) * 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
JP3686367B2 (ja) * 2001-11-15 2005-08-24 株式会社ルネサステクノロジ パターン形成方法および半導体装置の製造方法
US6749970B2 (en) 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
US7023528B2 (en) * 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
US6807662B2 (en) * 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
US7266480B2 (en) * 2002-10-01 2007-09-04 The Regents Of The University Of California Rapid scattering simulation of objects in imaging using edge domain decomposition
TWI290262B (en) * 2003-01-14 2007-11-21 Asml Masktools Bv Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US7509621B2 (en) * 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04216548A (ja) * 1990-12-18 1992-08-06 Mitsubishi Electric Corp フォトマスク

Also Published As

Publication number Publication date
US20070162889A1 (en) 2007-07-12
US20040209170A1 (en) 2004-10-21
TW200500791A (en) 2005-01-01
JP2004220034A (ja) 2004-08-05
EP1439419B1 (en) 2006-10-04
TWI290262B (en) 2007-11-21
US7247574B2 (en) 2007-07-24
US7774736B2 (en) 2010-08-10
JP4101770B2 (ja) 2008-06-18
KR20040065188A (ko) 2004-07-21
SG125109A1 (en) 2006-09-29
DE602004002598T2 (de) 2007-10-18
EP1439419A2 (en) 2004-07-21
EP1439419A3 (en) 2005-01-05
CN1550900A (zh) 2004-12-01
CN100468196C (zh) 2009-03-11
DE602004002598D1 (de) 2006-11-16

Similar Documents

Publication Publication Date Title
KR100792808B1 (ko) 딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성피처들을 제공하는 방법 및 장치
KR100592580B1 (ko) 쌍극조명에 사용하기 위한 모델-기반 레이아웃 변환을수행하는 방법 및 장치
KR100570196B1 (ko) 마스크 생성방법 및 장치, 패턴프린팅 방법, 및 컴퓨터프로그램물
KR100566153B1 (ko) 다이폴 조명을 활용하여 규칙기반 게이트 슈링크를수행하는 방법 및 장치
EP1513012B1 (en) Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
KR100719154B1 (ko) 콘택홀 마스크를 위한 광근접성보정설계 방법
KR100457839B1 (ko) 규정된 래더바를 서브-해상도 어시스트피처로 활용하는광근접성교정방법
US7681171B2 (en) Method, program product and apparatus for performing double exposure lithography
US7549140B2 (en) Method and apparatus for decomposing semiconductor device patterns into phase and chrome regions for chromeless phase lithography
KR100563776B1 (ko) 공간 주파수 2배가 기술을 활용하여 마스크패턴을형성하는 방법 및 장치
US7604909B2 (en) Method for improved manufacturability and patterning of sub-wavelength contact hole mask

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20121221

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131220

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141229

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151228

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161223

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171222

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181220

Year of fee payment: 12