KR20150001834A - 하전 입자 빔 리소그래피를 사용한 임계 치수 균일성을 위한 방법 및 시스템 - Google Patents

하전 입자 빔 리소그래피를 사용한 임계 치수 균일성을 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20150001834A
KR20150001834A KR20147032204A KR20147032204A KR20150001834A KR 20150001834 A KR20150001834 A KR 20150001834A KR 20147032204 A KR20147032204 A KR 20147032204A KR 20147032204 A KR20147032204 A KR 20147032204A KR 20150001834 A KR20150001834 A KR 20150001834A
Authority
KR
South Korea
Prior art keywords
charged particle
particle beam
shots
mdp
pattern
Prior art date
Application number
KR20147032204A
Other languages
English (en)
Inventor
리안 피어맨
로버트 씨. 팩
아키라 후지무라
Original Assignee
디2에스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 디2에스, 인코포레이티드 filed Critical 디2에스, 인코포레이티드
Publication of KR20150001834A publication Critical patent/KR20150001834A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging

Abstract

마스크 데이터 준비 또는 마스크 프로세스 보정을 위한 방법이 개시되고, 여기서 표면 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들의 세트가 결정되고, 여기서 패턴의 CDU(critical dimension uniformity)가 최적화된다. 일부 실시예들에서, CDU는 적어도 2 개의 요인들을 변경함으로써 최적화된다. 다른 실시예들에서, 모델-기반 기술들이 사용된다. 또 다른 실시예들에서, 표면은 웨이퍼 상에 패턴을 형성하기 위해 광학 리소그래피 프로세스에서 사용될 레티클이고, 웨이퍼 상의 CDU가 최적화된다.

Description

하전 입자 빔 리소그래피를 사용한 임계 치수 균일성을 위한 방법 및 시스템{METHOD AND SYSTEM FOR CRITICAL DIMENSION UNIFORMITY USING CHARGED PARTICLE BEAM LITHOGRAPHY}
본 출원은, 발명의 명칭이 "Method And System For Improving Critical Dimension Uniformity Using Variable Shaped Beam Lithography"이고 2012년 4월 18일에 출원된 미국 가특허 출원 제 61/625,932 호를 우선권으로 주장하고, 1) 발명의 명칭이 "Method and System For Forming Patterns Using Charged Particle Beam Lithograph"이고 2013년 4월 15일에 출원된 Fujimura의 미국 특허 출원 제 13/862,471 호, 2) 발명의 명칭이 "Method and System For Forming Patterns Using Charged Particle Beam Lithography"이고 2013년 4월 15일에 출원된 Fujimura의 미국 특허 출원 제 13/862,472 호, 3) 발명의 명칭이 "Method and System For Forming Patterns Using Charged Particle Beam Lithography"이고 2013년 4월 15일에 출원된 Fujimura의 미국 특허 출원 제 13/862,475 호, 4) 발명의 명칭이 "Method And System For Critical Dimension Uniformity Using Charged Particle Beam Lithography"이고 2013년 4월 15일에 출원된 Fujimura의 미국 특허 출원 제 13/862,476 호, 및 5) 발명의 명칭이 "Method And System For Optimization Of An Image On A Substrate To Be Manufactured Using Optical Lithography"이고 2013년 3월 21일에 공개된 미국 특허 공보 제 2013/0070222 호에 관련되며, 그로 인해 상기 출원들 모두는 모든 목적으로 인용에 의해 통합된다.
집적 회로들과 같은 반도체 디바이스들의 생산 또는 제조 시에, 반도체 디바이스들을 제작하기 위해 광학 리소그래피(lithography)가 이용될 수 있다. 광학 리소그래피는, 집적 회로(I.C.)를 생성하기 위해 반도체 또는 실리콘 웨이퍼와 같은 기판에 패턴들을 전사하기 위해서, 레티클(reticle)로부터 제조된 리소그래피 마스크 또는 포토마스크가 이용되는 인쇄 프로세스이다. 다른 기판들은 평판 디스플레이들, 홀로그래피 마스크들 또는 심지어 다른 레티클들을 포함할 수 있다. 종래의 광학 리소그래피는 193 nm의 파장을 갖는 광원을 이용하지만, 극자외선(EUV) 또는 X-레이 리소그래피가 또한 본 출원의 광학 리소그래피의 타입들로 간주된다. 레티클 또는 다수의 레티클들은, 집적 회로의 개별적인 층에 대응하는 회로 패턴을 포함할 수 있고, 이 패턴은, 포토레지스트 또는 레지스트로서 공지된 방사-감응 재료의 층으로 코팅된, 기판 상의 특정한 영역 상에 촬상될 수 있다. 패터닝된 층이 일단 전사되면, 층은 에칭, 이온-주입(도핑), 금속화, 산화 및 연마와 같은 다양한 다른 프로세스들을 겪을 수 있다. 이들 프로세스들은 기판의 개별적인 층을 마감하는데 이용된다. 여러 층들이 요구되면, 전체 프로세스 또는 이의 변형들이 각각의 새로운 층에 대해 반복될 것이다. 결국, 다수의 디바이스들 또는 집적 회로들의 결합이 기판 상에 존재할 것이다. 그 다음, 이들 집적 회로들은, 다이싱(dicing) 또는 쏘잉(sawing)에 의해 서로로부터 분리될 수 있고, 그 다음, 개별적인 패키지들 내에 장착될 수 있다. 더 일반적인 경우에서, 기판 상의 패턴들은, 디스플레이 픽셀들, 홀로그램들, DSA(directed self-assembly) 가드 밴드들 또는 자기 레코딩 헤드들과 같은 아티팩트들을 한정하는데 이용될 수 있다. 종래의 광학 리소그래피 기록 머신들은 통상적으로 광학 리소그래피 프로세스 동안 포토마스크 패턴을 4배(factor of four)만큼 감소시킨다. 따라서, 레티클 또는 마스크 상에 형성된 패턴들은 기판 또는 웨이퍼 상의 원하는 패턴의 크기보다 4배 더 커야한다.
집적 회로들과 같은 반도체 디바이스들의 생산 또는 제조 시에, 실리콘 웨이퍼와 같은 기판에 리소그래피 마스크 상의 패턴을 전사하기 위해 비-광학 방법들이 이용될 수 있다. 나노임프린트 리소그래피(NIL; nanoimprint lithography)가 비-광학 리소그래피 프로세스의 일례이다. 나노임프린트 리소그래피에서, 리소그래피 마스크 패턴은 표면과 리소그래피 마스크의 접촉을 통해 표면에 전사된다.
2개의 통상적 타입들의 하전 입자 빔 리소그래피는 가변 성형 빔(VSB) 및 문자 투사(CP; character projection)이다. 이들은 모두 성형 빔 하전 입자 빔 리소그래피의 하위 카테고리들이고, 여기서, 웨이퍼의 표면 또는 레티클의 표면과 같은 레지스트-코팅된 표면을 노출시키기 위해, 정확한 전자 빔이 성형되고 조향(steer)된다. VSB에서, 이들 형상들은 단순한 형상들인데, 통상적으로, 특정한 최소 및 최대 크기들을 갖고 그리고 직교 좌표(Cartesian coordinate) 평면의 축들에 평행한 (즉, "맨하탄" 배향의) 변들을 갖는 직사각형들, 및 특정한 최소 및 최대 크기들의 45도 직각 삼각형들(즉, 자신의 3개의 내각들이 45도, 45도 및 90도인 삼각형들)로 제한된다. 미리 결정된 위치들에서, 전자들의 선량들(doses)은 이들 단순한 형상들을 갖는 레지스트에 발사된다. 이러한 타입의 시스템에 대한 총 기록 시간은 샷(shot)들의 수에 따라 증가한다. 문자 투사(CP)에서는, 직선, 임의의 각도의 선형, 원형, 거의 원형, 환형, 거의 환형, 타원형, 거의 타원형, 부분적으로 원형, 부분적으로 거의 원형, 부분적으로 환형, 부분적으로 거의 환형, 부분적으로 거의 타원형, 또는 임의의 곡선 형상들과 같은 복잡한 형상들일 수 있고, 복잡한 형상들의 연결된 세트 또는 복잡한 형상들의 연결된 세트의 분리된 세트들의 그룹일 수 있는 다양한 문자들 또는 애퍼처들을 내부에 갖는 스텐실(stencil)이 시스템에 존재한다. 레티클 상에 더 복잡한 패턴들을 효율적으로 생성하기 위해, 전자 빔은 스텐실 상의 문자를 통해 발사될 수 있다. 이론적으로, 이러한 시스템은 VSB 시스템보다 더 빠를 수 있는데, 이는, VSB 시스템이 각각의 시간 소모적인 샷을 갖는 더 복잡한 형상들을 발사할 수 있기 때문이다. 따라서, VSB 시스템에 의한 E-형상의 패턴 샷은 4개의 샷들을 갖지만, 동일한 E-형상의 패턴이 문자 투사 시스템에 의해서는 하나의 샷으로 발사될 수 있다. VSB 시스템들은 문자 투사의 특수한(단순한) 경우로서 생각될 수 있고, 여기서 문자들은 통상적으로 직사각형들 또는 45-45-90도의 삼각형들인 단지 단순한 문자들임을 주목한다. 문자를 부분적으로 노출시키는 것이 또한 가능하다. 이것은, 예를 들어, 입자 빔의 일부를 차단함으로써 행해질 수 있다. 예를 들어, 앞서 설명된 E-형상의 패턴은 F-형상의 패턴 또는 I-형상의 패턴으로서 부분적으로 노출될 수 있고, 여기서, 이 빔의 다른 부분들은 애퍼처에 의해 컷오프된다. 이것은, 다양한 크기의 직사각형들이 VSB를 이용하여 발사될 수 있는 방법과 동일한 메커니즘이다. 본 개시에서, 부분적 투사는 문자 투사 및 VSB 투사 모두를 의미하는 것으로 사용된다. 성형 빔 하전 입자 빔 리소그래피는 단일 성형 빔을 이용할 수 있거나, 또는 표면을 동시에 노출시키는 복수의 성형 빔들을 이용할 수 있고, 복수의 성형 빔들은 단일 성형 빔보다 더 높은 기록 속도를 생성한다.
나타낸 바와 같이, 리소그래피에서, 리소그래피 마스크 또는 레티클은, 기판 상에 집적될 회로 컴포넌트들에 대응하는 기하학적 패턴들을 포함한다. 레티클을 제조하는데 이용되는 패턴들은 컴퓨터-보조 설계(CAD) 소프트웨어 또는 프로그램들을 활용하여 생성될 수 있다. 패턴들의 설계 시에, CAD 프로그램은, 레티클을 생성하기 위해 미리 결정된 설계 규칙들의 세트를 따를 수 있다. 이 규칙들은, 프로세싱, 설계 및 최종-용도 제한들에 의해 설정된다. 최종-용도 제한의 일례는, 요구되는 서플라이 전압에서 충분히 동작할 수 없는 방식으로 트랜지스터의 기하학 구조를 정의하는 것이다. 구체적으로, 설계 규칙들은 회로 디바이스들 또는 상호접속 라인들 사이에 공간 허용 오차(space tolerance)를 정의할 수 있다. 설계 규칙들은, 예를 들어, 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않는 것을 보장하도록 이용된다. 예를 들어, 설계 규칙들은, 단락 회로를 초래할 수 있는 방식으로는 라인들이 서로에 대해 너무 가깝게 되지 않도록 이용될 수 있다. 설계 규칙 제한들은, 다른 것들 중, 신뢰가능하게 제작될 수 있는 최소 치수(dimension)들을 반영한다. 이들 작은 치수들을 참조하는 경우, 통상적으로 임계 치수의 개념이 도입된다. 이들은, 예를 들어, 라인의 최소 폭 또는 2개의 라인들 사이의 최소 공간으로서 정의되고, 이들 치수들은 필수적 제어를 요구한다.
광학 리소그래피에 의한 집적 회로 제작에서 하나의 목표는, 레티클을 이용하여, 원래의 회로 설계를 기판 상에 재생성하는 것이다. 집적 회로 제작자들은 반도체 웨이퍼의 실제 활용공간(real estate)을 가능한 한 효율적으로 이용하려 항상 시도하고 있다. 엔지니어들은, 집적 회로들이 더 많은 회로 엘리먼트들을 포함하고 더 적은 전력을 이용하도록 허용하기 위해 회로들의 크기를 계속하여 감소시키고 있다. 집적 회로 임계 치수의 크기가 감소되고 집적 회로의 회로 밀도가 증가함에 따라, 회로 패턴 또는 물리적 설계의 임계 치수는, 종래의 광학 리소그래피에서 이용되는 노광 툴의 분해능 한계에 접근하고 있다. 회로 패턴의 임계 치수들이 더 작아지고, 노광 툴의 분해능 값에 접근함에 따라, 레지스트층 상에 현상되는 실제 회로 패턴으로의 물리적 설계의 정확한 전사는 어려워지고 있다. 광학 리소그래피 프로세스에서 이용되는 광의 파장보다 더 작은 피쳐들을 갖는 패턴들을 전사하기 위한 광학 리소그래피의 추가적 이용을 위해, 광학 근접 보정(OPC; optical proximity correction)으로서 공지된 프로세스가 개발되어 왔다. OPC는, 근접한 피쳐들을 갖는 피쳐들의 광학 회절 및 광학 상호작용과 같은 효과들에 의해 초래되는 왜곡들을 보상하기 위해, 물리적 설계를 변경한다. OPC는 레티클로 수행되는 모든 분해능 향상 기술들을 포함한다.
OPC는 원래 물리적 설계 패턴, 즉 설계와 상기 기판 상에서의 최종 전사된 회로 패턴 사이의 차이들을 감소시키기 위해 마스크 패턴들에 서브-분해능 리소그래피 피쳐들을 부가할 수 있다. 서브-분해능 리소그래피 피쳐들은 물리적 설계에서의 원래 패턴들과 서로 상호작용하며, 최종 전사된 회로 패턴을 개선하기 위해 근접성 영향(proximity effect)들을 보상한다. 패턴의 전사를 개선하기 위해 사용되는 하나의 피쳐는 서브-분해능 보조 피쳐(sub-resolution assist feature; SRAF)이다. 패턴 전사를 개선하기 위해 부가되는 또 다른 피쳐는 "셰리프들(serifs)"로서 불리운다. 셰리프들은 최종 전사된 이미지에서의 코너를 날카롭게 하기 위해 패턴의 내부 또는 외부 코너 상에 위치될 수 있는 작은 피쳐들이다. 그것은 종종 SRAF들에 대한 표면 제조 프로세스의 요구된 정밀도가 종종 주요 피쳐들로서 불리우는, 기판 상에 프린트하려고 의도되는 패턴들에 대한 것들보다 작은 경우이다. 셰리프들은 주요 피쳐의 일 부분이다. 광학 리소그래피의 한계들이 서브-파장 영역으로 더 확장됨에 따라, OPC 피쳐들은 훨씬 더 섬세한 상호작용들 및 영향들을 보상하기 위해 점점 더 복잡해져야 한다. 이미징 시스템들이 그것들의 한계들에 더 가까이 확장됨에 따라, 충분히 미세한 OPC 피쳐들을 가진 레티클들을 생산하기 위한 능력은 중대해진다. 마스크 패턴에 셰리프들 또는 다른 OPC 피쳐들을 부가하는 것이 유리하지만, 그것은 또한 마스크 패턴에서 총 피쳐 카운트를 상당히 증가시킨다. 예를 들면, 종래의 기술들을 사용하여 정사각형의 코너들의 각각에 셰리프를 부가하는 것은 마스크 또는 레티클 패턴에 8 개 더 많은 직사각형들을 부가한다. OPC 피쳐들을 부가하는 것은 매우 힘든 작업이고, 과도한 계산 시간을 요구하며, 보다 값비싼 레티클들을 초래한다. OPC 패턴들은 복잡할 뿐만 아니라, 광학 근접 영향들이 최소 라인 및 공간 치수들에 비교하여 장기적이기 때문에, 주어진 위치에서 정확한 OPC 패턴들은 어떤 다른 기하학적 구조가 근처에 있는지에 상당히 의존한다. 따라서, 예를 들면, 라인 단이 레티클 상에서 그것에 무엇이 가까이 있는지에 의존하여 상이한 크기 셰리프들을 가질 것이다. 이것은 목표가 웨이퍼 상에서 정확히 동일한 형상을 생성하는 것일지라도 그렇다. 이들 작지만 중대한 변화들은 중요하며 다른 것들이 레티클 패턴들을 형성할 수 있는 것을 방지한다. OPC 데코레이션(decoration) 전에 설계를 반영하는 피처들인 주요 피쳐들, 및 OPC 피쳐들에 대하여 레티클 상에 기록될 OPC-데코레이션된 패턴들을 논의하는 것이 관례적이며, OPC 피쳐들은 셰리프들, 조그들(jogs), 및 SRAF를 포함할 수 있다. 작은 변화들에 의해 의도된 것을 수량화하기 위해, 이웃마다 OPC 데코레이션에서의 통상적인 작은 변화는 주요 피처 크기의 5% 내지 80%일 수 있다. 명료함을 위해, OPC의 설계에서의 변화들이 참조되는 것임을 주의하자. 라인-에지 거칠기 및 코너 라운딩(corner rounding)과 같은 제조 변화들이 또한 실제 표면 패턴들에 존재할 것이다. 이들 OPC 변화들이 웨이퍼 상에서 실질적으로 동일한 패턴들을 생성할 때, 의미하는 것은 웨이퍼 상에서의 기하학적 구조가 특정 오류 - 이것은 그 기하학적 구조가 예로서 트랜지스터 또는 와이어를 수행하도록 설계된 기능의 세부사항들에 의존한다 - 내에서 동일하도록 타겟팅되는 것이다. 그럼에도 불구하고, 통상적인 규격들은 설계된 피처 범위의 2% 내지 50%에 있다. 또한, 변화들을 야기하는 다수의 제조 인자들이 존재하지만, 전체 오류의 OPC 컴포넌트는 종종 리스트된 범위에 있다. 서브-분해능 보조 피쳐들과 같은 OPC 형상들은 광학 리소그래피를 사용하여 웨이퍼에 전사될 수 있는 최소 피쳐의 크기에 기초한 규칙과 같은, 다양한 설계 규칙들의 대상이 된다. 다른 설계 규칙들은 마스크 제조 프로세스로부터 올 수 있거나, 또는 캐릭터 투사 하전 입자 빔 기록 시스템이 레티클 상에 패턴을 형성하기 위해 사용된다면, 스텐실 제조 프로세스로부터 올 수 있다. 마스크 상에서의 SRAF 피쳐들의 정확도 요건은 마스크 상에서의 설계된 피쳐들에 대한 정확도 요건들보다 낮을 수 있다는 것이 또한 주의되어야 한다. 프로세스 노드들이 계속해서 줄어듦에 따라, 포토마스크 상에서의 최소 SRAF들의 크기가 또한 줄어들게 된다. 예를 들면, 20 nm 로직 프로세스 노드에서, 40 nm 내지 60 nm SRAF들이 최고 정확도 층들을 위한 마스크 상에서 요구된다.
ILT(inverse lithography technology)는 OPC 기술의 일 타입이다. ILT는, 레티클 상에 형성될 패턴이, 실리콘 웨이퍼와 같은 기판 상에 형성되도록 기대되는 패턴으로부터 직접 컴퓨팅되는 프로세스이다. 이것은, 기판 상의 원하는 패턴을 입력으로서 이용하여, 광학 리소그래피 프로세스를 역방향으로 시뮬레이션하는 것을 포함할 수 있다. ILT-컴퓨팅된 레티클 패턴들은 순수한 곡선, 즉, 직선이 전혀 없는 것일 수 있고, 원형, 거의 원형, 환형, 거의 환형, 타원형 및/또는 거의 타원형 패턴들을 포함할 수 있다. 이러한 이상적인 ILT 곡선 패턴들은 종래의 기술들을 이용하여 레티클 상에 형성하기에 곤란하고 값비싸기 때문에, 이상적인 곡선 패턴들의 직선 근사화들 또는 직선화들이 이용될 수 있다. 그러나, 직선 근사화들은 이상적인 ILT 곡선 패턴들과 비교하여 정확도를 감소시킨다. 추가적으로, 이상적인 ILT 곡선 패턴들로부터 직선 근사화들이 생성되면, 이상적인 ILT 곡선 패턴들과 비교하여 전체 계산 시간이 증가된다. 본 개시에서, ILT, OPC, SMO(source mask optimization) 및 계산적 리소그래피는 상호교환가능하게 사용되는 용어들이다.
EUV 리소그래피에서, OPC 피쳐들이 일반적으로 요구되지 않는다. 따라서, 레티클 상에 제조될 패턴의 복잡성은 종래의 193 nm 파장 광학 리소그래피를 사용하는 것보다 덜하고, 이에 대응하여 샷 카운트 감소는 덜 중요하다. 그러나, EUV에서, 마스크 정확성 요건들이 매우 높은데, 왜냐하면 통상적으로 웨이퍼 상의 패턴들의 크기의 4 배인, 마스크 상의 패턴들이 충분히 작아서 패턴들이 전자 빔과 같은 하전 입자 빔 기술을 사용하여 정밀하게 형성되기 어렵기 때문이다.
광학 리소그래피 또는 하전 입자 빔 리소그래피를 이용하는 것을 포함하는, 레티클 상에 패턴들을 형성하기 위해 이용되는 다수의 기술들이 존재한다. 가장 통상적으로 이용되는 시스템은 가변 성형 빔(VSB)이고, 여기서, 앞서 설명된 바와 같이, 맨하탄 직사각형들 및 45도 직각삼각형들과 같은 단순한 형상들로 전자들의 선량들이 레지스트-코팅된 레티클 표면을 노출시킨다. 종래의 마스크 기록에서, 레티클 상의 레지스트가 패턴을 등록할 방법에 대한 계산을 크게 단순화시키기 위해, 전자들의 선량들 또는 샷들은 가능한 한 중첩을 회피하도록 설계된다. 유사하게, 샷들의 세트는, 레티클 상에 형성될 패턴 영역을 완전히 커버하도록 설계된다. 본 특허 출원의 양수인에 의해 소유되고 모든 목적들을 위해 인용에 의해 통합되는 미국 특허 제 7,754,401 호는, 기록 패턴들에 대한 의도적인 샷 중첩이 이용되는 마스크 기록 방법을 개시한다. 중첩하는 샷들이 이용되는 경우, 레티클 상의 레지스트가 등록할 패턴을 결정하기 위해, 하전 입자 빔 시뮬레이션이 이용될 수 있다. 중첩하는 샷들의 이용은, 감소된 샷 횟수로 패턴들이 기록되도록 허용할 수 있다. 미국 특허 제 7,754,401 호는 또한 선량 조절(dose modulation)의 이용을 개시하고, 여기서, 샷들의 할당된 조사량(dosages)은 다른 샷들의 조사량에 대해 상이하다. 미국 특허 제 7,754,401 호의 기술들을 이용하여 샷들을 결정하는 프로세스를 설명하기 위해, 모델-기반 프랙쳐링(model-based fracturing)이라는 용어가 사용된다.
가장 진보된 기술 노드들을 위한 레티클 기록은 통상적으로 다중-패스 노광(multi-pass exposure)이라 불리우는 프로세스인, 하전된 입자 빔 기록의 다수의 패스들을 수반하며, 그에 의해 레티클 상에서의 주어진 형상이 기록되고 겹쳐 기록된다. 통상적으로, 2 내지 4개의 패스들이 보다 정확한 포토마스크들의 생성을 허용하는, 하전된 입자 빔 기록기에서의 정확도 오류들의 평균을 내도록 레티클을 기록하기 위해 사용된다. 또한 통상적으로, 조사량들을 포함한, 샷들의 리스트는 모든 패스에 대해 동일하다. 다중-패스 노광의 일 변화에 있어서, 샷들의 리스트들은 노광 패스들 중에서 변할 수 있지만, 임의의 노광 패스에서의 상기 샷들의 결합은 동일한 영역을 커버한다. 다중-패스 기록은 상기 표면을 코팅하는 레지스트의 과열을 감소시킬 수 있다. 다중-패스 기록은 또한 하전 입자 빔 기록기의 무작위 오류들의 평균을 낸다. 상이한 노광 패스들에 대한 상이한 샷 리스트들을 사용한 다중-패스 기록은 또한 기록 공정에서 특정한 시스템 오류들의 영향들을 감소시킬 수 있다.
MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법이 개시되고, 여기서 표면 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들(shots)의 세트가 결정되고, 여기서 패턴의 CDU(critical dimension uniformity)는 선량 마진(dose margin), 분할된 임계 치수(분할된 CD) 감소, 또는 슬리버 감소와 같은 적어도 2 개의 요인들을 변경함으로써 최적화된다.
MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법이 개시되고, 여기서 표면 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들의 세트가 결정되고, 여기서 샷 카운트를 감소시키면서 CDU(critical dimension uniformity)가 유지되고, 여기서 모델-기반 기술들이 사용된다.
MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법이 개시되고, 여기서 샷들이 형성할 수 있는 패턴의 CDU(critical dimension uniformity)를 개선하기 위해 입력된 샷 리스트 내의 샷들이 수정되고, 여기서 적어도 2 개의 요인들이 변경된다.
MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법이 개시되고, 여기서 표면 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들의 세트가 결정되고, 여기서 패턴의 CDU(critical dimension uniformity)가 최적화되고, 여기서 최적화는 모델-기반 기술들을 사용한다.
MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법이 개시되고, 여기서 샷들이 형성할 수 있는 패턴의 CDU(critical dimension uniformity)를 개선하기 위해 입력된 샷 리스트 내의 샷들이 수정되고, 여기서 수정은 모델-기반 기술들을 사용한다.
MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법이 개시되고, 여기서 레티클 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들의 세트가 결정되고, 여기서 레티클은 기판 상에 기판 패턴을 형성하기 위해 광학 리소그래피 프로세스에서 사용될 수 있고, 여기서 기판 패턴은 웨이퍼 임계 치수들을 갖고, 여기서 웨이퍼 CDU(critical dimension uniformity)가 최적화되고, 여기서 최적화는 모델-기반 기술들을 사용한다.
도 1은 가변 성형 빔(VSB) 하전 입자 빔 시스템의 예를 도시한다.
도 2a는 2 개의 레지스트 임계치들 각각에 대한 등록된 패턴 폭들을 도시하는 단면 조사량 그래프의 예를 예시한다.
도 2b는 도 2a와 유사하지만 도 2a에서보다 더 높은 조사량 에지 기울기를 갖는 단면 조사량 그래프의 예를 예시한다.
도 3a는 대각 경로 또는 트랙을 형성할 수 있는 샷들의 세트의 예를 예시한다.
도 3b는 도 3a로부터의 샷들의 세트를 사용하여 표면 상에 형성될 수 있는 패턴의 예를 예시한다.
도 4a는 대각 경로 또는 트랙을 형성할 수 있는 중첩하는 샷들의 세트의 예를 예시한다.
도 4b는 도 4a로부터의 샷들의 세트를 사용하여 표면 상에 형성될 수 있는 패턴의 예를 예시한다.
도 5a는 대각 경로 또는 트랙을 형성할 수 있는 비중첩 VSB 샷들의 세트의 예를 예시한다.
도 5b는 도 5a의 트랙과 유사한 대각 경로 또는 트랙을 형성할 수 있는 중첩 VSB 샷들의 세트의 예를 예시한다.
도 5c는 도 5a의 트랙과 유사한 대각 경로 또는 트랙을 형성할 수 있는 중첩 VSB 샷들의 세트의 또 다른 예를 예시한다.
도 5d는 도 5a의 트랙과 유사한 대각 경로 또는 트랙을 형성할 수 있는 중첩 VSB 샷들의 세트의 또 다른 예를 예시한다.
도 6은 레티클 기록 시간이 구성들 중에서 일정한 3 개의 샷 구성들에 대한 임계 치수(CD) 확률의 그래프를 예시한다.
도 7은 레티클 기록 시간이 구성들 중에서 변동하는 3 개의 샷 구성들에 대한 임계 치수(CD) 확률의 그래프를 예시한다.
도 8은 광학 리소그래피를 사용하여 실리콘 웨이퍼 상에 집적 회로와 같은 기판을 제작하는데 사용하기 위한, 레티클과 같은 표면을 어떻게 준비하는지의 예시적인 방법의 개념적인 흐름도를 예시한다.
도 9는 기존 샷 리스트를 최적화하기 위한 예시적인 방법의 개념적인 흐름도를 예시한다.
도 10은 기존 샷 리스트의 검증을 위한 예시적인 방법의 개념적인 흐름도를 예시한다.
본 개시는 리소그래피에 관한 것이며, 더 구체적으로는, 하전 입자 빔 리소그래피를 이용한, 레티클, 웨이퍼 또는 임의의 다른 표면일 수 있는 표면의 설계 및 제조에 관한 것이다.
이제, 동일한 번호들이 동일한 항목들을 지칭하는 도면들을 참조하면, 도 1은, 표면(12)을 제조하기 위해 가변 성형 빔(VSB)를 이용하는 하전 입자 빔 기록기 시스템(이 경우에는 전자 빔 기록기 시스템(10))과 같은 리소그래피 시스템의 실시예를 예시한다. 전자 빔 기록기 시스템(10)은, 애퍼처 플레이트(18)를 향해 전자 빔(16)을 투사하는 전자 빔 소스(14)를 갖는다. 플레이트(18)는, 전자 빔(16)이 통과하도록 허용하는, 플레이트 내에 형성된 애퍼처(20)를 갖는다. 전자 빔(16)이 애퍼처(20)를 일단 통과하면, 전자 빔(16)은 렌즈들의 시스템(미도시)에 의해 다른 직사각형 애퍼처 플레이트 또는 스텐실 마스크(24)를 향해 전자 빔(22)으로서 지향되거나 편향된다. 스텐실(24)은, 직사각형들 및 삼각형들과 같은 다양한 단순한 형상들을 한정하는 다수의 개구부들 또는 애퍼처들(26)을 그 내부에 형성한다. 스텐실(24)에 형성된 각각의 애퍼처(26)는, 실리콘 웨이퍼, 레티클 또는 다른 기판과 같은 기판(34)의 표면(12)에 패턴을 형성하는데 이용될 수 있다. 전자 빔(30)은 애퍼처들(26) 중 하나로부터 나오고, 전자기 또는 정전기 감소 렌즈(38)를 통과하며, 렌즈(38)는 애퍼처(26)로부터 나오는 패턴의 크기를 감소시킨다. 통상적으로 이용가능한 하전 입자 빔 기록기 시스템들에서, 감소 팩터는 10 내지 60이다. 감소된 전자 빔(40)은 감소 렌즈(38)로부터 나오고, 일련의 편향기들(42)에 의해 표면(12) 상에 패턴(28)으로서 지향된다. 표면(12)은, 전자 빔(40)과 반응하는 레지스트(미도시)로 코팅된다. 전자 빔(22)은 애퍼처(26)의 가변부와 중첩하도록 지향될 수 있고, 이는 패턴(28)의 크기 및 형상에 영향을 미친다. 빔(22)을 지향시키는 렌즈들 및 편향기들(42)이 연속적인 샷에 대해 재조절되고 있는 경우, 각각의 샷 이후의 기간 동안 전자 빔이 표면(12)에 도달하는 것을 방지하기 위해, 빔(16) 또는 성형 빔(22)을 편향시키도록 블랭킹 플레이트들(미도시)이 이용된다. 통상적으로, 블랭킹 플레이트들은, 전자 빔(16)이 애퍼처(20)를 조명하는 것을 방지하기 위해 전자 빔(16)을 편향시키도록 위치된다. 블랭킹 기간은 고정된 시간 길이일 수 있거나, 또는 예를 들어, 연속적인 샷의 위치에 대해 편향기(42)가 얼마나 많이 재조절되어야 하는지에 따라 변할 수 있다.
전자 빔 기록기 시스템(10)에서, 기판(34)은 이동 가능한 플랫폼(32) 상에 장착된다. 플랫폼(32)은, 하전 입자 빔(40)의 최대 편향 능력 또는 필드 크기보다 큰 패턴들이 표면(12)에 일련의 서브필드들로 기록될 수 있도록 기판(34)이 재위치되도록 허용하고, 여기서, 각각의 서브필드는 빔(40)을 편향시키는 편향기(42)의 능력 내에 있다. 일 실시예에서, 기판(34)은 레티클일 수 있다. 이 실시예에서, 패턴으로 노출된 후 레티클은 다양한 제조 단계들을 겪고, 이를 통해 리소그래피 마스크 또는 포토마스크가 된다. 그 다음, 마스크는, 집적 회로를 생성하기 위해 일반적으로 크기에서 감소된 레티클 패턴(28)의 이미지를 실리콘 웨이퍼 상으로 투사하는 광학 리소그래피 머신에서 이용될 수 있다. 더 일반적으로, 마스크는 패턴(28)을 기판(미도시) 상으로 전사하는 다른 디바이스 또는 머신에서 이용된다.
단일 빔(40)이 표면(12)을 조명하는 하전 입자 빔 시스템을 도 1이 예시하지만, 일부 하전 입자 빔 기록기들은 독립적으로 조절 가능하고 위치 설정 가능할 수 있거나 가능하지 않을 수 있는 복수의 빔들로 표면을 동시에 조명할 수 있다. 다중-빔 하전 입자 빔 기록기들은 일반적으로 단일-빔 기록기들보다 더 높은 기록 속도들을 갖는다. 일부 타입들의 다중-빔 기록기들은 성형된 빔이고, 반면에 다른 것들에서 빔들은 표면에 걸쳐 주사된다. 본 개시의 목적들로, 용어 "샷"은 성형 빔 및 주사 빔 다중-빔 기록기들 둘 모두에 대한, 뿐만 아니라 성형 단일 빔 기록기들에 대한 노출 정보를 포함한다.
합리적인 정확도로 표면(12) 상에 투사될 수 있는 최소 크기 패턴은, 전자 빔 기록기 시스템(10) 및 표면(12)(통상적으로 기판(34) 상에 레지스트 코팅을 포함함)과 연관된 다양한 단거리 물리 효과들에 의해 제한된다. 이 효과들은 전방 산란(forward scattering), 쿨롱 효과 및 레지스트 확산(resist diffusion)을 포함한다. βf로도 지칭되는 빔 흐림(beam blur)은 이러한 단거리 효과들 모두를 포함하도록 사용되는 용어이다. 가장 현대식 전자 빔 기록기 시스템들은 20 nm 내지 30 nm 범위의 유효 빔 흐림 반경 또는 βf를 달성할 수 있다. 전방 산란은 총 빔 흐림의 1/4 내지 1/2을 구성할 수 있다. 현대식 전자 빔 기록기 시스템들은 빔 흐림의 구성 요소들(pieces) 각각을 감소시키기 위해 다수의 메커니즘들을 포함한다. 빔 흐림의 몇몇 성분들은 입자 빔 기록기의 교정 레벨의 함수이기 때문에, 동일한 설계의 2개의 입자 빔 기록기들의 βf는 상이할 수 있다. 레지스트들의 확산 특징들이 또한 상이할 수 있다. 샷 크기 또는 샷 선량에 기초한 βf의 변화는 시뮬레이션될 수 있고 체계적으로 처리될 수 있다. 그러나, 처리될 수 없거나 처리되지 않는 다른 효과들이 존재할 수 있고, 이들은 무작위적 변화로서 나타난다.
전자 빔 기록기 시스템과 같은 하전 입자 빔 기록기의 샷 조사량은 빔 소스(14)의 강도 및 각각의 샷에 대한 노출 시간의 함수이다. 통상적으로, 빔 강도는 명목상 고정되어 유지되고, 노출 시간은, 가변적 샷 조사량들을 획득하기 위해 변동된다. 노출 시간은, 근접 효과 보정(PEC; proximity effect correction)으로 지칭되는 프로세스에서 후방 산란(backscatter), 포깅(fogging) 및 부하 효과들(loading effects)과 같은 다양한 장거리 효과들을 보상하기 위해 변동될 수 있다. 전자 빔 기록기 시스템들은 통상적으로, 노출 패스의 모든 샷들에 영향을 미치는, 베이스 조사량(base dosage)으로 지칭되는 전체 조사량의 세팅을 허용한다. 몇몇 전자 빔 기록기 시스템은 전자 빔 기록기 시스템 자체 내에서 조사량 보상 계산들을 수행하고, 각각의 샷의 조사량이 입력 샷 리스트의 일부로서 개별적으로 할당되는 것을 허용하지 않으며, 따라서 입력 샷들은 비할당된 샷 조사량들을 갖는다. 이러한 전자 빔 기록기 시스템들에서, 모든 샷들은 묵시적으로, PEC 이전에 베이스 조사량을 갖는다. 다른 전자 빔 기록기 시스템들은 샷 단위로(on shot-by-shot basis) 명시적 조사량 할당을 허용한다. 샷 단위의 조사량 할당을 허용하는 전자 빔 기록기 시스템들에서, 이용가능한 조사량 레벨들의 수는 64 내지 4096 또는 그 초과일 수 있거나, 또는 3 내지 8개의 레벨들과 같은 비교적 소수의 이용가능한 조사량 레벨들이 존재할 수 있다. 주사형 다중-빔 시스템들에 대해, 조사량 조절은 표면을 여러 번 주사함으로써 행해질 수 있다.
종래에, 샷들은, 가능한 한 샷 중첩을 회피하면서 직사각형 샷들로 입력 패턴을 완전히 커버하도록 설계된다. 또한, 모든 샷들은 정규의 조사량을 갖도록 설계되는데, 정규의 조사량은, 장거리 효과들의 부재 시에 비교적 큰 직사각형 샷이, 그 샷 크기와 동일한 크기인 표면 상에 패턴을 생성할 조사량이다. 몇몇 전자 빔 기록기 시스템들은 노출 패스 내에서 샷들이 중첩하는 것을 허용하지 않음으로써 이 방법을 강화한다.
예를 들어, 하전 입자 빔 리소그래피를 이용하여 표면 상에 반복된 패턴의 노출 시에, 최종적으로 제조된 표면 상에서 측정되는 각각의 패턴 인스턴스의 크기는 제조 변화들에 기인하여 약간 상이할 것이다. 크기 변화의 양은 필수적인 제조 최적화 기준이다. 현재의 마스크 마스킹에서는, 패턴 크기에서 1nm (1 시그마) 이하의 RMS(root mean square) 변화가 바람직할 수 있다. 더 많은 크기 변화는 회로 성능에서의 더 많은 변화로 전환되고, 이는 더 높은 설계 마진들이 요구되게 하여, 더 빠르고 더 낮은 전력 집적 회로들을 설계하는 것을 매우 곤란하게 한다. 이 변화는 임계 치수(CD) 변화로 지칭된다. 낮은 CD 변화가 바람직하고, 이는, 제조 변화들이, 최종적으로 제조된 표면 상에 비교적 작은 크기 변화들을 생성할 것을 나타낸다. 더 작은 스케일에서, 높은 CD 변화의 효과들은 LER(line edge roughness)로서 관측될 수 있다. LER은 약간 상이하게 제조된 라인 에지의 각각의 부분에 의해 야기되어, 직선 에지를 갖도록 의도된 라인에서 약간의 파형(waviness)을 초래한다. CD 변화는, 다른 것들 중, 에지 기울기(slope)로 지칭되는, 레지스트 임계치에서의 조사량 곡선의 기울기에 반비례(inversely related)한다. 따라서, 에지 기울기 또는 선량 마진은 표면들의 입자 빔 기록에 대한 임계 최적화 팩터이다. 본 개시에서, 에지 기울기 및 선량 마진은 상호교환가능하게 사용되는 용어들이다.
종래의 프랙쳐링을 통해, 샷 중첩, 갭들 또는 선량 조절 없이, 기록된 형상들의 선량 마진은 불변하는 것으로 고려되는데, 즉, 프랙쳐링 옵션들의 선택에 의해 선량 마진을 개선할 기회가 없다. 현대 실행에서, 슬리버들(slivers)이라 불리는 매우 좁은 샷들의 회피는, 선량 마진에 대한 샷 리스트를 최적화는 것을 돕는 실제 규칙 기반 방법의 예이다.
중첩 샷들 및 선량-조절 샷들이 생성될 수 있는 프랙쳐링 환경에서, 선량 마진을 최적화할 필요성 및 기회 둘 모두가 존재한다. 샷 중첩 및 선량 조절의 사용에 의해 허용되는 샷 결합들에서의 부가적인 유연성은, 표면 상의 타겟 마스크 형상들을 생성하는 것처럼 보이는 프랙쳐링 해결책들의 생성을 허용하지만, 완전한 제조 조건들 하에서만 그렇게 행할 수 있다. 따라서, 중첩 샷들 및 선량-조절 샷들의 사용은 선량 마진의 이슈 및 그의 개선책을 해소하기 위한 인센티브를 생성한다.
도 2a 및 도 2b는 노출 또는 조사량 곡선에서 비교적 높은 에지 기울기를 생성하기 위해 레지스트 상의 패턴을 노출시킴으로써 임계 치수 변화가 어떻게 감소될 수 있는지를 예시하고, 이것은, 가령, "Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography"란 명칭의 2012년 4월 19일자로 공개된 미국 특허 공보 제 2012/0096412 호에 설명되고, 그로 인해 상기 특허 공보는 모든 목적으로 인용에 의해 본원에 통합된다. 도 2a는 단면의 조사량 곡선(202)을 예시하고, 여기서 x-축은 노출된 패턴을 통한 단면의 거리 ― 가령, 패턴의 에지들 중 2 개의 에지에 수직인 거리 ― 를 보여주고, y-축은 레지스트에 의해 수용된 조사량을 보여준다. 패턴은, 수용된 조사량이 임계치보다 높은 경우 레지스트에 의해 등록된다. 2 개의 임계치들이 도 2a에 예시되어, 레지스트 감도에서의 변화의 효과를 예시한다. 더 높은 임계치(204)는 폭(214)의 패턴이 레지스트에 의해 등록되게 한다. 더 낮은 임계치(206)는 폭(216)의 패턴이 레지스트에 의해 등록되게 하고, 여기서 폭(216)은 폭(214)보다 더 크다. 도 2b는 다른 단면의 조사량 곡선(222)을 예시한다. 2 개의 임계치들이 예시되고, 임계치(224)는 도 2a의 임계치(204)와 동일하고, 임계치(226)는 도 2a의 임계치(206)와 동일하다. 조사량 곡선(222)의 기울기는 2 개의 임계치들 부근에서 조사량 곡선(202)의 기울기보다 더 높다. 조사량 곡선(222)에 대해, 더 높은 임계치(224)는 폭(234)의 패턴이 레지스트에 의해 등록되게 한다. 더 낮은 임계치(226)는 폭(236)의 패턴이 레지스트에 의해 등록되게 한다. 볼 수 있듯이, 조사량 곡선(202)과 비교하여 조사량 곡선(222)의 더 높은 에지 기울기로 인해, 폭(236)과 폭(234) 사이의 차이는 폭(216)과 폭(214) 사이의 차이 미만이다. 레지스트-코팅 표면이 레티클이면, 레지스트 임계치에서의 변화에 대한 곡선(222)의 더 낮은 감도는 레티클로부터 제조된 포토마스크 상의 패턴 폭이 포토마스크에 대한 타겟 패턴 폭에 더 가깝게 할 수 있고, 이로써 포토마스크가 실리콘 웨이퍼와 같은 기판에 패턴을 전사하는데 사용될 때, 사용 가능한 집적 회로들의 산출량을 증가시킨다. 각각의 샷에 대한 선량에서의 변화에 대한 허용 오차에서의 유사한 개선책이 더 높은 에지 기울기에서의 선량 곡선들에서 관찰된다. 따라서, 가령, 조사량 곡선(222)에서 비교적 더 높은 에지 기울기를 달성하는 것이 바람직하다.
앞서 설명된 바와 같이, 프로세스 변화들은, 포토마스크 상의 패턴의 폭이 의도된 또는 타겟 폭과 달라지게 할 수 있다. 포토마스크 상의 패턴 폭 변화는, 광학 리소그래피 프로세스를 이용하여 포토마스크로 노출된 웨이퍼 상에 패턴 폭 변화를 초래할 것이다. 포토마스크 패턴 폭에서의 변화들에 대한 웨이퍼 패턴 폭의 감도는 마스크 에지 에러 팩터 또는 MEEF로 지칭된다. 4x 포토마스크를 이용하는 광학 리소그래피 시스템에서, 광학 리소그래피 프로세스는 예를 들어, 포토마스크 상의 패턴 폭에서의 각각의 1 nm 에러에 대해, 웨이퍼 상의 패턴 폭은 0.25 nm만큼 변할 것을 의미하는 1의 MEEF인 웨이퍼 상으로, 4x 감소된 버전의 포토마스크 패턴을 투사한다. 2의 MEEF는, 포토마스크 패턴 폭에서의 1 nm 에러에 대해, 웨이퍼 상의 패턴 폭은 0.5 nm만큼 변할 것을 의미한다. 최소 집적 회로들 프로세스들에서, MEEF는 2보다 클 수 있다.
도 3a는 대각 경로 또는 트랙을 형성할 종래의 비중첩 VSB 샷들(300)의 세트의 예를 예시한다. 샷들(300)의 세트는 샷(302), 샷(304), 샷(306), 샷(308), 샷(310), 샷(312), 샷(314), 샷(316), 샷(318), 및 샷(320)으로 구성된다. 샷들은 인접하지만, 이웃하는 샷들과 중첩하지 않는다. 도 3b는 샷들(300)의 세트가 표면 상에 형성할 수 있는 패턴(352)을 예시한다. 패턴(352)은 굵은 파선으로 예시된다. 하전 입자 빔 시뮬레이션은 샷들(300)의 세트로부터 경로(352)를 계산하는데 사용될 수 있다. 볼 수 있듯이, 패턴(352)은 코너 라운딩을 보여준다. 패턴(352)의 CD는 그의 수직 폭 ― 경로의 가장 긴 치수에 수직인 방향의 치수임 ― 이다. 일반적으로 측정되는 바와 같이, 패턴(352)과 같은 다양한 폭 패턴의 CD는 그의 평균 치수(354)인 것으로 고려된다. 볼 수 있듯이, 경로(352)의 수직 폭은 이제 여기서 단일 샷에 의해 결정된다. 예를 들면, 라인(330)을 따라, 샷들(306 및 308)은 CD를 결정하고, 이로써 라인(330)을 따라 분할된 CD를 생성한다. 따라서, 경로(352)는 그의 전체 길이를 따라 분할된 CD의 효과들의 대상이 된다.
도 4a는, 본 개시의 다른 실시예에 따른, 표면 상에 선형의 비-맨하탄 트랙을 형성할 5 개의 중첩 VSB 샷들로 구성된 샷들(400)의 세트의 예를 예시한다. 샷들(400)의 세트는 샷(402), 샷(404), 샷(406), 샷(408) 및 샷(410)으로 구성된다. 트랙 내의 내부 샷들 ― 샷(404), 샷(406) 및 샷(408) ― 에 대해, 가장 가까운 이웃하는 샷들의 x-오프셋 및 y-오프셋은 균일하여, 이웃하는 샷들 사이의 균일한 중첩을 생성한다. 도 4b는 파선으로 예시된 패턴(420)과 함께, 도 4a의 샷들의 세트를 예시하고, 샷들(400)의 세트는 레티클과 같은 표면 상에 패턴(420)을 형성할 것이다. 패턴(420)의 임계 치수(432)는 분할된 몇몇 CD를 갖는데, 왜냐하면 임계 치수(432)가 2 개의 샷들로 형성되기 때문이다. 임계 치수(434)는 분할된 CD를 갖지 않는데, 왜냐하면 임계 치수(434)가 단지 하나의 샷에 의해 형성되기 때문이다. 중첩 샷들을 사용하여, 샷 크기 및 중첩의 양은 상이한 양들의 분할된 CD를 달성하도록 변동될 수 있다.
도 5a 내지 도 5d는 선형 비-맨하탄 트랙을 형성할 수 있는 샷들의 4 개의 예들을 예시하고, 여기서 다양한 샷 크기들 및 중첩들은 레티클과 같은 표면 상에서 상이한 패턴 특성들을 생성한다. 도 5a 내지 도 5d에서, 트랙의 에지들은 트랙의 길이의 대부분에서 대략 평행한다. 트랙들은 적어도 하나의 폭 및 각도에 의해 설명될 수 있다. 도 5a는 샷들(510)의 세트를 예시한다. 샷들(510)의 세트에 의해 형성된 트랙의 방향에 수직인 라인 세그먼트(512)는, 트랙의 상부 상의 "피크"가 트랙의 하부 상의 "계곡(valley)"에 대응한다는 것을 예시하도록 위치된다. 이러한 구성은 최소 LWR(line width roughness)을 갖지만 분할된 중간 CD를 갖는 트랙을 생성할 수 있다. 도 5b는 샷들(520)의 다른 세트를 예시한다. 샷들(520)의 세트에 의해 형성된 트랙의 방향에 수직인 라인 세그먼트(522)는, 트랙의 반대 에지들이 단일 샷으로부터 형성되는 것을 예시하도록 위치되어, 분할된 최소의 CD를 생성한다. 그러나, 샷들(520)의 세트는, 라인 세그먼트(522)와 같은 피크-대-피크 포지셔닝들 및 계곡-대-계곡 포지셔닝들에 대응하는 라인 폭들로 인해 최대 LWR을 생성한다. 도 5c는 샷들(530)의 다른 세트를 예시한다. 라인 세그먼트(532)는 샷들(530)의 세트에 의해 형성된 트랙의 방향에 수직이다. 라인 세그먼트(532)는 샷들(530)의 세트에 의해 형성된 트랙의 상위 에지 상의 계곡에 위치되지만, 트랙의 하부 에지 상의 피크 근처에 있다. 샷들(530)의 세트는 LWR이 낮지만 중간의 분할된 CD를 생성한다. 도 5d는 샷들(540)의 다른 세트를 예시한다. 라인 세그먼트(542)는 샷들(540)의 세트에 의해 형성된 트랙의 방향에 수직이다. 샷들(540)의 세트 내의 샷 크기 및 샷 중첩은 분할된 최대의 CD를 생성하고, 여기서 샷들의 세트에 의해 형성된 트랙 상의 어디엔가의 분할된 CD가 존재한다. 샷들(540)의 세트는 중간 LWR을 생성한다.
레티클 상에 형성될 패턴의 CDU는 하전 입자 빔 리소그래피 프로세스에 내재된 랜덤 및 체계적인 변화들을 처리함으로써 더 정확하게 모델링될 수 있다. 일 실시예에서, 몬테 카를로 방법은 이러한 변화들을 처리하는데 사용될 수 있다. 이러한 방식으로, 선형 트랙과 같은 패턴의 CDU는 몬테 카를로 분석을 사용하여 확률론적으로 계산될 수 있다. 트랙의 CDU는 복수의 고유한 몬테 카를로 시뮬레이션들에 기초하여 결정될 수 있고, 여기서 각각의 시뮬레이션에서, 트랙을 포함하는 각각의 샷은 하나 이상의 제조 파라미터들에서의 변화의 대상이 된다. 각각의 고유한 몬테 카를로 시뮬레이션에서, 변동된 샷들의 세트로부터의 레티클 이미지가 계산된다. 각각의 계산된 레티클 이미지에서, 패턴 CD가 측정된다. 측정은 레티클 상의 제조된 패턴들을 물리적 SEM(scanning electron microscope) 측정하는데 일반적으로 사용되는 것과 유사한 기술을 사용할 수 있다. 복수의 고유한 몬테 카를로 시뮬레이션들 ― 각각의 시뮬레이션은 측정된 CD를 가짐 ― 로부터의 측정들의 결과적인 합계는 CD 분포를 포함한다. VSB, CP 또는 결합된 VSB 및 CP 샷의 그룹들은 이러한 방식으로 분석될 수 있다.
도 6은 트랙을 형성하는데 사용될 수 있는 다양한 샷 구성들을 사용하는 CD 분포 그래프(600)의 예를 예시한다. 이러한 예에서, 트랙은 비-맨하탄 선형 트랙이며, 여기서 그 트랙은 100 nm의 명목상 설계 폭을 갖는다. 3 개의 샷 구성들이 분석되었다.
ㆍ곡선(610): 트랙의 각도 및 100 nm의 폭에 기초한 종래에 계산된 샷 치수들을 사용하는 종래의 비-중첩 VSB 샷들.
ㆍ곡선(612): 중첩 VSB 샷들. 중첩 VSB 샷들의 구성은 모델-기반 기술들을 사용하여 결정되어, 샷 구성이 100 nm의 트랙을 형성할 수 있고, 여기서 샷-대-샷 간격 및 따라서 샷 카운트는 상기의 종래의 비중첩 샷 구성과 동일하였다.
ㆍ곡선(614): 중첩 원형 문자 투사 샷들. 100 nm의 트랙을 형성할 수 있는 원형 샷 구성이 결정되었고, 여기서 샷-대-샷 간격 및 따라서 샷 카운트는 상기의 종래의 비중첩 샷 구성과 동일하였다.
이러한 실험에서, 제조 변화의 효과들이 부가되기 전에, 모든 세 개의 샷 구성들은 동일한 사전-PEC 조사량을 사용하였다. 다른 실시예에서, 상이한 할당된 조사량들을 갖고 결과적인 선량 마진 변화를 갖는 샷들이 또한 가능하다. 부가적으로, 다른 실시예들에서, 슬리버 감소가 변동될 수 있다. 몬테 카를로 기술들을 사용하면, CDU 상의 제조 변화의 효과들이 각각의 샷 구성을 사용하여 형성된 트랙들에 대해 계산되었다. 2 개의 파라미터들이 변동되었다.
ㆍ 정상 조사량의 5%의 시그마의 경우에 샷 조사량은 정상 분포를 사용하여 변동되었다.
ㆍ1.5 nm의 시그마의 경우에 각각의 샷의 위치는 정상 분포를 사용하여 변동되었다. 분할된 CD가 존재하는 샷 구성들에서, 변동하는 샷 위치는 분할된 CD의 효과들을 모델링할 것이다.
일부 실시예들에서, 도 6의 실험에서, 샷 크기가 변동되지 않지만, 샷 크기는 또한 변동될 수 있다. 크기 변화는 폭, 높이, 또는 폭과 높이 양자와 같은 요인들을 포함할 수 있다. 도 6은, 곡선들(610, 612 및 614)에 대해 앞서 리스팅된 샷 구성들을 사용하여 형성된 선형 비-맨하탄 트랙에 대한 CD들의 확률 분포를 도시하는 그래프(600)로 이러한 실험의 결과들을 예시한다. 그래프(600)의 x-축은 CD이고, 여기서 100.0 nm은 설계 폭이다. 그래프(600)의 y-축은 상대적인 확률이다. 각각의 3 개의 곡선들 아래의 영역은 동일한데, 왜냐하면 모든 CD 확률들의 합이 1이기 때문이다. 각각의 CD 곡선의 1-시그마는 다음과 같다.
ㆍ곡선 610: 0.49 nm
ㆍ곡선 612: 0.33 nm
ㆍ곡선 614: 0.32 nm
부가적으로, 다양한 샷 구성들의 LWR은 다음과 같다.
ㆍ종래의 비중첩 샷들(곡선 610): 4.13 nm
ㆍ최적화된 중첩 VSB 샷들(곡선 612): 2.83 nm
ㆍ최적화된 중첩 원형 CP 샷들(곡선 614): 2.70 nm
이러한 예에서 중첩 샷들의 사용은, CDU 및 LWR 둘 모두가 종래의 샷들과 비교하여 개선될 수 있다는 것을 예시한다. 또한, 원형 CP 샷들의 사용은 VSB 샷들의 사용보다 더 개선된 안을 제공할 수 있다. 동일한 샷-대-샷 간격을 사용하는 중첩 VSB 샷들의 다른 세트들은 CD 분포(612)보다 훨씬 더 양호한 CDU를 디스플레이할 수 있다. 마찬가지로, 동일한 샷-대-샷 간격을 사용하는 CP 샷들의 다른 세트들은 CD 분포(614)보다 훨씬 더 양호한 CDU를 디스플레이할 수 있다.
곡선 트랙들의 CDU를 계산하고 더 일반적인 레티클 패턴들의 치수 가변성을 계산하기 위해 유사한 기술들이 사용될 수 있다. 용어 "임계 치수"가 일반적으로 트랙들에만 적용되지만, 유사한 용어 "웨이퍼 임계 치수"는 물리적 설계에서 임의의 패턴들의 원하는 치수들을 지칭한다. CDU를 최적화하기 위해 제시된 기술들은 또한 웨이퍼 임계 치수 균일도를 최적화하는데 사용될 수 있다.
도 6의 실험에서, 다양한 샷 구성들에서 샷-대-샷 간격은 일정하다. 그러나, 일반적으로, 샷-대-샷 간격은 또한 변동될 수 있다. 샷-대-샷 간격이 증가하면, 예를 들면, 샷들의 수가 감소하고 따라서 레티클 상에 패턴을 기록하는데 요구되는 시간이 감소한다. 도 7은 중첩 샷들의 2 개의 구성들 및 샷들의 종래의 세트에 대한 CD 분포들을 예시한다.
ㆍ곡선(710)은 종래의 비중첩 VSB 샷들의 세트에 대한 CD 분포를 예시한다. 6 시그마 CDU는 4.8 nm이다.
ㆍ곡선(712)은 중첩 VSB 샷들의 CDU-최적화 세트에 대한 CD 분포를 예시하고, 여기서 기록 시간은 종래의 비중첩 샷들에서와 동일하다. 6 시그마 CDU는 3.2 nm이다.
ㆍ곡선(714)은 중첩 VSB 샷들의 CDU 최적화 세트에 대한 CD 분포를 예시하고, 여기서 기록 시간은 종래의 비중첩 샷들에 대한 기록 시간의 70%이다. 6 시그마 CDU는 4.8nm이다.
도 6의 실험에서와 같이, 샷 조사량 및 샷 위치에서의 제조 변화기 이러한 실험에서 계산되었다. 이러한 실험에서, 제조 변화의 효과들이 부가되기 전에, 3 개의 샷 구성들 모두는 동일한 사전-PEC 조사량을 사용하였다. 다른 실시예들에서, 상이한 할당된 조사량들을 갖고, 결과적인 선량 마진 변화를 갖는 샷들이 또한 가능하다. 도 7에 예시된 CDU 분포들은, 중첩 샷들을 사용함으로써 허용된 가요성이 기록 시간을 유지하면서 CDU가 종래의 비중첩 샷들에 비해 개선되는 것을 허용하거나, CDU를 유지하면서 기록 시간이 감소되도록 허용하는 것을 보여준다. 이러한 2 개의 해결책들 사이에서 다른 해결책들이 발견될 수 있고, 여기서 CDU 및 기록 시간은 동시에 개선된다.
앞선 실험들에서, 고유한 몬테 카를로 시뮬레이션에서 샷들의 각각의 세트에 대해, 레티클 이미지는 하전 입자 빔 시뮬레이션을 사용하여 계산될 수 있다. 하전 입자 빔 시뮬레이션에 의해 사용된 마스크 모델에 포함될 수 있는 효과들은 전방 산란(forward scattering), 후방 산란, 레지스트 확산, 쿨롱 효과, 에칭, 포깅, 부하 및 레지스트 충전을 포함한다.
앞선 실험들에서, 레티클 또는 포토마스크 상의 CD 분포가 계산되었다. 다른 실시예들에서, 이중 시뮬레이션이 사용될 수 있는데, 여기서 시뮬레이션된 레티클 이미지를 사용하여 광학 리소그래피 프로세스를 사용하여 기판 공중(aerial) 이미지가 계산된다. 리소그래피 시뮬레이션은 기판 공중 이미지를 계산하는데 사용될 수 있다. 이중 시뮬레이션은 본 개시의 관련 출원 부분에 리스팅된 미국 특허 출원들에 개시된다.
도 8은 본 개시의 다른 실시예에 따른, 광학 리소그래피를 사용하여 실리콘 웨이퍼와 같은 기판들 상에 패턴들을 형성하기 위한 개념적인 흐름도(800)이다. 제 1 단계(802)에서, 집적 회로의 물리적 설계와 같은 물리적 설계가 생성된다. 이것은, 집적 회로의 물리적 설계와 같은 물리적 설계에서 발견되도록 요구되는 논리 게이트들, 트랜지스터들, 금속층들 및 다른 아이템들을 결정하는 것을 포함할 수 있다. 다음에, 단계(804)에서, 마스크 설계(806)를 생성하기 위해, 물리적 설계(802) 내의 패턴들 또는 물리적 설계 내의 패턴들의 일부분 상에 OPC가 행해진다. OPC 단계(804)는, 리소그래피 및 기판 프로세싱 효과들을 통합하지만 하전 입자 빔 노출 및 레티클 프로세싱 효과들을 통합하지 않는 기판 모델(840)을 사용한다. 기판 프로세싱 효과들은, 예를 들면, 기판 레지스트 베이크(bake) 및 기판 레지스트 현상(development)을 포함할 수 있다. 일부 실시예들에서, OPC는 ILT(inverse lithography technology)를 포함할 수 있다. 단계(808)에서, 마스크 설계(806)는 하전 입자 빔 샷들의 세트, 즉, 샷 리스트(810)로 프랙쳐링된다. 샷들이 중첩할 수 있다. 일부 실시예들에서, 샷들이 VSB 샷들일 것이다. 다른 실시예들에서, 샷들은 CP 샷들 또는 VSB 및 CP 샷들의 조합일 것이다. MDP(mask data preparation) 최적화(808)는 하나 이상의 잠재적인 샷 구성들에 대한 CDU를 계산하는 것을 포함할 수 있고, 하나 이상의 제조 파라미터들을 변경함으로써 CD 분포를 계산하는 것을 포함할 수 있다. 일 실시예에서, 몬테 카를로 분석은 CD 분포를 계산하는데 사용될 수 있다. MDP(808)는 또한 입력으로서 마스크 모델(842)을 사용한다. 마스크 모델(842)에 포함될 수 있는 물리적 현상은 전방 산란, 후방 산란, 쿨롱 효과, 포깅, 레지스트 충전, 부하, 레지스트 베이크, 레지스트 현상 및 레지스트 에칭을 포함한다. 일부 실시예들에서, MDP(808)는 기판 모델(840)을 사용하여 기판 상의 공중 이미지를 계산하기 위해 리소그래피 시뮬레이션을 사용할 수 있다. 기판 모델(840)은 광학 리소그래피 효과들을 포함할 수 있고, 또한 레지스트 베이크 및 레지스트 현상과 같은 레지스트 효과들을 포함할 수 있다. MDP(808)는 마스크 이미지(826)에 대한 CDU의 최적화를 포함할 수 있거나 및/또는 기판에 대한 공중 이미지 CDU의 최적화를 포함할 수 있다. MDP(808)는 샷 구성 라이브러리(844)로부터 사전-계산된 샷들의 세트들을 입력할 수 있다. 샷 구성 라이브러리(844)는 정해진 패턴 형상 및 구성에서 사용하기 위한 샷 종횡비를 지정하는 룩-업 테이블을 포함할 수 있다. MDP(808)는 MPC(mask process correction)를 포함할 수 있다. MDP(808)는 샷 리스트(810)를 출력한다.
PEC(proximity effect correction) 개선 단계(820)에서, 샷 리스트(810) 내의 샷들의 샷 조사량들은 장기간 효과들을 처리하도록 조절되고, 장기간 효과는 후방 산란, 부하, 포깅 및 레지스트 충전을 포함할 수 있다. PEC 개선(820)의 출력은 조절된 조사량들(822)을 갖는 마지막 샷 리스트이다. 조절된 조사량들을 갖는 마지막 샷 리스트(822)는, 전자 빔 기록기 시스템과 같은 하전 입자 빔 기록기를 사용하는 마스크 기록 단계(824)에서 표면을 생성하는데 사용된다. 사용되는 하전 입자 빔 기록기의 타입에 의존하여, PEC 개선(820)은 하전 입자 빔 기록기에 의해 수행될 수 있다. 마스크 기록 단계(824)는 단일 노출 패스 또는 다수의 노출 패스를 포함할 수 있다. 전자 빔 기록기 시스템은 단계(826)에 도시된 바와 같이 표면 상에 패턴들을 포함하는 마스크 이미지를 형성하기 위해 전자들의 빔을 레지스트-코팅 표면으로 투사한다. 부가적인 프로세싱 단계들(예시되지 않음) 후에, 완료된 표면은, 레지스트 상에 잠재 이미지(830)를 생성하도록 실리콘 웨이퍼와 같은 레지스트-코팅 기판을 노출시키기 위해, 단계(828)에 도시된 광학 리소그래피 머신에서 사용될 수 있다. 이어서, 노출된 기판은 기판 상에 패턴(834)을 생성하기 위해 레지스트 베이킹 및 레지스트 현상과 같은 부가적인 레지스트 프로세싱 단계들(832)을 겪는다.
도 9는 기존의 샷 리스트를 최적화하기 위한 개념적인 흐름도(900)이다. 흐름(900)은 원하는 레티클 패턴(902) 및 예비 샷 리스트(904)를 갖고 시작되고, 여기서 예비 샷 리스트(904)는 원하는 레티클 패턴(902)을 대략적으로 형성할 수 있다. MDP 단계(910)는, 예비 샷 리스트(904)보다 더 정확하게 원하는 레티클 패턴(902)을 형성할 수 있는 결과적인 최적화된 샷 리스트(912)를 생성하기 위해 예비 샷 리스트(904) 내의 샷들을 수정한다. 최적화된 샷 리스트(912) 내의 샷들은 중첩할 수 있고, 상이한 할당된 조사량들을 가질 수 있다. MDP/샷 최적화(910)는 하나 이상의 잠재적인 샷 구성들에 대한 CDU를 계산하는 것을 포함할 수 있고, 하나 이상의 제조 파라미터들을 변경함으로써 CD 분포를 계산하는 것을 포함할 수 있다. 일 실시예에서, 몬테 카를로 분석은 CD 분포를 계산하는데 사용될 수 있다. MDP/샷 최적화(910)는 또한 마지막 마스크 모델(906)을 입력으로서 사용한다. 마지막 마스크 모델(906)에 포함될 수 있는 물리적 현상은 전방 산란, 후방 산란, 쿨롱 효과, 포깅, 레지스트 충전, 부하, 레지스트 베이크, 레지스트 현상 및 레지스트 에칭을 포함한다. 일부 실시예들에서, MDP/샷 최적화(910)는 기판 모델(908)을 사용하여 기판 상의 공중 이미지를 계산하기 위해 리소그래피 시뮬레이션을 사용할 수 있다. 기판 모델(908)은 광학 리소그래피 효과들을 포함할 수 있고, 또한 레지스트 베이크 및 레지스트 현상과 같은 레지스트 효과들을 포함할 수 있다. 일부 실시예들에서, 가령, CD 분포가 계산될 때, 하전 입자 빔 및/또는 리소그래피 시뮬레이션들은, 가령, 제조 변화를 나타내는 다수의 조건들에서 실행될 수 있다. 이러한 실시예들 중 하나에서, 최적화는 제조 변화의 효과들을 통합하는 스코어를 계산하는 것을 포함할 수 있다. MDP/샷 최적화(910)는 마스크 이미지(920)에 대한 CDU의 최적화를 포함할 수 있거나 및/또는 기판에 대한 공중 이미지 CDU의 최적화를 포함할 수 있다. MDP(910)는 또한 MPC(mask process correction)를 포함할 수 있다. PEC(proximity effect correction) 개선 단계(914)에서, 최적화된 샷 리스트(912) 내의 샷들의 샷 조사량들은 장기간 효과들을 처리하도록 조절되고, 장기간 효과는 후방 산란, 부하, 포깅 및 레지스트 충전을 포함할 수 있다. PEC 개선(914)의 출력은 마지막 샷 리스트(916)이다. 마지막 샷 리스트(916)는, 전자 빔 기록기 시스템과 같은 하전 입자 빔 기록기를 사용하는 마스크 기록 단계(918)에서 표면을 생성하는데 사용된다. 사용되는 하전 입자 빔 기록기의 타입에 의존하여, PEC 개선(914)은 하전 입자 빔 기록기에 의해 수행될 수 있다. 마스크 기록 단계(918)는 단일 노출 패스 또는 다수의 노출 패스를 포함할 수 있다. 전자 빔 기록기 시스템은 단계(920)에 도시된 바와 같이 표면 상에 패턴들을 포함하는 마스크 이미지를 형성하기 위해 전자들의 빔을 스텐실을 통해 표면으로 투사한다. 부가적인 프로세싱 단계들(예시되지 않음) 후에, 완료된 표면은, 레지스트 상에 잠재 이미지(924)를 생성하도록 실리콘 웨이퍼와 같은 레지스트-코팅 기판을 노출시키기 위해, 단계(922)에 도시된 광학 리소그래피 머신에서 사용될 수 있다. 이어서, 노출된 기판은 기판 상에 패턴(928)을 생성하기 위해 레지스트 베이킹 및 레지스트 현상과 같은 부가적인 레지스트 프로세싱 단계들(926)을 겪는다.
흐름(900)은, 예를 들면, 레티클 프로세싱 단계들이 변경된 때 유용할 수 있는데, 왜냐하면 예비 샷 리스트(904)가 생성되어, 마스크 모델 변화를 야기하기 때문이고, 마스크 모델 변화는 예비 샷 리스트(904) 내의 샷들의 재최적화를 요구한다.
기존의 샷 리스트는, CDU가 예상된 제조 변화를 고려하여 미리 결정된 허용 오차 내에 있는지를 결정하기 위해 검증될 수 있다. 도 10은 이러한 기술의 일 실시예의 개념적인 흐름도(1000)이다. 프로세싱할 입력은 기존의 샷 리스트(1004)이다. 샷 검증 단계(1010)에서, 복수의 샷 리스트 변화들 각각에 대한 레티클 패턴이 계산되고, 각각의 샷 리스트 변화 내의 각각의 샷은 제조 변화의 효과들을 통합하고, 각각의 레티클 패턴의 계산은 또한 제조 변화의 효과들을 통합한다. 따라서, 각각의 계산된 레티클 패턴은 상이한 레티클 제조 조건을 나타낸다. 단계(1010)의 레티클 패턴 계산은 마스크 모델(1006)을 입력으로서 사용한다. CD는 각각의 계산된 레티클 패턴에 대한 하나 이상의 위치들에서 측정된다. CDU들은 복수의 계산된 레티클 패턴들 각각으로부터의 CD들을 사용하여 각각의 위치에 대해 계산된다. 미리 결정된 허용 오차보다 더 큰 CDU들은 부적합하고, 제외 보고(1012)로 출력된다. 일부 실시예들에서, 샷 검증(1010)은 이중 시뮬레이션을 포함할 수 있고, 여기서 가령, 리소그래피 시뮬레이션을 사용하여 각각의 레티클 패턴에 대해 웨이퍼와 같은 기판 상의 공중 이미지가 계산된다. 이러한 경우에, 기판 모델(1008)은 또한 샷 검증(1010)에 입력된다. 이러한 실시예들에서, 이중 시뮬레이션을 사용하여, 각각의 공중 이미지의 각각의 측정 이미지에서 CD가 측정되고, 각각의 샷 리스트 변화의 공중 이미지 CD로부터의 각각의 측정 위치에서 기판 CDU가 계산된다.
정해진 상황에서 최소의 CDU를 생성할 샷 구성이 사전-계산될 수 있고, 이러한 샷 구성에 관한 정보가 테이블에 저장된다. 예를 들면, 상황은 100 nm 폭, x-축으로부터 40 도로 각을 이루는 선형 트랙일 수 있고, 이에 대한 샷 구성은 사전-계산된다. 트랙 자체에 부가하여, 상황은 인근의 환경을 포함할 수 있다. 이러한 예에서, 환경은 샷들이 생성되는 트랙의 각각의 측 상의 복수의 적어도 5 개의 평행하는 100 nm 폭의 트랙들을 포함하고, 인접한 트랙들은 100 nm의 공간들만큼 분리된다. 정해진 상황에 대한 최상의 샷 구성을 결정하는데 있어서, 다른 파라미터들이 또한 고려될 수 있다. 예를 들면, 샷 구성이 레티클에 노출될 수 있고, 레티클은 포토마스크를 생성하도록 프로세싱되고, 제조된 포토마스크 패턴 치수들이 측정되고, 이러한 측정된 치수들이 계산된 패턴 치수들과 비교되고, 여기서 계산된 치수와 측정된 치수 사이의 평균 차이는 MTT(mean to target)이다. 미리 결정된 값 미만인 MTT를 갖는 샷 구성들만이 테이블에 저장될 수 있다.
본 개시에서 설명되거나 참조되는 계산들은 다양한 방법들로 달성될 수 있다. 일반적으로, 계산들은 프로세스-중(in-process), 프로세스-전(pre-process) 또는 프로세스-후(post-process) 방법들에 의해 달성될 수 있다. 프로세스-중 계산은, 계산의 결과들이 요구되는 시간에 계산을 수행하는 것을 수반한다. 프로세스-전 계산은, 미리 계산하는 것 및 그 후 후속 프로세싱 단계 동안의 추후 리트리벌(retrieval)을 위해 결과들을 저장하는 것을 수반하고, 특히, 여러 회 반복될 수 있는 계산들에 대한 프로세싱 성능을 개선시킬 수 있다. 계산들은 또한 프로세싱 단계들로부터 지연될 수 있고, 그 다음, 추후에 프로세싱-후 단계에서 행해질 수 있다. 프로세싱-전 계산의 일례는, 주어진 상황에 대해 최소 CDU를 생성할 샷 구성을 미리 계산하고, 이 샷 구성에 대한 정보를 테이블에 저장하는 것이다. 프로세스-전 계산의 다른 예는, 주어진 입력 패턴 또는 입력 패턴 특징들의 세트와 연관된 하나 또는 그 초과의 샷들에 대한 조사량 패턴 정보의 사전 계산인 샷 그룹이다. 샷 그룹 및 연관된 입력 패턴은 미리 계산된 샷 그룹들의 라이브러리에 저장될 수 있어서, 샷 그룹을 포함하는 샷들의 세트는 입력 패턴의 추가적인 인스턴스들에 대해 패턴 재계산 없이 신속하게 생성될 수 있다. 몇몇 실시예들에서, 사전-계산은, 샷 그룹이 레지스트-코팅 표면 상에 생성할 조사량 패턴의 시뮬레이션을 포함할 수 있다. 다른 실시예들에서, 샷 그룹은, 예를 들어, 지능형 오류 제거 기술들(correct-by-construction techniques)을 사용함으로써 시뮬레이션 없이 결정될 수 있다. 일부 실시예들에서, 사전-계산된 샷 그룹들은 샷들의 리스트의 형태로 샷 그룹 라이브러리에 저장될 수 있다. 다른 실시예들에서, 사전 계산된 샷 그룹들은, 특정한 타입 또는 타입들의 입력 패턴들에 대한 샷들을 생성할 수 있는 컴퓨터 코드의 형태로 저장될 수 있다. 또 다른 실시예들에서, 복수의 미리 계산된 샷 그룹들이 테이블의 형태로 저장될 수 있고, 여기서, 테이블의 엔트리들은 패턴 폭과 같은 입력 패턴 특징들 또는 다양한 입력 패턴들에 대응하고, 여기서, 각각의 테이블 엔트리는 샷 그룹 내의 샷들의 리스트, 또는 샷들의 적절한 세트를 어떻게 생성할지에 대한 정보를 제공한다. 추가적으로, 상이한 샷 그룹들이 샷 그룹 라이브러리에 상이한 형태들로 저장될 수 있다. 몇몇 실시예들에서, 주어진 샷 그룹이 생성할 수 있는 조사량 패턴이 또한 샷 그룹 라이브러리에 저장될 수 있다. 일 실시예에서, 조사량 패턴은 글리프(glyph)로 지칭되는 2차원(X 및 Y) 조사량 맵으로서 저장될 수 있다.
본 개시에서 설명되는 프랙쳐링, 마스크 데이터 준비, 샷 최적화 및 검증 흐름들은, 적절한 컴퓨터 소프트웨어를 계산 디바이스들로서 갖는 범용 컴퓨터들을 이용하여 구현될 수 있다. 요구되는 대량의 계산들에 기인하여, 다수의 컴퓨터들 또는 프로세서 코어들이 또한 병렬로 이용될 수 있다. 일 실시예에서, 병렬 프로세싱을 지원하기 위해, 흐름 내의 하나 또는 그 초과의 계산-집약적 단계들에 대해, 계산들은 복수의 2차원 기하학적 영역들로 세분화될 수 있다. 다른 실시예에서, 범용 컴퓨터들 또는 프로세서 코어들을 이용하기보다는, 더 빠른 속도로 하나 또는 그 초과의 단계들의 계산들을 수행하기 위해, 단독으로 또는 다수로 이용되는 특수 목적 하드웨어 디바이스가 이용될 수 있다. 일 실시예에서, 특수 목적 하드웨어 디바이스는 그래픽 프로세싱 유닛(GPU)일 수 있다. 다른 실시예에서, 본 개시에서 설명되는 최적화 및 시뮬레이션 프로세스들은, 샷들의 총 수 또는 하전 입자 빔 기록 시간 전체, 또는 몇몇 다른 파라미터를 최소화하기 위해, 가능한 해결책들의 개정 및 재계산의 반복적 프로세스들을 포함할 수 있다. 또 다른 실시예에서, 어떠한 샷 변형들도 요구되지 않도록, 샷들의 초기 세트는 지능형 오류 제거 방법에서 결정될 수 있다.
명세서는 특정 실시예들에 대해 상세히 설명되었을지라도, 당해 기술에서 통상의 기술자들은 상술한 것을 이해하면, 이 실시예들에 대한 변경들, 변형들, 및 등가물들을 용이하게 인식할 수 있다는 점이 이해될 것이다. 프랙쳐링, 마스크 데이터 준비, 근접 효과 보정 및 광학 근접 보정을 위한 본 방법들에 대한 이러한 및 다른 수정들 및 변형들은 본 발명 대상의 사상 및 범위로부터 벗어나는 것 없이 당해 기술에서 통상의 기술자들에 의해 실시될 수 있으며, 이는 특히 첨부된 청구항들에 설명되어 있다. 더욱이, 당해 기술에서 통상의 기술자들은 상술한 설명이 단지 예에 의한 것이고, 제한될 의도가 없는 것을 이해할 것이다. 단계들은 본 발명의 범위로부터 벗어나는 것 없이 본 명세서의 단계들에 추가되거나, 본 명세서의 단계들로부터 제거되거나, 수정될 수 있다. 일반적으로, 제공되는 임의의 흐름도들은 기능을 달성하는 기본 동작들의 하나의 가능한 시퀀스를 표시하도록 단지 의도되고, 다수의 변형들이 가능하다. 따라서, 본 발명 대상은 첨부된 청구항들 및 그의 등가물들 범위 내에 있는 그러한 수정들 및 변형들을 커버하도록 의도된다.

Claims (30)

  1. 하전 입자 빔 시뮬레이션(charged particle beam simulation)에서 사용하기 위한 MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법으로서,
    표면 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들(shots)의 세트를 결정하는 단계를 포함하고,
    CDU(critical dimension uniformity)는 적어도 2 개의 요인들을 변경함으로써 최적화되는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  2. 제 1 항에 있어서,
    상기 요인들은 선량 마진(dose margin), 분할된 임계 치수(분할된 CD) 감소 및 슬리버(sliver) 감소 중 적어도 하나를 포함하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  3. 제 1 항에 있어서,
    상기 샷들의 세트 내의 샷들은 서로 중첩하고, 상기 요인들은 샷 중첩을 포함하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  4. 제 1 항에 있어서,
    상기 샷들의 세트 내의 샷들은 VSB(variable shaped beam) 샷들인,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  5. 하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법으로서,
    표면 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들의 세트를 결정하는 단계를 포함하고,
    모델-기반 기술들이 사용되고, CDU(critical dimension uniformity)를 유지하면서, 샷 카운트가 감소되는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  6. 제 5 항에 있어서,
    상기 모델-기반 기술들은 중첩 샷들을 결정하고, PEC(proximity effect correction) 전에 상이한 조사량들(dosages)을 갖는 샷들을 결정하는 것을 포함하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  7. 하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법으로서,
    표면 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들의 세트를 입력하는 단계, 및
    상기 표면 상의 패턴의 CDU(critical dimension uniformity)를 최적화하기 위해 상기 샷들의 세트 내의 하나 이상의 샷들을 수정하는 단계 ― 적어도 2 개의 요인들이 변경됨 ― 를 포함하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  8. 제 7 항에 있어서,
    상기 요인들은 샷 크기, 샷 위치 및 샷 조사량으로 구성된 그룹으로부터 선택되는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  9. 하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법으로서,
    표면 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들의 세트를 결정하는 단계를 포함하고,
    CDU(critical dimension uniformity)가 최적화되고, 최적화는 모델-기반 기술들을 사용하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  10. 제 9 항에 있어서,
    상기 하전 입자 빔 샷들의 세트 내의 샷들의 구성은 사전 컴퓨팅되는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  11. 제 10 항에 있어서,
    샷들의 구성은 샷 구성 라이브러리(library)에 저장되는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  12. 제 11 항에 있어서,
    상기 패턴은 복수의 구성들에서 다수의 형상들을 포함하고,
    상기 샷 구성 라이브러리는 정해진 패턴 형상 및 구성에서 사용하기 위한 샷 종횡비를 지정하는 룩-업 테이블을 포함하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  13. 제 9 항에 있어서,
    상기 패턴은 트랙을 포함하고, 상기 트랙의 반대(opposing) 에지들은 상기 트랙의 길이의 대부분에 대해 대략 평행하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  14. 제 13 항에 있어서,
    상기 트랙은 적어도 하나의 폭 및 각도에 의해 기술되는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  15. 제 10 항에 있어서,
    상기 샷들의 구성은 복수의 요인들을 변경하는 몬테 카를로 시뮬레이션(Monte Carlo simulation)을 사용하여 컴퓨팅되는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  16. 제 15 항에 있어서,
    상기 복수의 요인들 내의 요인들은 위치 변화, 폭 변화, 높이 변화 및 폭 및 높이 변화 및 선량 변화로 구성된 그룹으로부터 선택되는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  17. 하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법으로서,
    표면 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들의 세트를 입력하는 단계, 및
    상기 표면 상의 패턴의 CDU(critical dimension uniformity)를 최적화하기 위해 상기 샷들의 세트 내의 하나 이상의 샷들을 수정하는 단계 ― 최적화는 모델-기반 기술들을 사용함 ― 를 포함하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  18. 하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법으로서,
    레티클(reticle) 상에 레티클 패턴을 형성할 수 있는 하전 입자 빔 샷들의 세트를 결정하는 단계를 포함하고,
    상기 레티클은 기판 상에 기판 패턴을 형성하기 위해 광학 리소그래피 프로세스에서 사용될 수 있고, 상기 기판 패턴은 웨이퍼 임계 치수들을 포함하고, 상기 결정하는 단계는 웨이퍼 CDU(critical dimension uniformity)의 최적화를 포함하고, 상기 최적화는 모델-기반 기술들을 사용하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  19. 제 18 항에 있어서,
    상기 결정하는 단계는,
    상기 샷들의 세트에 의해 형성된 상기 레티클 상의 계산된 레티클 패턴을 계산하는 단계, 및
    상기 계산된 레티클 패턴을 사용하여 상기 기판 상의 계산된 기판 패턴을 계산하는 단계를 포함하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  20. 제 18 항에 있어서,
    상기 하전 입자 빔 샷들의 세트 내의 샷들의 구성은 사전-컴퓨팅되는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  21. 제 20 항에 있어서,
    상기 샷들의 구성은 샷 구성 라이브러리에 저장되는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  22. 제 21 항에 있어서,
    상기 레티클 패턴은 복수의 구성들에서 다수의 형상들을 포함하고, 상기 샷 구성 라이브러리는 정해진 형상 및 구성에서 사용하기 위한 샷 종횡비를 지정하는 룩-업 테이블을 포함하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  23. 하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP(mask data preparation) 또는 MPC(mask process correction)를 위한 방법으로서,
    레티클 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들의 세트를 입력하는 단계 ― 상기 레티클은 기판 상에 패턴을 형성하기 위해 광학 리소그래피 프로세스에서 사용될 수 있고, 상기 기판 상의 패턴은 웨이퍼 임계 치수들을 포함함 ― , 및
    웨이퍼 CDU(critical dimension uniformity)를 최적화하기 위해 상기 샷들의 세트 내의 하나 이상의 샷들을 수정하는 단계 ― 상기 최적화는 모델-기반 기술들을 사용함 ― 를 포함하는,
    하전 입자 빔 시뮬레이션에서 사용하기 위한 MDP 또는 MPC를 위한 방법.
  24. 하전 입자 빔 리소그래피에서 사용하기 위한 MDP(mask data preparation)를 위한 방법으로서,
    하전 입자 빔 샷들의 세트를 입력하는 단계,
    상기 하전 입자 빔 샷들의 세트로부터 표면 상의 패턴을 계산하는 단계, 및
    계산된 패턴에 대한 하나 이상의 위치들에 대한 CDU(critical dimension uniformity)를 계산하는 단계를 포함하는,
    하전 입자 빔 리소그래피에서 사용하기 위한 MDP를 위한 방법.
  25. 제 24 항에 있어서,
    위치에 대한 상기 CDU가 미리 결정된 허용 오차를 초과하고, 상기 방법은 상기 미리 결정된 허용 오차를 초과하는 CDU를 개선하기 위해 상기 하전 입자 빔 샷들의 세트를 수정하는 단계를 더 포함하는,
    하전 입자 빔 리소그래피에서 사용하기 위한 MDP를 위한 방법.
  26. 제 25 항에 있어서,
    상기 수정하는 단계는 모델-기반 기술들을 사용하는,
    하전 입자 빔 리소그래피에서 사용하기 위한 MDP를 위한 방법.
  27. 제 25 항에 있어서,
    상기 수정하는 단계는 샷들을 부가하는 단계를 포함하는,
    하전 입자 빔 리소그래피에서 사용하기 위한 MDP를 위한 방법.
  28. 제 24 항에 있어서,
    상기 CDU를 계산하는 단계는 선량 마진을 계산하는 것, 분할된 CD 효과들을 계산하는 것, 및 슬리버 효과들을 계산하는 것 중 적어도 하나를 포함하는,
    하전 입자 빔 리소그래피에서 사용하기 위한 MDP를 위한 방법.
  29. 제 24 항에 있어서,
    상기 표면 상의 패턴을 계산하는 단계는 하전 입자 빔 시뮬레이션을 포함하는,
    하전 입자 빔 리소그래피에서 사용하기 위한 MDP를 위한 방법.
  30. 제 24 항에 있어서,
    상기 표면 상의 패턴을 계산하는 단계는 복수의 레티클 제조 조건들 각각에 대한 별개의 패턴을 계산하는 단계를 포함하고,
    상기 CDU를 계산하는 단계는 상기 복수의 제조 조건들 각각에 대한 하나 이상의 위치들에 대한 CDU를 계산하는 단계를 포함하는,
    하전 입자 빔 리소그래피에서 사용하기 위한 MDP를 위한 방법.
KR20147032204A 2012-04-18 2013-04-15 하전 입자 빔 리소그래피를 사용한 임계 치수 균일성을 위한 방법 및 시스템 KR20150001834A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261625932P 2012-04-18 2012-04-18
US61/625,932 2012-04-18
PCT/US2013/036671 WO2013158574A1 (en) 2012-04-18 2013-04-15 Method and system for critical dimension uniformity using charged particle beam lithography

Publications (1)

Publication Number Publication Date
KR20150001834A true KR20150001834A (ko) 2015-01-06

Family

ID=49381342

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20147032204A KR20150001834A (ko) 2012-04-18 2013-04-15 하전 입자 빔 리소그래피를 사용한 임계 치수 균일성을 위한 방법 및 시스템

Country Status (5)

Country Link
US (1) US9038003B2 (ko)
JP (1) JP6189933B2 (ko)
KR (1) KR20150001834A (ko)
TW (1) TWI605302B (ko)
WO (1) WO2013158574A1 (ko)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8719739B2 (en) * 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9299135B2 (en) * 2013-03-12 2016-03-29 Applied Materials Israel, Ltd. Detection of weak points of a mask
JP6169876B2 (ja) * 2013-04-11 2017-07-26 日本コントロールシステム株式会社 電子ビーム描画装置、描画用図形データ作成装置、電子ビーム描画方法、描画用図形データ作成方法、およびプログラム
US9170501B2 (en) * 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9009634B2 (en) * 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9026956B1 (en) * 2013-10-11 2015-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of lithographic process evaluation
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
JP6346297B2 (ja) * 2014-02-11 2018-06-20 エーエスエムエル ネザーランズ ビー.ブイ. 任意パターンにおける確率的変動を計算するためのモデル
US9460260B2 (en) * 2014-02-21 2016-10-04 Mapper Lithography Ip B.V. Enhanced stitching by overlap dose and feature reduction
KR102247563B1 (ko) * 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
EP3037878B1 (en) * 2014-12-23 2020-09-09 Aselta Nanographics Method of applying vertex based corrections to a semiconductor design
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
US9817927B2 (en) 2015-08-31 2017-11-14 Globalfoundries Inc. Hard mask etch and dielectric etch aware overlap for via and metal layers
US10197909B2 (en) * 2015-10-06 2019-02-05 Aselta Nanographics Method of reducing shot count in direct writing by a particle or photon beam
JP6515835B2 (ja) * 2016-02-23 2019-05-22 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10466586B2 (en) 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles
WO2019161899A1 (en) * 2018-02-22 2019-08-29 Applied Materials, Inc. Method for automated critical dimension measurement on a substrate for display manufacturing, method of inspecting a large area substrate for display manufacturing, apparatus for inspecting a large area substrate for display manufacturing and method of operating thereof
US20200096876A1 (en) * 2018-09-25 2020-03-26 Asml Us, Llc F/K/A Asml Us, Inc. Dose Map Optimization for Mask Making
DE102018217199A1 (de) * 2018-10-09 2020-04-09 Dr. Johannes Heidenhain Gmbh Gitterstruktur für eine diffraktive Optik
US11604451B2 (en) 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US10884395B2 (en) * 2018-12-22 2021-01-05 D2S, Inc. Method and system of reducing charged particle beam write time
US10748744B1 (en) 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US11756765B2 (en) 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5425675A (en) 1977-07-28 1979-02-26 Nec Corp Electron beam exposure unit
JPS608844A (ja) 1983-06-29 1985-01-17 Pioneer Electronic Corp 電子ビームによるレジスト加工方法
JPS61105839A (ja) 1984-10-29 1986-05-23 Toshiba Corp 電子ビ−ム転写用マスク及びその製造方法
US4634871A (en) 1985-01-14 1987-01-06 Hughes Aircraft Company Method and apparatus for spot shaping and blanking a focused beam
US4698509A (en) 1985-02-14 1987-10-06 Varian Associates, Inc. High speed pattern generator for electron beam lithography
JPS637631A (ja) 1986-06-27 1988-01-13 Omron Tateisi Electronics Co 電子ビ−ム描画方法
US4818885A (en) 1987-06-30 1989-04-04 International Business Machines Corporation Electron beam writing method and system using large range deflection in combination with a continuously moving table
US5173582A (en) 1988-10-31 1992-12-22 Fujitsu Limited Charged particle beam lithography system and method
JPH02280315A (ja) 1989-04-20 1990-11-16 Mitsubishi Electric Corp 電子ビーム直接描画装置
JPH03205815A (ja) 1990-01-08 1991-09-09 Hitachi Ltd 可変整形絞り
JPH04137520A (ja) 1990-09-28 1992-05-12 Hitachi Ltd 電子線描画装置および描画方法
JPH04196516A (ja) 1990-11-28 1992-07-16 Seiko Epson Corp Eb露光方法および露光装置
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
JPH0536595A (ja) 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
JPH05267133A (ja) 1992-03-17 1993-10-15 Hitachi Ltd 斜め図形描画法
JPH05335221A (ja) 1992-05-28 1993-12-17 Fujitsu Ltd 荷電粒子線露光法および露光装置
JPH0620931A (ja) 1992-07-03 1994-01-28 Hitachi Ltd 電子ビーム露光方法
JP3288794B2 (ja) 1992-08-31 2002-06-04 株式会社東芝 荷電ビーム補正方法及びマーク検出方法
JPH0864522A (ja) 1994-06-16 1996-03-08 Nikon Corp 荷電粒子線転写方法
JP3203963B2 (ja) 1994-07-15 2001-09-04 株式会社日立製作所 電子線描画装置及び電子線描画方法
JP3340248B2 (ja) 1994-08-12 2002-11-05 沖電気工業株式会社 電子ビーム露光方法
JPH08195339A (ja) 1995-01-18 1996-07-30 Hitachi Ltd 電子ビーム描画方法
JPH08222504A (ja) 1995-02-14 1996-08-30 Hitachi Ltd 荷電粒子ビーム露光装置
JP3940824B2 (ja) 1995-08-14 2007-07-04 株式会社ニコン 荷電粒子線によるパターン転写方法および転写装置
JP3038141B2 (ja) * 1995-09-19 2000-05-08 ホーヤ株式会社 レジストパターン形成条件決定方法及びレジストパターン形成方法
JP2956577B2 (ja) 1996-03-28 1999-10-04 日本電気株式会社 電子線露光方法
US5825039A (en) 1996-11-27 1998-10-20 International Business Machines Corporation Digitally stepped deflection raster system and method of use thereof
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JPH10294255A (ja) 1997-04-17 1998-11-04 Canon Inc 電子ビーム照明装置、および該電子ビーム照明装置を備えた露光装置
JP3350416B2 (ja) 1997-10-01 2002-11-25 株式会社東芝 パターン形成方法
JPH11233401A (ja) 1998-02-09 1999-08-27 Hitachi Ltd 電子線描画方法及び電子線描画装置
WO1999056308A1 (fr) 1998-04-28 1999-11-04 Nikon Corporation Systeme d'exposition et procede de production d'un microdispositif
JP3076570B2 (ja) 1998-08-24 2000-08-14 松下電子工業株式会社 荷電粒子描画方法及び荷電粒子描画装置
US6218671B1 (en) 1998-08-31 2001-04-17 Nikon Corporation On-line dynamic corrections adjustment method
JP2000091191A (ja) 1998-09-09 2000-03-31 Nikon Corp 電子線露光用のマスクと露光装置及び電子線露光方法
JP2000269123A (ja) * 1999-03-19 2000-09-29 Toshiba Corp 露光パターンデータの生成方法と荷電ビーム露光装置
JP2001013671A (ja) 1999-06-30 2001-01-19 Toshiba Corp パターン形成方法
US6262427B1 (en) * 1999-07-15 2001-07-17 Nikon Corporation Variable transmission reticle for charged particle beam lithography tool
JP2001093809A (ja) 1999-09-22 2001-04-06 Toshiba Corp パターン描画方法及び荷電ビーム描画装置
JP2001144008A (ja) 1999-11-17 2001-05-25 Nec Corp 電子線露光方法、並びにこれに用いるマスク及び電子線露光装置
US6320187B1 (en) 1999-12-07 2001-11-20 Nikon Corporation Magnification and rotation calibration patterns for particle beam projection system
KR100327343B1 (ko) 2000-01-12 2002-03-06 윤종용 전자빔 리소그래피시 재산란된 전자빔에 의한 선폭변화를보정하는 방법 및 이를 기록한 기록매체
JP2001305720A (ja) 2000-02-18 2001-11-02 Nikon Corp 被転写媒体の製造方法、被転写パターン形成プログラムを記憶した記憶媒体、及び半導体デバイスの製造方法
JP2001313253A (ja) 2000-02-25 2001-11-09 Hitachi Ltd 電子線描画装置及び電子線描画方法
JP2002050559A (ja) 2000-08-01 2002-02-15 Canon Inc 露光装置及びそれを用いたデバイスの製造方法
JP2002075830A (ja) 2000-08-29 2002-03-15 Nikon Corp 荷電粒子線露光方法、レチクル及びデバイス製造方法
US6372391B1 (en) 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
JP3831188B2 (ja) 2000-09-27 2006-10-11 株式会社東芝 露光処理装置及び露光処理方法
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
JP2002151387A (ja) * 2000-11-10 2002-05-24 Jeol Ltd 電子ビーム描画方法
JP2002162566A (ja) 2000-11-27 2002-06-07 Nikon Corp 光学系の設計方法,光学系および投影露光装置
JP2002217088A (ja) 2001-01-17 2002-08-02 Nikon Corp 荷電粒子線露光装置、荷電粒子線露光方法及び半導体デバイスの製造方法
JP2002217092A (ja) 2001-01-22 2002-08-02 Nec Corp レジストパターンの形成方法および半導体装置の製造方法
JP2002329659A (ja) 2001-05-02 2002-11-15 Nikon Corp 荷電粒子線露光方法、荷電粒子線露光装置及びデバイス製造方法
WO2002101463A1 (en) 2001-06-08 2002-12-19 The Penn State Research Foundation Patterning compositions using e-beam lithography and structures and devices made thereby
US20030043358A1 (en) 2001-08-31 2003-03-06 Nikon Corporation Methods for determining focus and astigmatism in charged-particle-beam microlithography
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP3686367B2 (ja) 2001-11-15 2005-08-24 株式会社ルネサステクノロジ パターン形成方法および半導体装置の製造方法
JP4308467B2 (ja) 2001-12-27 2009-08-05 新光電気工業株式会社 露光方法及び露光装置
US6721939B2 (en) * 2002-02-19 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd Electron beam shot linearity monitoring
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
JP2003347192A (ja) 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
JP4327497B2 (ja) 2002-06-26 2009-09-09 株式会社アドバンテスト 電子ビーム露光装置、電子ビーム露光方法、半導体素子製造方法、マスク、及びマスク製造方法
JP2004134447A (ja) 2002-10-08 2004-04-30 Sony Corp 露光方法、マスクおよび半導体装置の製造方法
CN101414126B (zh) 2002-10-30 2012-02-15 迈普尔平版印刷Ip有限公司 电子束曝光系统
US7160475B2 (en) 2002-11-21 2007-01-09 Fei Company Fabrication of three dimensional structures
US6998217B2 (en) 2003-01-06 2006-02-14 Applied Materials, Inc. Critical dimension edge placement and slope enhancement with central pixel dose addition and modulated inner pixels
TWI290262B (en) 2003-01-14 2007-11-21 Asml Masktools Bv Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
JP2004273526A (ja) 2003-03-05 2004-09-30 Nikon Corp レチクル作製方法、レチクル及び荷電粒子線露光方法
JP2004304031A (ja) 2003-03-31 2004-10-28 Toshiba Corp マスクスキャン描画方法
JP4091470B2 (ja) 2003-05-06 2008-05-28 株式会社東芝 電子ビーム描画装置および電子ビーム描画方法
JP4046012B2 (ja) 2003-05-29 2008-02-13 ソニー株式会社 マスク歪データの生成方法、露光方法および半導体装置の製造方法
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2005079111A (ja) 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 電子線描画データ作成方法、作成装置及び作成プログラム並びに電子線描画装置
US6873938B1 (en) 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
KR100844872B1 (ko) 2004-03-31 2008-07-09 호야 가부시키가이샤 전자빔 묘화방법 및 리소그래피 마스크의 제조방법
JP4570400B2 (ja) 2004-06-03 2010-10-27 富士通セミコンダクター株式会社 露光データ作成方法及び露光データ作成装置
EP1612835A1 (en) * 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Method for Reducing the Fogging Effect
JP4603305B2 (ja) 2004-07-21 2010-12-22 ルネサスエレクトロニクス株式会社 露光方法、パターン寸法調整方法及び焦点ぼかし量取得方法
JP4324049B2 (ja) 2004-07-23 2009-09-02 富士通マイクロエレクトロニクス株式会社 マスクパターンの補正装置及び方法、並びに露光補正装置及び方法
JP2006100336A (ja) 2004-09-28 2006-04-13 Advantest Corp 電子ビーム露光用マスク、電子ビーム露光方法及び電子ビーム露光装置
JP4808447B2 (ja) 2005-08-01 2011-11-02 株式会社リコー 電子ビーム描画方法及び電子ビーム描画装置
JP2007115999A (ja) 2005-10-21 2007-05-10 Toshiba Corp キャラクタプロジェクション(cp)方式の荷電粒子ビーム露光方法、キャラクタプロジェクション方式の荷電粒子ビーム露光装置及びプログラム
US7788628B1 (en) 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
JP4976071B2 (ja) * 2006-02-21 2012-07-18 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
WO2007112465A1 (en) 2006-04-03 2007-10-11 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
JP2007305880A (ja) 2006-05-12 2007-11-22 Toshiba Corp キャラクタパターン抽出方法、荷電粒子ビーム描画方法、及びキャラクタパターン抽出プログラム
US20070280526A1 (en) 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer
JP4843425B2 (ja) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 可変成形型電子ビーム描画装置
JP4378648B2 (ja) 2006-10-06 2009-12-09 エルピーダメモリ株式会社 照射パターンデータ作成方法、マスク製造方法、及び描画システム
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
US7902528B2 (en) 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
JP2008175959A (ja) 2007-01-17 2008-07-31 Toshiba Corp フォトマスク製造方法、及び半導体装置の製造方法
GB2451480B (en) 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
JP5090887B2 (ja) 2007-12-18 2012-12-05 日本電子株式会社 電子ビーム描画装置の描画方法及び電子ビーム描画装置
TW201007383A (en) 2008-07-07 2010-02-16 Brion Tech Inc Illumination optimization
US7759027B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
US8017288B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US7799489B2 (en) 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
JP5739808B2 (ja) * 2008-09-01 2015-06-24 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 可変整形ビームリソグラフィを用いたレチクルの光近接効果補正、設計、および製造のための方法
US7981575B2 (en) 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
JP5676449B2 (ja) 2008-09-01 2015-02-25 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 光近接効果補正、設計およびキャラクタプロジェクションリソグラフィを用いたレチクルの製造のための方法
US8312406B2 (en) * 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
JP5570774B2 (ja) * 2009-08-04 2014-08-13 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置および方法
JP2011040716A (ja) 2009-08-06 2011-02-24 Nikon Corp 露光装置、露光方法、およびデバイス製造方法
US8404404B2 (en) 2009-08-21 2013-03-26 D2S, Inc. Method and system for manufacturing a surface using character projection lithography with variable magnification
US8671366B2 (en) * 2009-08-21 2014-03-11 Hitachi High-Technologies Corporation Estimating shape based on comparison between actual waveform and library in lithography process
TWI496182B (zh) 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
US8137871B2 (en) 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
JP5289343B2 (ja) 2010-01-15 2013-09-11 株式会社東芝 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置
US8193005B1 (en) * 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US20120217421A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with overlapping shots
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography

Also Published As

Publication number Publication date
US20130283216A1 (en) 2013-10-24
JP6189933B2 (ja) 2017-08-30
JP2015515148A (ja) 2015-05-21
TWI605302B (zh) 2017-11-11
TW201351030A (zh) 2013-12-16
WO2013158574A1 (en) 2013-10-24
US9038003B2 (en) 2015-05-19

Similar Documents

Publication Publication Date Title
US9038003B2 (en) Method and system for critical dimension uniformity using charged particle beam lithography
KR102154105B1 (ko) 하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US10431422B2 (en) Method and system for dimensional uniformity using charged particle beam lithography
WO2012051082A2 (en) Method and system for forming high accuracy patterns using charged particle beam lithography
KR102005083B1 (ko) 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
KR102258587B1 (ko) 하전 입자 빔 리소그래피를 이용한 치수 균일도를 위한 방법 및 시스템
WO2015138362A1 (en) Method and system for forming a pattern on a surface using multi-beam charged particle beam lithography
US20140353526A1 (en) Method and system for forming high accuracy patterns using charged particle beam lithography
US9091946B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
KR102300585B1 (ko) 하전 입자 빔 리소그래피를 이용하여 사선 패턴을 형성하기 위한 방법 및 시스템
US9164372B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application