JP6189933B2 - 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム - Google Patents

荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム Download PDF

Info

Publication number
JP6189933B2
JP6189933B2 JP2015507093A JP2015507093A JP6189933B2 JP 6189933 B2 JP6189933 B2 JP 6189933B2 JP 2015507093 A JP2015507093 A JP 2015507093A JP 2015507093 A JP2015507093 A JP 2015507093A JP 6189933 B2 JP6189933 B2 JP 6189933B2
Authority
JP
Japan
Prior art keywords
shot
pattern
shots
charged particle
particle beam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015507093A
Other languages
English (en)
Other versions
JP2015515148A (ja
JP2015515148A5 (ja
Inventor
ペアマン,ライアン
パック,ロバート・シィ
晶 藤村
晶 藤村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
D2S Inc
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by D2S Inc filed Critical D2S Inc
Publication of JP2015515148A publication Critical patent/JP2015515148A/ja
Publication of JP2015515148A5 publication Critical patent/JP2015515148A5/ja
Application granted granted Critical
Publication of JP6189933B2 publication Critical patent/JP6189933B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

関連出願
この出願は、「可変成形ビームリソグラフィを用いて限界寸法均一性を改善する方法およびシステム(Method And System For Improving Critical Dimension Uniformity Using Variable Shaped Beam Lithography)」と題される、2012年4月18日に提出された米国仮特許出願第61/625,932号からの優先権を主張し;1)2013年4月15日に提出された、「荷電粒子ビームリソグラフィを用いてパターンを形成する方法およびシステム(Method and System For Forming Patterns Using Charged Particle Beam Lithography)」と題されるFujimuraの米国特許出願第13/862,471号;2)2013年4月15日に提出された、「荷電粒子ビームリソグラフィを用いてパターンを形成する方法およびシステム(Method and System For Forming Patterns Using Charged Particle Beam Lithography)」と題されるFujimuraの米国特許出願第13/862,472号;3)2013年4月15日に提出された、「荷電粒子ビームリソグラフィを用いてパターンを形成する方法およびシステム(Method and System For Forming Patterns Using Charged Particle Beam Lithography)」と題されるFujimuraの米国特許出願第13/862,475号;4)2013年4月15日に提出された、「荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム(Method And System For Critical Dimension Uniformity Using Charged Particle Beam Lithography)」と題される米国特許出願第13/862,476号;および5)「光学リソグラフィを用いて製造される基板上における像の最適化のための方法およびシステム(Method And System For Optimization Of An Image On A Substrate To Be Manufactured Using Optical Lithography)」と題される、2013年3月21日に公開された米国特許公開第2013/0070222号に関連し;それらのすべてをすべての目的のためにここに引用により援用する。
開示の背景
集積回路といった半導体装置を作製または製造する際、光学リソグラフィを用いて半導体装置を製造することができる。光学リソグラフィとは、レチクルから製造されたリソグラフィマスクまたはフォトマスクを用いてパターンを半導体またはシリコンウェハなどの基板に転写して集積回路(I.C.)を作成する印刷処理である。他の基板は、フラットパネルディスプレイ、ホログラフィマスクまたは他のレチクルをも含むことができる。従来の光学リソグラフィは193nmの波長を有する光源を用いるが、極紫外線(EUV)またはX線リソグラフィも本願においては光学リソグラフィの一種であると考えられる。1つのレチクルまたは複数のレチクルは、集積回路の個別の層に対応する回路パターンを含むことができ、このパターンを、フォトレジストまたはレジストとして知られている放射感応材の層で被覆された基板の特定領域上に結像できる。パターン化された層が転写されると、その層はエッチング、イオン注入(ドーピング)、金属化、酸化、および研磨といったさまざまな他の処理を受ける。これらの処理を用いて基板に個別の層を仕上げる。複数の層が必要である場合、その処理全体またはその変形などがそれぞれの新しい層に対して繰返される。最終的には、複数の装置または集積回路の組合せが、基板上に存在することになる。これら集積回路は、ダイシングまたはソーイングにより互いに分離され、個々のパッケージに取付けられてもよい。より一般的な場合、基板上のパターンを用いて、ディスプレイ画素、ホログラム、誘導自己組織化(DSA)ガードバンド、または磁気記録ヘッドといった加工品を規定してもよい。従来の光学リソグラフィ書込装置は、典型的には、光学リソグラフィプロセスの際フォトマスクパターンを4分の1に減少させる。したがって、レチクルまたはマスクに形成されるパターンは、基板またはウェハ上の所望のパターンの大きさよりも4倍大きくなければならない。
集積回路といった半導体装置の作製または製造において、非光学的方法を用いてリソグラフィマスク上のパターンをシリコンウェハなどの基板に転写することもできる。このような非光学リソグラフィプロセスの一例はナノインプリントリソグラフィ(NIL)である。ナノインプリントリソグラフィにおいては、リソグラフィマスクを表面に接触させることにより、リソグラフィマスクパターンが表面に転写される。
荷電粒子ビームリソグラフィの2つの一般的な種類として、可変成形ビーム(VSB)およびキャラクタ投影(CP)がある。これらは、両方とも成形ビーム荷電粒子ビームリソグラフィの下位カテゴリであり、精密な電子ビームが成形されて動かされ、ウェハの表面またはレチクルの表面といった、レジストが被覆されている表面を露光する。VSBにおいて、これらの形は単純な形であり、通常は、特定の最小および最大サイズを有し、側辺がデカルト座標面の軸に平行である矩形(すなわち「マンハッタン」配向)、ならびに特定の最小および最大サイズを有する45度の直角三角形(すなわち3つの内角が45度、45度および90度である三角形)に限定されている。特定の場所において、電子の線量がこれら単純な形でレジストに射出される。この種のシステムでの合計書込時間は、そのショット数に応じて長くなる。キャラクタ投影(CP)において、システムにステンシルが使用され、ステンシルは中にさまざまなアパーチャやキャラクタを有し、その形は直線の、任意の角度の付いた線形の、円形の、略円形の、環状の、略環状の、楕円形の、略楕円形の、部分的に円形の、部分的に略円形の、部分的に環状の、部分的に略環状の、部分的に略楕円形の、または任意の曲線の、複雑な形であり得、さらに接続された一組の複雑な形、または接続された一組の複雑な形の繋がってない複数の組のグループであり得る。電子ビームはステンシルにあるキャラクタを通って射出されて、レチクル上により複雑なパターンを効率よくもたらす。理論的に、このようなシステムはVSBシステムよりも速く、なぜなら、各々の時間のかかるショットにおいてより複雑な形を射出することができるからである。こうして、VSBシステムで射出されたE字型のパターンは4回のショットが必要であるのに対して、同じE字型のパターンはキャラクタ投影システムでは1回のショットで射出することができる。VSBシステムはキャラクタ投影の特殊な(簡単な)事例であると考えることができ、キャラクタは簡単なキャラクタだけ、通常は矩形または45−45−90度の三角形である。さらに、あるキャラクタを部分的に露光することもできる。これは、たとえば粒子ビームの一部をブロックすることによって行なうことができる。たとえば、上記のE字型のパターンは、ビームの異なる部分をアパーチャによって遮断することにより、F字型のパターンまたはI字型のパターンとして部分的に露光することができる。これはVSBを用いてさまざまな大きさの矩形を射出できるのと同じ原理である。本開示において、部分的投影はキャラクタ投影およびVSB投影の両方を意味するように用いられる。成形ビーム荷電粒子ビームリソグラフィは、単一の成形ビームを用いてもよく、または単一の成形ビームより速い書込速度を生じさせ、同時に表面を露光する、複数の成形ビームを用いてもよい。
上記のように、リソグラフィにおいて、リソグラフィマスクまたはレチクルは、基板に集積する回路コンポーネントに対応する幾何学的パターンを含む。レチクルを製造するために用いられるパターンは、コンピュータ支援設計(CAD)ソフトウェアまたはプログラムを用いて生成することができる。これらパターンを設計する際、CADプログラムはレチクルを製造するために一連の所定のデザインルールに従い得る。これらのルールは加工、設計、および最終用途制限事項によって設定される。最終用途制限事項の一例は、規定の供給電圧では十分に動作できない態様でトランジスタのジオメトリを規定することである。特に、デザインルールは回路装置または配線間の間隔公差を規定することができる。デザインルールは、たとえば回路装置または配線が不所望な態様で互いに影響しないようにするために用いられる。たとえば、デザインルールを用いて、配線が短絡を引起さないように、互いに近くあり過ぎないようにする。デザインルールの制限は、とりわけ確実に製造できる最小寸法を反映する。この最小寸法に言及する場合、通常は限界寸法の概念が導入される。これらは、たとえば1本の配線の最小幅、または2本の配線間の最小間隔として規定され、このような寸法は微妙な制御を必要とする。
光学リソグラフィによる集積回路の製造の1つの目標は、レチクルを用いて当初の回路デザインを基板上に再現することである。集積回路の製造者は常にできるだけ有効に半導体ウェハの領域を使用することを試みる。技術者は回路の大きさをできるだけ縮小して集積回路がより多くの回路素子を含み、かつ消費電力を減らすようにしている。集積回路の限界寸法の大きさが小さくなり、回路密度が増加すると、回路パターンまたは物理的デザインの限界寸法は、従来の光学リソグラフィで用いられる光学露光ツールの解像限界に近づく。回路パターンの限界寸法がより小さくなって露光ツールの解像度に近づくと、物理的デザインをレジスト層上で現像される実際の回路パターンに正確に転写することは困難になる。光学リソグラフィをさらに用いて、光学リソグラフィプロセスで用いられる光の波長よりも小さいフィーチャを有するパターンを転写するために、光近接効果補正(OPC)として知られる処理が開発された。OPCは光回折およびフィーチャが近接したフィーチャと光学的に相互作用するといった作用によって引起される歪みを補償するために、物理的デザインを変える。OPCはレチクルで行なわれる解像度向上技術すべてを含む。
OPCはサブ解像度リソグラフィックフィーチャをマスクパターンに加えて、当初の物理的デザインパターン、すなわちデザインと、基板に転写された最終回路パターンとの差を減らす。サブ解像度リソグラフィックフィーチャは、物理的デザインの当初のパターンと相互作用し、およびフィーチャ同士で相互作用し、近接効果を補償して、最終の転写された回路パターンを向上させる。パターンの転写を向上するために用いられる1つのフィーチャは、サブ解像度アシストフィーチャ(SRAF)である。パターン転写を向上させるために加えられる別のフィーチャは「セリフ」と呼ばれる。セリフはパターンの内側または外側の角に位置付けることができる小さなフィーチャであって、最終の転写された像の角を先鋭にする。SRAFでの表面製造処理に求められる精度は、しばしばメインフィーチャと呼ばれる、基板上に印刷するよう意図されるパターンに求められる精度よりも低い。セリフはメインフィーチャの一部である。光学リソグラフィの限界がサブ波長制度に大きく拡張されるにつれ、より微妙な相互作用および作用を補償するために、OPCフィーチャはますます複雑にならなければならない。結像システムがその限界近くになるにつれ、十分に微細なOPCフィーチャを有するレチクルを作成できることは重要となる。セリフや他のOPCフィーチャをマスクパターンに加えることは有利であるが、これはマスクパターンでの総フィーチャ数を実質的に増加させる。たとえば、従来の技術を用いて正方形の各角にセリフを加えることは、マスクまたはレチクルパターンにさらに8個の矩形を加えることになる。OPCフィーチャを加えることは労力のいる作業であり、貴重な演算時間を必要とし、結果的にはレチクルは高価なものになる。OPCパターンは複雑であるばかりでなく、光近接効果は最小のラインアンドスペース寸法と比べて長距離のものなので、所与の場所での正確なOPCパターンは、近くに他のどのようなジオメトリがあるかに著しく依存する。こうして、たとえば線の端部は、レチクル上で何が近くにあるかに応じて、異なる大きさのセリフを有することになる。これは全く同じ形をウェハ上に製造することが目的であったとしても、同様である。このような若干の、しかし臨界的なばらつきは重要であり、他のものがレチクルパターンを形成できることを妨げていた。レチクルに書込まれるべきOPC装飾パターンは、デザインされたフィーチャ、すなわちOPC装飾前のデザインを反映するフィーチャと、セリフ、ジョグおよびSRAFを含み得るOPCフィーチャとに基づいて論じるのが従来的である。若干のばらつきとは何を意味するかを定量化すると、近傍同士のOPC装飾の典型的な若干のばらつきは、デザインされたフィーチャサイズの5%から80%であり得る。確認のために記載しているが、ここで言及しているのは、OPCのデザインのばらつきである。ラインエッジ粗さおよび角の丸みといった製造上のばらつきも、実際の表面パターンに存在することになる。これらのOPCばらつきが実質的に同じパターンをウェハ上にもたらす場合、ウェハのジオメトリは、たとえばトランジスタまたは配線などの、ジオメトリが実行するように設計される機能の詳細に依存する所定誤差内で同一であることが目標とされることを意味する。しかしながら、典型的な仕様はデザインされたフィーチャ範囲の2%から50%にある。ばらつきを引起す製造要因はたくさんあるが、全体の誤差のOPC成分は上記範囲内にあることが多い。サブ解像度アシストフィーチャといったOPCの形状は、さまざまなデザインルール、たとえば光学リソグラフィを用いてウェハに転写することができる最も小さいフィーチャのサイズに基づくルールに従う。他のデザインルールは、マスク製造処理によるものであるか、またはレチクル上にパターンを形成するためにキャラクタ投影荷電粒子ビーム書込システムが用いられているのなら、ステンシル製造処理によるものである。さらに、マスク上のSRAFフィーチャの精度の要件は、マスク上のデザインされたフィーチャの精度の要件よりも低いこともあり得る。処理ノードが小さくなるにつれ、フォトマスク上の最も小さいSRAFのサイズも小さくなる。たとえば、20nmロジック処理ノードでは、最も高い精度の層に対してはマスクに40nmから60nmのSRAFが必要である。
インバースリソグラフィ技術(ILT)はOPC技術の一種である。ILTは、レチクル上に形成すべきパターンが、シリコンウェハなどの基板上に形成されることが望まれるパターンから直接的に演算される工程である。これは、基板上の所望パターンを入力として用いて、光学リソグラフィプロセスを逆方向にシミュレーションすることを含み得る。ILT演算レチクルパターンは純粋に曲線、すなわち完全に非直線であり得、円形、略円形、環状、略環状、楕円形および/または略楕円形のパターンを含み得る。これらの理想的なILT曲線のあるパターンは従来技術を用いてレチクル上に形成することが困難かつ高価であるため、理想的な曲線のあるパターンの直線近似または直線化が用いられ得る。しかし、直線近似は理想的ILT曲線のあるパターンと比べて精度が低くなる。さらに、直線近似が理想的ILT曲線のあるパターンから作成されるのなら、全体の計算時間は理想的ILT曲線のあるパターンと比べて長くなる。本開示では、ILT、OPC、ソースマスク最適化(SMO)、および演算リソグラフィは同じ意味で用いられる用語である。
EUVリソグラフィでは、OPCフィーチャは概して必要ではない。したがって、レチクル上に製造されるパターンの複雑さは従来の193nm波長の光学リソグラフィでよりも少なく、ショット数低減は対応してそれほど重要ではない。EUVでは、しかしながら、マスク精度要件が非常に高く、なぜならば、典型的にはウェハ上のパターンのサイズの4倍であるマスク上のパターンは十分に小さく、それらは、電子ビームのような荷電粒子ビーム技術を用いて精密に形成するのが挑戦的であるからである。
光学リソグラフィまたは荷電粒子ビームリソグラフィの使用を含めて、レチクル上にパターンを形成するために用いられるいくつかの技術がある。最も一般的に使用されるシステムは、可変成形ビーム(VSB)であり、上記のように、マンハッタン矩形および45度の直角三角形といった単純な形状により、電子の線量が、レジスト被覆レチクル表面を露光する。従来のマスク書込において、電子の線量またはショットは、どのようにしてレチクル上のレジストがパターンを描出するかの計算を著しく簡素化するように、可能な限り、重畳を回避するように、設計されている。同様に、ショットの組は、レチクル上に形成されるべきパターン領域を完全に被覆するように設計される。本特許出願の譲受人によって所有され、かつすべての目的で引用により援用される、米国特許第7,754,401号は、パターンを書込むために意図的なショット重畳が使用される、マスク書込方法を開示する。重畳ショットが使用されると、荷電粒子ビームシミュレーションを用いて、レチクル上のレジストが描出するパターンを定めることができる。重畳ショットを用いることにより、パターンがより少ないショット数で書込まれることを可能にし得る。米国特許第7,754,401号は、線量変更の使用も開示し、ショットの割当てられた線量は、他のショットの線量と異なる。モデルに基づくフラクチャリングという用語は、米国特許第7,754,401号の技術を使用して、ショットを定めるプロセスを説明するために用いられる。
最も高度な技術ノードのレチクルの書込は、典型的には、マルチパス露光と呼ばれる処理である、荷電粒子ビーム書込の複数のパスに係わり、レチクル上の所与の形状が書込まれ、さらに上書きされる。典型的に、レチクルを書込むために2回から4回のパスを用いて、荷電粒子ビームライタでの精度誤差を平均化し、それによりもっと正確なフォトマスクが作製可能となる。さらに、典型的に、線量を含むショットのリストは、どのパスでも同じである。マルチパス露光の1つの変形では、ショットのリストは露光パス間では変わり得るが、どの露光パスでのショットの集合も同じ領域を網羅する。マルチパス書込は、表面を被覆するレジストの過熱を低減することができる。マルチパス書込は、荷電粒子ビームライタのランダムエラーも平均化する。異なる露光パスに対して異なるショットリストを用いるマルチパス書込は、書込処理において特定のシステム系エラーの影響を減少させることもできる。
開示の概要
表面上にパターンを形成することが可能である荷電粒子ビームショットの組を判断し、パターンの限界寸法均一性(CDU)が、線量マージン、限界寸法分割(CD分割)低減、またはスライバ低減のような、少なくとも2つの要素を変動させることによって最適化される、マスクデータ準備(MDP)またはマスクプロセス補正(MPC)のための方法が開示される。
表面上にパターンを形成することが可能である荷電粒子ビームショットの組を判断し、ショット数を低減しながら限界寸法均一性(CDU)が維持され、モデルに基づく技術が用いられる、マスクデータ準備(MDP)またはマスクプロセス補正(MPC)のための方法が開示される。
入力されたショットリストにおけるショットが、それらショットが形成できるパターンの限界寸法均一性(CDU)を改善するように修正され、少なくとも2つの要素が変更される、マスクデータ準備(MDP)またはマスクプロセス補正(MPC)のための方法が開示される。
表面上にパターンを形成することが可能である荷電粒子ビームショットの組を判断し、パターンの限界寸法均一性(CDU)が最適化され、最適化はモデルに基づく技術を用いる、マスクデータ準備(MDP)またはマスクプロセス補正(MPC)のための方法が開示される。
入力されたショットリストにおけるショットが、それらショットが形成できるパターンの限界寸法均一性(CDU)を改善するように修正され、修正はモデルに基づく技術を用いる、マスクデータ準備(MDP)またはマスクプロセス補正(MPC)のための方法が開示される。
レチクル上にレチクルパターンを形成することが可能である荷電粒子ビームショットの組を判断し、レチクルは、基板上に基板パターンを形成するために、光学リソグラフィプロセスにおいて用いられることが可能であり、基板パターンはウェハ限界寸法を有し、ウェハ限界寸法均一性(CDU)が最適化され、最適化はモデルに基づく技術を用いる、マスクデータ準備(MDP)またはマスクプロセス補正(MPC)のための方法が開示される。
可変成形ビーム(VSB)荷電粒子ビームシステムの例を示す。 2つのレジストしきい値の各々に対する描出されたパターン幅を示す、断面線量グラフの一例を示す図である。 図2Aと類似しているが、より高い線量エッジ傾斜を有する断面線量グラフの一例を示す図である。 斜めの経路または軌道を形成することが可能であるショットの組の例を示す。 図3Aからのショットの組を用いて表面上に形成することができるパターンの一例を示す図である。 斜めの経路または軌道を形成することが可能である重畳ショットの組の例を示す。 図4Aからのショットの組を用いて表面上に形成され得るパターンの一例を示す図である。 斜めの経路または軌道を形成することが可能である非重畳VSBショットの組の例を示す。 図5Aの軌道と同様である斜めの経路または軌道を形成することが可能である重畳VSBショットの組の例を示す。 図5Aの軌道と同様である斜めの経路または軌道を形成することが可能である重畳VSBショットの組の別の例を示す。 図5Aの軌道と同様である斜めの経路または軌道を形成することが可能である重畳VSBショットの組の別の例を示す。 レチクル書込時間が構成間で一定である3つのショット構成に関する限界寸法(CD)確率のグラフを示す。 レチクル書込時間が構成間で変動する3つのショット構成に関する限界寸法(CD)確率のグラフを示す。 光学リソグラフィを用いて、シリコンウェハ上に集積回路などの基板を製造する際に用いられる、レチクルなどの表面を準備する例示の方法の概念的フロー図である。 先在するショットリストを最適化するための例示的な方法の概念的フロー図を示す。 先在するショットリストの検証のための例示的な方法の概念的フロー図を示す。
実施の形態の詳細な説明
本開示はリソグラフィに関し、より特定的には荷電粒子ビームリソグラフィを用いる、レチクル、ウェハ、または任意の他の表面であり得る表面のデザインおよび製造に関する。
同様の参照番号は同様の項目を示す図面を参照すると、図1は荷電粒子ビームライタシステムなどのリソグラフィシステムの実施の形態であって、ここでは電子ビームライタシステム10を示し、表面12を製造するために、可変成形ビーム(VSB)が用いられる。電子ビームライタシステム10は電子ビーム源14を有し、電子ビーム16をアパーチャ板18に投射する。板18はアパーチャ20が形成され、そこを電子ビーム16が通る。電子ビーム16がアパーチャ20を通過すると、レンズ系(図示されていない)によって電子ビーム22として方向付けまたは偏向されて、別の矩形のアパーチャ板またはステンシルマスク24に向けられる。ステンシル24にはいくつかの開口またはアパーチャ26が形成され、これらのアパーチャは矩形や三角形といったさまざまな単純な形状を規定する。ステンシル24に形成された各アパーチャ26を用いて、シリコンウェハ、レチクルまたは他の基板のような基板34の表面12においてパターンを形成してもよい。電子ビーム30はアパーチャ26の1つから出て、電磁気または静電縮小レンズ38を通過し、レンズ38は、アパーチャ26から出て来るパターンのサイズを低減する。一般に利用可能な荷電粒子ビームライタシステムでは、低減係数は10と60との間にある。低減された電子ビーム40は、低減レンズ38から出て、一連の偏向器42によって表面12上にパターン28として方向付けられる。表面12は、電子ビーム40と反応するレジスト(図示されていない)で被覆されている。電子ビーム22はアパーチャ26の可変部と重なるよう方向付けられてもよく、これはパターン28のサイズおよび形状に影響する。遮断板(図示せず)を用いて、ビーム16または成形ビーム22を偏向させて、各ショットの後、ビーム22を方向付けるレンズおよび偏向器42が次のショットのために再調整されている期間の間、電子ビームが表面12を到達するのを防ぐ。典型的には、遮断板は、電子ビーム16を偏向させて、それがアパーチャ20を照射するのを防ぐように位置決めされる。遮断期間は固定長の時間であってもよく、または、それは、たとえば、次のショットの位置のために偏向器42をどれほど再調整しなければならないかによって、変動してもよい。
電子ビームライタシステム10では、基板34は可動プラットフォーム32上に取付けられる。プラットフォーム32は基板34の位置を直すことを可能にし、それにより荷電粒子ビーム40の最大偏向能力またはフィールドサイズよりも大きいパターンを表面12に一連のサブフィールドにおいて書込むことができ、各サブフィールドはビーム40を偏向させる偏向器42の能力内にある。一実施の形態において、基板34はレチクルであり得る。この実施の形態において、レチクルはパターンで露光された後、さまざまな製造工程を経て、リソグラフィマスクまたはフォトマスクとなる。このマスクを光学リソグラフィ装置で用いて、一般にサイズが縮小されたレチクルパターン28の像をシリコンウェハに投影して、集積回路を作成する。より一般的に、マスクは別の装置または機器で用いられて、パターン28を基板に転写する(図示せず)。
図1は、単一ビーム40が表面12を照射する荷電粒子ビームシステムを示すが、いくつかの荷電粒子ビームライタは、独立して調節可能および位置決め可能であってもなくてもよい複数のビームで表面を同時に照射することが可能である。マルチビーム荷電粒子ビームライタは概して単一ビームライタより高速の書込速度を有する。いくつかのタイプのマルチビームライタは成形ビームであり、その一方で他のものでは、ビームは表面にわたって走査される。この開示のために、用語「ショット」は、成形単一ビームライタと同様に、成形ビームマルチビームライタおよび走査ビームマルチビームライタの両方のための露光情報を含む。
表面12上に妥当な精度で投影することができる最小サイズパターンは、電子ビームライタシステム10および通常は基板34においてレジストコーティングを含む表面12に伴う多様な短距離物理的効果によって制限されている。これらの効果は、前方散乱、クーロン効果、およびレジスト拡散を含む。βfとも称するビームぼけは、これら短距離効果すべてを含むために用いられる用語である。最新の電子ビームライタシステムは、20nmから30nmの範囲で有効ビームぼけ半径またはβを得ることができる。前方散乱は総ビームぼけの4分の1から2分の1を構成し得る。最新の電子ビームライタシステムは、ビームぼけの各構成片を最小に低減するためのさまざまな機構を含む。ビームぼけの成分の一部は粒子ビームライタの較正レベルの関数であるので、同じ設計の2つの粒子ビームライタのβは異なってもよい。レジストの拡散特性も変動してもよい。ショットサイズまたはショット線量に基づくβの変動は、シミュレーションされ、システムを介して明らかにされ得る。しかし、明らかにできないまたはされない他の影響もあり、それらはランダムな変動として現れる。
電子ビームライタシステムといった荷電粒子ビームライタのショット線量は、ビーム源14の強度および各ショットの露光時間の関数である。典型的に、ビーム強度は名目上固定されたままであり、露光時間を変えて可変なショット線量を得る。露光時間は、近接効果補正(PEC)と呼ばれる処理において、後方散乱、フォギングおよびローディング効果といったさまざまな長距離効果を補償するために変えることができる。電子ビームライタシステムは一般に全体の線量であって、ベース線量と呼ばれる線量の設定を可能にし、これは露光パスでのすべてのショットに影響する。一部の電子ビームライタシステムは、自己のシステム内で線量補償計算を行ない、入力ショットリストの一部として各ショットの線量が個別に割当てられることを可能にしないので、入力ショットのショット線量は割当てられていない。このような電子ビームライタシステムでは、すべてのショットはPECの前、ベース線量を有する。他の電子ビームライタシステムは、ショットごとの明示的な線量の割当てを可能にする。ショットごとの線量の割当てを可能にする電子ビームライタシステムでは、利用可能な線量のレベル数は64から4096以上であるか、または相対的に利用できる線量レベルが少なく、たとえば3から8レベルであり得る。走査型マルチビームシステムに対しては、線量調整は、表面を複数回走査することによって行なわれてもよい。
従来、ショットは矩形のショットで入力パターンを完全に網羅するよう設計されているが、できるだけショットの重畳を避ける。さらに、すべてのショットは標準の線量を有するよう設計されており、その線量は、相対的に大きい矩形のショットが、長距離効果がない場合には、ショットサイズと同じサイズのパターンを表面上にもたらすものである。いくつかの電子ビームライタシステムは、ショットを露光パス内で重畳させないことによって、この方法を実施する。
露光する際、たとえば荷電粒子ビームリソグラフィを用いて表面上に反復パターンを露光する際、最終の製造された表面で測定される、各パターンインスタンスのサイズは、製造のばらつきにより少し異なる。サイズのばらつき量は、必須の製造最適化基準である。現行のマスクによるマスキングでは、パターンサイズとして1nm(1シグマ)未満の二乗平均平方根(RMS)のばらつきが望ましい。サイズのばらつきがこれ以上大きくなると回路性能が変動することとなり、より高い設計マージンが必要となって、より高速で消費電力の低い集積回路を設計するのがますます困難になる。この変動は、限界寸法(CD)変動と呼ばれる。低いCD変動が望まれ、低ければ製造変動があっても最終の製造された表面上には相対的に小さなサイズのばらつきとなることを示す。縮小した規模では、高いCD変動の影響は、ラインエッジ粗さ(LER)として観測され得る。LERはラインエッジの各々の部分が少し異なって製造されることによって引起され、まっすぐなエッジを有するよう意図される線に何らかのうねりをもたらす。CD変動はとりわけレジストしきい値において線量曲線の傾斜に反比例し、これはエッジ傾斜と呼ばれる。したがって、エッジ傾斜または線量マージンは、表面の粒子ビーム書込には重要な最適化ファクタである。本開示において、エッジ傾斜および線量マージンは同じ意味で用いられる用語である。
従来のフラクチャリングでは、ショットの重畳、ギャップまたは線量の変更がなければ、書込まれた形状の線量マージンは変更不可能であると考えられる。すなわち、フラクチャリングのオプションを選択することによって線量マージンを改良する機会はない。現在の実務では、スライバと呼ばれる非常に狭いショットを避けることは、線量マージンのショットリストを最適化するのに役立つ実務的なルールベースの方法の一例である。
重畳するショットおよび線量が変更されたショットが生成できるフラクチャリング環境では、線量マージンを最適化する必要があり、また最適化する機会がある。ショットの重畳および線量変更を用いることによって得られるショットの組合せの付加的柔軟性により、目標マスク形状を表面上に生成できるようなフラクチャリングの解決手法の生成が可能になるが、これは完全な製造条件下でしかできない。したがって重畳ショットおよび線量変更ショットを用いることは、線量マージンおよびその改良の問題に対処する動機をもたらす。
図2Aおよび図2Bは、露光または線量曲線に相対的に高いエッジ傾斜をもたらすようにレジスト上のパターンを露光することによってどのように限界寸法変動を低減できるかを示し、これは「荷電粒子ビームリソグラフィを用いて精度の高いパターンを形成するための方法およびシステム(Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography)」と題される、2012年4月19日に出願された米国特許公開第2012/0096412号などに記載されているものであり、これをすべての目的で引用によりここに援用する。図2Aは断面線量曲線202を示し、x軸はパターンの2つのエッジに垂直な距離といった、露光パターンを通る断面距離を示し、y軸はレジストが受けた線量を示す。受けた線量がしきい値よりも高いレジストによってあるパターンが描出される。2つのしきい値が図2Aに示され、レジスト感度の変動の影響を示す。より高いしきい値204では、幅214のパターンがレジストによって描出される。より低いしきい値206では、幅216のパターンがレジストによって描出され、幅216は幅214よりも大きい。図2Bは別の断面線量曲線222を示す。2つのしきい値が示され、しきい値224は図2Aのしきい値204と同じであり、しきい値226は図2Aのしきい値206と同じである。線量曲線222の傾斜は、線量曲線202の傾斜よりも、2つのしきい値の近くではより高い。線量曲線222において、より高いしきい値224では、幅234のパターンがレジストによって描出される。より低いしきい値226では、幅236のパターンがレジストによって描出される。見てわかるように、幅236と幅234との間の差は、線量曲線202と比べて線量曲線222のエッジ傾斜がより高いので、幅216と幅214との間の差よりも小さい。レジスト被覆表面がレチクルであるのなら、レジストしきい値の変動に対するより低い感度の曲線222により、レチクルから製造されたフォトマスク上のパターン幅は、フォトマスク用の目標パターン幅により近くなり、それによりフォトマスクを用いてパターンをシリコンウェハなどの基板に転写する場合に、使用可能な集積回路の歩留まりを上げる。各ショットの線量の変動に対する許容差の同様の向上も、より高いエッジ傾斜を有する線量曲線で見られる。したがって、線量曲線222のような相対的に高いエッジ傾斜を達成することが望ましい。
上記のように、処理の変動はフォトマスク上のパターンの幅が意図されるまたは目標の幅と異なることを引起し得る。フォトマスク上のパターン幅の変動は、光学リソグラフィプロセスでフォトマスクを用いて露光されたウェハ上にパターン幅の変動を引起す。フォトマスクパターン幅の変動に対するウェハパターン幅の感度は、マスクエッジ誤差係数、すなわちMEEFと呼ばれる。4×のフォトマスクを用いた光学リソグラフィシステムであって、光学リソグラフィプロセスにおいてフォトマスクパターンの4分の1の縮小版をウェハに投影するシステムでは、たとえば1のMEEFとは、フォトマスク上のパターン幅に1nmの誤差があれば、ウェハ上のパターン幅は0.25nm変わることを意味する。2のMEEFとは、フォトマスクパターン幅の1nmの誤差に対して、ウェハ上のパターン幅は0.5nm変わることを意味する。最も小さい集積回路の処理では、MEEFは2よりも大きくあり得る。
図3Aは、斜めの経路または軌道を形成する従来の非重畳VSBショットの組300の例を示す。ショットの組300は、ショット302、ショット304、ショット306、ショット308、ショット310、ショット312、ショット314、ショット316、ショット318およびショット320からなる。ショットは、付近のショットと当接するが、重ならない。図3Bは、ショットの組300が表面において形成してもよいパターン352を示す。パターン352は太い破線として示される。荷電粒子ビームシミュレーションを用いてショットの組300から経路352を計算してもよい。理解することが可能であるように、パターン352は、角丸み付けを示す。パターン352のCDは、その垂直の幅であり、それは経路の最長寸法と垂直な方向における寸法である。一般に測定されるように、パターン352のような変動する幅パターンのCDは、その平均寸法354であると考慮される。理解することが可能であるように、経路352の垂直の幅は、単一のショットによってはどこにも判断されない。たとえば、線330に沿って、ショット306および308はCDを決定し、それによって、線330に沿って分割されたCDを形成する。したがって、経路352はその全長に沿って分割されたCDの影響の対象である。
図4Aは、本開示の別の実施の形態に従って、表面において線形の非マンハッタン軌道を形成する5つの重畳VSBショットからなる組ショット400の例を示す。ショットの組400は、ショット402、ショット404、ショット406、ショット408およびショット410からなる。軌道における内部のショット−ショット404、406および408−に関して、最も近い近隣のショットのx−オフセットおよびy−オフセットは一様であり、近隣のショット間において一様な重畳を形成する。図4Bは、図4Aのショットの組を、ショットの組400がレチクルのような表面上に形成する、破線で示されたパターン420とともに示す。パターン420の限界寸法432は、あるCD分割を有し、なぜならば、限界寸法432は2つのショットによって形成されるからである。限界寸法434はCD分割を有さず、なぜならば、限界寸法434は1つのショットのみによって形成されるからである。重畳ショットで、ショットサイズおよび重畳の量を変動させて、異なる量のCD分割を達成することが可能である。
図5A〜5Dは、さまざまなショットサイズおよび重畳がレチクルのような表面において異なるパターン特性を生じさせる線形非マンハッタン軌道を形成することが可能であるショットの4つの例を示す。図5A〜5Dでは、軌道の縁部は、軌道の長さの大部分に関しておおよそ平行である。軌道は少なくとも1つの幅および角度によって記述されてもよい。図5Aはショットの組510を示す。線分512は、ショットの組510によって形成される軌道の方向と垂直であり、軌道の頂部上の「ピーク」は軌道の底部上の「谷」と対応することを示すよう位置決めされる。この構成は、最小の線幅粗さ(LWR)で軌道を形成することが可能であるが、しかし、それは中程度のCD分割を有する。図5Bは、別のショットの組520を示す。線分522は、ショットの組520によって形成される軌道の方向と垂直であり、軌道の対向する縁部は単一のショットから形成され、最小のCD分割を生じさせることを示すよう位置決めされる。しかしながら、ショット520の組は、線分522のようなピークからピークへの位置決めおよび谷から谷への位置決めと対応する線幅のため、最大LWRを生じさせる。図5Cは、別のショットの組530を示す。線分532はショットの組530によって形成される軌道の方向と垂直である。線分532は、ショットの組530によって形成される軌道の上側縁部上の谷に位置決めされるが、軌道の底縁部上のピーク近くにある。ショットの組530は低いLWRを生じさせるが、中程度のCD分割を生じさせる。図5Dは、別のショットの組540を示す。線分542はショットの組540によって形成される軌道の方向と垂直である。ショットの組540のショットサイズおよびショット重畳は、最大のCD分割を生じさせ、ショットの組によって形成された軌道の至る所にCD分割がある。ショットの組540は中程度のLWRを生じさせる。
レチクル上に形成されるパターンのCDUは、荷電粒子ビームリソグラフィプロセスにおいて内在的なランダムな変動および体系的な変動を明らかにすることによって、より正確にモデル化されてもよい。1つの実施の形態では、モンテカルロ法を用いてこれらの変動を明らかにしてもよい。このように、線形軌道のようなパターンのCDUは、モンテカルロ解析を用いて確率論的に計算されてもよい。軌道のCDUは複数の独自のモンテカルロシミュレーションに基いて判断されてもよく、各シミュレーションごとに、軌道を含む各ショットは、1つ以上の製造パラメータにおいて変動を受ける。各独自のモンテカルロシミュレーションごとに、変動されたショットの組からのレチクル像が計算される。各計算されたレチクル像ごとに、パターンCDが測定される。その測定は、レチクル上の製造されたパターンの物理的な走査電子顕微鏡(SEM)測定を行なうのに一般に用いられる技術と同様の技術が用いられてもよい。各シミュレーションが測定されたCDを有する、複数の独自のモンテカルロシミュレーションからの結果として生じる測定値の集団は、CD分布を含む。VSB、CPまたは組み合わせたVSBおよびCPショットの群が、このように解析されてもよい。
図6は、軌道を形成するよう用いられてもよいさまざまなショット構成を用いて、CD分布グラフ600の例を示す。この例では、軌道は、軌道が100nmの公称のデザイン幅を有する、非マンハッタン線形軌道である。3つのショット構成が解析された:
・曲線610:軌道の角度および100nmの幅に基づく従来的に計算されたショット寸法を用いる、従来の非重畳VSBショット。
・曲線612:重畳VSBショット。ある重畳VSBショットの構成が、モデルに基づく技術を用いて決定され、このショット構成は100nmの軌道を形成することが可能であり、ショットからショットへの間隔およびしたがってショット数は上記の従来の非重畳ショット構成と同じである。
・曲線614:重畳円形キャラクタ投影ショット。100nmの軌道を形成することが可能であり、ショットからショットへの間隔およびしたがってショット数が上記の従来の非重畳ショット構成と同じである、円形のショット構成が決定された。
この実験では、3つのショット構成はすべて、製造ばらつきの影響が加えられる前に、同じ事前PEC線量を用いた。他の実施の形態では、異なる割当てられた線量でのショットが、結果としての線量マージン変動とともに、さらに可能である。加えて、他の実施の形態では、スライバ低減を変動させてもよい。次いで、モンテカルロ技法を用いて、CDUに対する製造ばらつきの影響が、各ショット構成を用いて形成された軌道に対して計算された。2つのパラメータが変更された:
・ショット線量は、通常の線量の5%のシグマで、正規分布を用いて変更された。
・各ショットの位置は、1.5nmのシグマで、正規分布を用いて変更された。CD分割が存在するショット構成に関して、変動するショット位置は、CD分割の影響をモデル化する。
いくつかの実施の形態では、図6の実験ではそうではなかったが、ショットサイズを変更することも考えられる。サイズ変動は、幅、高さ、または幅および高さ共、のような要素を含み得る。図6は、グラフ600においてこの実験の結果を示し、曲線610、612および614に関して上に挙げられたショット構成を用いて形成された線形非マンハッタン軌道に対するCDの確率分布を示す。グラフ600のX軸はCDであり、100.0nmはデザイン幅である。グラフ600のy軸は条件付確率である。すべてのCD確率の和が1であるので、3つの曲線の各々の下の領域は等しい。各CD曲線の1−シグマは次のとおりである:
・曲線610:0.49nm
・曲線612:0.33nm
・曲線614:0.32nm
加えて、さまざまなショット構成のLWRは次のとおりである:
・従来の非重畳ショット(曲線610):4.13nm
・最適化された重畳VSBショット(曲線612):2.83nm
・最適化された重畳円形CPショット(曲線614):2.70nm
この例における重畳ショットの使用は、従来のショットと比較して、CDUおよびLWRが両方とも改善され得ることを示す。さらに、円形のCPショットの使用はVSBショットの使用より多くの改善を与え得る。同じショットからショットへの間隔を用いる重畳VSBショットの他の組は、CD分布612よりさらによいCDUを示し得る。同様に、同じショットからショットへの間隔を用いるCPショットの他の組は、CD分布614よりさらによいCDUを示し得る。
同様の技術を用いて、曲線から成る軌道のCDUを計算し、より一般的なレチクルパターンの寸法の可変性を計算してもよい。用語「限界寸法」は一般に軌道にのみ適用されるが、同様の用語「ウェハ限界寸法」は物理的デザインにおいて任意のパターンの所望の寸法を指す。CDUの最適化に関して述べられた技術は、ウェハ限界寸法均一性を最適化するように用いることも可能である。
図6の実験では、ショットからショットへの間隔は、さまざまなショット構成において一定である。一般には、しかしながら、ショットからショットへの間隔は、変動してもよい。たとえば、ショットからショットへの間隔が増大される場合、ショットの数は減少し、したがって、レチクル上にパターンを書込むのに必要な時間は減少する。図7は、従来のショットの組および重畳ショットの2つの構成に対するCD分布を示す:
・曲線710は、従来の非重畳VSBショットの組に対するCD分布を示す。6シグマCDUは4.8nmである。
・曲線712は、重畳VSBショットのCDU最適化された組に対するCD分布を示し、書込時間は従来の非重畳ショットに対してと同じである。6シグマCDUは3.2nmである。
・曲線714は、重畳VSBショットのCDU最適化された組に対するCD分布を示し、書込時間は、従来の非重畳ショットに対する書込時間の70%である。6シグマCDUは4.8nmである。
図6の実験におけるように、ショット線量およびショット位置における製造ばらつきが、この実験において計算された。この実験では、3つのショット構成はすべて、製造ばらつきの影響が加えられる前に、同じ事前PEC線量を用いた。他の実施の形態では、異なる割当てられた線量でのショットが、結果としての線量マージン変動とともに、さらに可能である。図7で示されたCDU分布は、重畳ショットを用いることによって可能にされる柔軟性によって、書込時間を維持しながら、CDUが従来の非重畳ショットよりも改善されるのを可能にするか、または、CDUを維持しながら書込時間が低減されることを可能にすることを示す。他の解決策は、これらの2つの解決策の間に見出されてもよく、CDUおよび書込時間が同時に改善される。
上記の実験では、独自のモンテカルロシミュレーションにおけるショットの各組ごとに、レチクル像が、荷電粒子ビームシミュレーションを用いて計算されてもよい。荷電粒子ビームシミュレーションによって用いられるマスクモデルに含まれ得る影響は、前方散乱、後方散乱、レジスト拡散、クーロン効果、エッチングおよびフォギング、ローディング、レジスト帯電を含む。
上記の実験では、レチクルまたはフォトマスク上のCD分布が計算された。他の実施の形態では、ダブルシミュレーションが用いられてもよく、基板空間像が、シミュレーションされたレチクル像を用いた光学リソグラフィプロセスを用いて計算される。リソグラフィシミュレーションを用いて基板空間像を計算してもよい。ダブルシミュレーションは、この開示の関連出願セクションにおいてリスト化された米国特許出願に開示される。
図8は、シリコンウェハのような基板上に、本開示の別の実施の形態に従って、光学リソグラフィを用いて、パターンを形成するための概念的フロー図800である。第1のステップ802において、物理的デザイン、たとえば集積回路の物理的デザインが設計される。これは論理ゲート、トランジスタ、金属層、および物理的デザインにあるべき他の項目、たとえば集積回路の物理的デザインなどを含み得る。次に、ステップ804で、OPCが、物理的デザイン802におけるパターン上、または物理的デザインにおけるパターンの一部上で行なわれて、マスクデザイン806を形成する。OPCステップ804は、リソグラフィおよび基板処理効果を組込むが、荷電粒子ビーム露光およびレチクル処理効果は組込まない基板モデル840を用いる。基板処理効果は、たとえば、基板レジストベークおよび基板レジスト現像を含んでもよい。いくつかの実施の形態では、OPCはインバースリソグラフィ技術(ILT)を含んでもよい。ステップ808で、マスクデザイン806は、荷電粒子ビームショットの組、ショットリスト810にフラクチャリングされる。ショットは重畳してもよい。いくつかの実施の形態では、ショットはVSBショットになる。他の実施の形態では、ショットはCPショットまたはVSBショットとCPショットとの組合せになる。マスクデータ準備(MDP)最適化808は、1つ以上の潜在的なショット構成に関してCDUを計算することを含んでもよく、1つ以上の製造パラメータを変動させることによってCD分布を計算することを含んでもよい。1つの実施の形態では、モンテカルロ解析を用いてCD分布を計算してもよい。MDP808は、さらに、入力としてマスクモデル842を用いる。マスクモデル842に含まれてもよい物理的現象は、前方散乱、後方散乱、クーロン効果、フォギング、レジスト帯電、ローディング、レジストベーク、レジスト現像、およびレジストエッチングを含む。いくつかの実施の形態では、MDP808は、基板モデル840を用いて、基板上で空間像を計算するために、リソグラフィシミュレーションを用いてもよい。基板モデル840は、光学リソグラフィ効果を含んでもよく、さらに、レジストベークおよびレジスト現像のようなレジスト効果を含んでもよい。MDP808はマスク像826上のCDUの最適化を含んでもよく、および/または基板上の空間像CDUの最適化を含んでもよい。MDP808は、ショット構成ライブラリ844から予め演算されたショットの組を入力してもよい。ショット構成ライブラリ844は、所与のパターン形状および構成に対して使用するショットアスペクト比を指定する参照テーブルを含んでもよい。MDP808はマスクプロセス補正(MPC)を含んでもよい。MDP808はショットリスト810を出力する。
近接効果補正(PEC)改善ステップ820において、ショットリスト810におけるショットのショット線量は、長距離効果を明らかにするよう調整されるが、それは、後方散乱、ローディング、フォギング、およびレジスト帯電を含んでもよい。PEC改善820の出力は調整された線量を伴う最終ショットリスト822である。調整された線量を伴う最終ショットリスト822はマスク書込ステップ824において表面を生成するために用いられるが、これは電子ビームライタシステムなどの荷電粒子ビームライタを用いる。用いられている荷電粒子ビームライタのタイプによっては、PEC改善820は荷電粒子ビームライタによって実行されてもよい。マスク書込ステップ824は、単一露光パスまたは複数露光パスを含んでもよい。電子ビームライタシステムは、レジストでコーティングされた表面上に電子ビームを投射して、ステップ826において示されるように、表面上にパターンを含むマスク像を形成する。付加的処理ステップ(図示せず)の後に、次いで、完成した表面は、ステップ828において示される光学リソグラフィ装置において用いられて、シリコンウェハのようなレジストでコーティングされた基板を露光して、レジスト上に潜像830を形成してもよい。次いで、露光された基板は、レジストベークおよびレジスト現像のようなさらなるレジスト処理ステップ832を経て、基板上にパターン834を形成する。
図9は、先在するショットリストの最適化のための概念的フロー図900である。フロー900は、所望のレチクルパターン902および予備的ショットリスト904で始まり、予備的ショットリスト904は所望のレチクルパターン902をおおよそ形成することが可能である。MDPステップ910は予備的ショットリスト904においてショットを修正して、所望のレチクルパターン902を予備的ショットリスト904より正確に形成することが可能である、結果として生じる最適化されたショットリスト912を形成する。最適化されたショットリスト912におけるショットは、重畳してもよく、異なる割当てられた線量を有してもよい。MDP/ショット最適化910は、1つ以上の潜在的なショット構成に関してCDUを計算することを含んでもよく、1つ以上の製造パラメータを変動させることによってCD分布を計算することを含んでもよい。1つの実施の形態では、モンテカルロ解析を用いてCD分布を計算してもよい。MDP/ショット最適化910は、さらに、最終のマスクモデル906を入力として用いる。最終のマスクモデル906に含まれてもよい物理的現象は、前方散乱、後方散乱、クーロン効果、フォギング、レジスト帯電、ローディング、レジストベーク、レジスト現像、およびレジストエッチングを含む。いくつかの実施の形態では、MDP/ショット最適化910は、基板モデル908を用いて、基板上で空間像を計算するために、リソグラフィシミュレーションを用いてもよい。基板モデル908は、光学リソグラフィ効果を含んでもよく、さらに、レジストベークおよびレジスト現像のようなレジスト効果を含んでもよい。CD分布がいつ計算されるかのようないくつかの実施の形態において、荷電粒子ビームおよび/またはリソグラフィシミュレーションを、製造ばらつきを表すような複数の条件に対して実行してもよい。これらの実施の形態の1つでは、最適化は製造ばらつきの影響を組込む評点を計算することを含んでもよい。MDP/ショット最適化910はマスク像920上のCDUの最適化を含んでもよく、および/または基板上の空間像CDUの最適化を含んでもよい。MDP910はさらにマスクプロセス補正(MPC)を含んでもよい。近接効果補正(PEC)改善ステップ914において、最適化されたショットリスト912におけるショットのショット線量は、長距離効果を明らかにするよう調整されるが、それは、後方散乱、ローディング、フォギング、およびレジスト帯電を含んでもよい。PEC改善914の出力は、最終ショットリスト916である。最終ショットリスト916はマスク書込ステップ918において表面を生成するために用いられるが、これは電子ビームライタシステムなどの荷電粒子ビームライタを用いる。用いられている荷電粒子ビームライタのタイプによっては、PEC改善914は荷電粒子ビームライタによって実行されてもよい。マスク書込ステップ918は、単一露光パスまたは複数露光パスを含んでもよい。電子ビームライタシステムは、ステンシルを介して表面上に電子ビームを投射して、ステップ920において示されるように、表面上にパターンを含むマスク像を形成する。さらなる処理ステップ(図示せず)の後に、次いで、完成した表面は、ステップ922において示される光学リソグラフィ装置において用いられて、シリコンウェハのようなレジストでコーティングされた基板を露光して、レジスト上に潜像924を形成してもよい。次いで、露光された基板は、レジストベークおよびレジスト現像のようなさらなるレジスト処理ステップ926を経て、基板上にパターン928を形成する。
フロー900は、たとえば、予備的ショットリスト904が形成されてから、レチクル処理ステップが変化して、マスクモデル変更を引起し、それが予備的ショットリスト904においてショットの再最適化を必要とするときに、有用であってもよい。
既存のショットリストを検証して、CDUが期待される製造ばらつきに照らして予め定められた許容差内にあるかどうかを判断してもよい。図10は、この技術の1つの実施の形態の概念的フロー図1000である。プロセスに対する入力は既存のショットリスト1004である。ショット検証ステップ1010においては、レチクルパターンが複数のショットリスト変動の各々に関して計算され、各ショットリスト変動におけるショットは製造ばらつきの影響を組込み、各レチクルパターンの計算も、製造ばらつきの影響を組込む。したがって、各計算されたレチクルパターンは異なるレチクル製造条件を表す。ステップ1010のレチクルパターン計算はマスクモデル1006を入力として用いる。CDが、各計算されたレチクルパターンごとに、1つ以上の位置で測定される。CDUが、複数の計算されたレチクルパターンの各々からのCDを用いて、各位置ごとに計算される。予め定められた許容差より大きいCDUは適合せず、例外レポート1012に出力される。いくつかの実施の形態では、ショット検証1010はダブルシミュレーションを含んでもよく、ウェハのような基板上の空間像が、リソグラフィシミュレーションを用いるなどして、各レチクルパターンごとに計算される。この場合、基板モデル1008もショット検証1010に入力される。ダブルシミュレーションを用いるこれらの実施の形態では、CDは各空間像の各測定位置で測定され、基板CDUは各測定位置ごとに各ショットリスト変動の空間像CDから計算される。
所与の情況に対して最小のCDUを生じさせるショット構成が予め計算されてもよく、このショット構成についての情報はテーブルに保存されてもよい。たとえば、ある情況は、X軸から40度の角度を付けられた、100nmの幅を伴う線形軌道であってもよく、それに対してショット構成が予め計算されることになる。軌道それ自体に加えて、情況は近くの環境を含んでもよい。この例では、環境はショットが生成されることになっている軌道の各側に複数の少なくとも5つの平行な100nm幅の軌道含み、隣接する軌道は100nmの空間によって分離されてもよい。所与の情況のための最善のショット構成を判断する際に、他のパラメータも考慮されてもよい。たとえば、ショット構成をレチクル上に露光し、レチクルを処理してフォトマスクを形成し、製造されたフォトマスクパターン寸法を測定し、これらの測定された寸法を計算されたパターン寸法と比較してもよく、計算および測定された寸法間の平均差は平均値対目標値(MTT)である。予め定められる値より下にMTTを有するショット構成のみがテーブルに保存されてもよい。
この開示において記載または言及される計算は、さまざまな態様で達成されてもよい。一般的に、計算は処理内方法、前処理方法、または後処理方法によって達成されてもよい。処理内計算は、その結果が必要なときに計算を行なうことを含む。前処理計算は、予め計算し、その結果を記憶して、後の処理工程で検索することを含み、特に何回も繰返される計算では、処理性能を向上させ得る。計算は、ある処理工程で延期させて、後の後処理工程で行なうこともできる。前処理計算の一例は、所与の情況に対して最小のCDUを生じさせるショット構成を予め計算すること、およびこのショット構成についての情報をテーブルに保存することである。前処理計算の別の一例はショットグループであり、これは所与の入力パターンまたは入力パターン特性の組に関連付けられる1つ以上のショットについての線量パターン情報の事前計算である。ショットグループおよび関連付けられている入力パターンは、事前計算ショットグループのライブラリ内に保存することができ、ショットグループをなすショットの組は、パターンを再度計算することなく、入力パターンの付加的インスタンスのために迅速に生成することができる。一部の実施の形態において、事前計算は、ショットグループがレジスト被覆表面にもたらす線量パターンのシミュレーションを含み得る。他の実施の形態において、ショットグループは、たとえばコレクトバイコンストラクション(correct-by-construction)技術を用いることによるなどして、シミュレーションを用いずに定めることができる。一部の実施の形態において、事前に計算されたショットグループは、ショットリストの形で、ショットグループライブラリ内に保存することができる。他の実施の形態において、事前計算されたショットグループは、コンピュータコードの形で保存することができ、これは特定の種類の入力パターン向けのショットを生成することができる。さらに他の実施の形態において、複数の事前計算されたショットグループはテーブルの形で保存することができ、表の入力はパターン幅といったさまざまな入力パターンまたは入力パターン特性に対応し、各テーブル入力は、ショットグループのショットのリスト、または適切なショットの組をどのように生成するかについての情報を提供する。さらに、異なるショットグループは、ショットグループライブラリにおいて異なる形で保存することもできる。一部の実施の形態において、所与のショットグループが作成できる線量パターンも、ショットグループライブラリに保存することができる。一実施の形態において、線量パターンは、グリフと呼ばれる二次元(XおよびY)線量マップとして保存することができる。
本開示に記載されるフラクチャリング、マスクデータ準備、ショット最適化、および検証フローは、演算装置として適切なコンピュータソフトウェアを有する汎用コンピュータを用いて実施することができる。必要な大量の計算により、複数のコンピュータまたはプロセッサコアを並列に用いることができる。一実施の形態において、演算は並列処理をサポートするために、フローにおいて1つ以上の演算が多いステップに対して複数の二次元ジオメトリ領域にさらに分けることができる。別の実施の形態において、専用ハードウェア装置を単独で、または複数で用いて、汎用コンピュータまたはプロセッサコアを用いるよりも速い速度で1つ以上のステップの演算を行なうことができる。一実施の形態において、専用ハードウェア装置はグラフィックス処理ユニット(GPU)であり得る。別の実施の形態において、本開示に記載される最適化およびシミュレーション処理は、全体のショット数、または全体の荷電粒子ビーム書込時間、または他の何らかのパラメータを最小にするために、可能な解決策を修正および再計算する反復処理を含むことができる。さらに他の実施の形態において、最初のショットの組は、コレクトバイコンストラクション方法によって判断することができるので、ショット修正は必要ない。
本明細書は特定の実施の形態について詳細に説明されたが、当業者なら、上記を理解した上で、これらの実施の形態に対する変更、変形、または均等物を容易に考え付くこともできるであろう。フラクチャリング、マスクデータ準備、近接効果補正および光学近接補正のための本方法に対する上記、または他の変形および変更は、特許請求の範囲により特定的に記載されている本主題の精神および範囲から逸脱することなく、当業者によって実現され得る。さらに、当業者なら、上記は一例であって、限定されるべきことが意図されていないものであると理解するであろう。ステップは、本発明の範囲から逸脱することなく、本明細書のステップに加える、取除く、または変えることができる。一般に、提示されているフローチャートはある機能を達成するために基本的動作の1つの可能なシーケンスを示すよう意図されるにすぎず、多くの変更が可能である。したがって、本主題は添付の特許請求の範囲およびその均等物の範囲内に入る変形および修正を網羅することが意図される。

Claims (14)

  1. 荷電粒子ビームシミュレーションを伴う使用のためのマスクデータ準備(MDP)またはマスクプロセス補正(MPC)のための方法であって、
    荷電粒子ビームショットの組を決定するステップを含み、前記ショットの組は、荷電粒子ビームライタにおいて用いられたときに、レジストが被覆された表面に線量を生成し、前記レジストが被覆された表面の前記線量は、前記表面にパターンを形成し、製造ばらつきに起因する限界寸法均一性(CDU)が、ショット形状、一次元または二次元のショットサイズ、ショット位置、ショット間の間隔、ショット重なり、近接効果補正前のショット線量、線量マージン、限界寸法分割、スライバ低減、ショット数および書込時間からなる群から選択された要素を変化させた時に前記表面に生成されるであろうパターンを計算することによって最適化され、前記決定するステップは、コンピューティングハードウェア装置を用いて実行される、方法。
  2. 前記荷電粒子ビームショットの組におけるショットの構成を予め計算する、請求項1に記載の方法。
  3. 前記ショットの構成はショット構成ライブラリに保存される、請求項2に記載の方法。
  4. 前記パターンは複数の構成において多様な形状から構成され、前記ショット構成ライブラリは、所与のパターン形状および構成に対して使用するショットアスペクト比を指定する参照テーブルを含む、請求項3に記載の方法。
  5. 前記パターンは軌道を含み、前記軌道の対向する縁部は、前記軌道の長さの大部分に関しておおよそ平行である、請求項1に記載の方法。
  6. 前記軌道は、少なくとも1つの幅および角度によって記述される、請求項5に記載の方法。
  7. 前記ショットの構成は、ショット形状、一次元または二次元のショットサイズ、ショット位置、ショット間の間隔、ショット重なり、近接効果補正前のショット線量、線量マージン、限界寸法分割、スライバ低減、ショット数および書込時間からなる群から選択された複数の要素を変更するモンテカルロシミュレーションを用いて計算される、請求項2に記載の方法。
  8. ショット形状を変更することは、直線および曲線の間で変化させることを含む、請求項7に記載の方法。
  9. 荷電粒子ビームリソグラフィを伴う使用のためのマスクデータ準備(MDP)のための方法であって、
    荷電粒子ビームショットの組を入力するステップと、
    コンピューティングハードウェア装置を用いて、前記荷電粒子ビームショットの組から表面上のパターンを計算するステップと、
    計算されたパターンについて、製造ばらつきによって起こされる限界寸法均一性(CDU)を計算するステップと、
    荷電粒子ビームショットの組を変更して、ある位置に対するCDUを、その位置に対する前記CDUが予め定められたCDU許容量を上回る場合に、改善するステップとを含み、前記変更するステップは、i)ショット形状、ショットサイズ、ショット位置または近接効果補正前のショット線量を変更すること、またはii)ショットを加えることを含む、方法。
  10. 前記変更するステップはモデルに基づく技術を用い、荷電粒子ビームショットの組を用いて前記表面に生成されるであろうパターンを計算することを含む、請求項9に記載の方法。
  11. 前記CDUを計算するステップは、線量マージンを計算するステップ、限界寸法分割の影響を計算するステップ、およびスライバの影響を計算するステップの少なくとも1つを含む、請求項9に記載の方法。
  12. 前記表面上の前記パターンを計算するステップは、荷電粒子ビームシミュレーションを含む、請求項9に記載の方法。
  13. 前記表面上の前記パターンを計算するステップは、複数のレチクル製造条件の各々ごとに別個のパターンを計算するステップを含み、前記CDUを計算するステップは、前記複数の製造条件の各々ごとにCDUを計算するステップを含む、請求項9に記載の方法。
  14. 決定された荷電粒子ビームショットの組は、近接効果補正への入力として用いられ、近接効果補正において、ショット線量は、後方散乱、ローディング、フォギング、およびレジスト帯電からなる群から選択された長距離効果を明らかにするよう調整される、請求項1に記載の方法。
JP2015507093A 2012-04-18 2013-04-15 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム Active JP6189933B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261625932P 2012-04-18 2012-04-18
US61/625,932 2012-04-18
PCT/US2013/036671 WO2013158574A1 (en) 2012-04-18 2013-04-15 Method and system for critical dimension uniformity using charged particle beam lithography

Publications (3)

Publication Number Publication Date
JP2015515148A JP2015515148A (ja) 2015-05-21
JP2015515148A5 JP2015515148A5 (ja) 2016-05-26
JP6189933B2 true JP6189933B2 (ja) 2017-08-30

Family

ID=49381342

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015507093A Active JP6189933B2 (ja) 2012-04-18 2013-04-15 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム

Country Status (5)

Country Link
US (1) US9038003B2 (ja)
JP (1) JP6189933B2 (ja)
KR (1) KR20150001834A (ja)
TW (1) TWI605302B (ja)
WO (1) WO2013158574A1 (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9299135B2 (en) * 2013-03-12 2016-03-29 Applied Materials Israel, Ltd. Detection of weak points of a mask
JP6169876B2 (ja) * 2013-04-11 2017-07-26 日本コントロールシステム株式会社 電子ビーム描画装置、描画用図形データ作成装置、電子ビーム描画方法、描画用図形データ作成方法、およびプログラム
US9170501B2 (en) * 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9009634B2 (en) * 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9026956B1 (en) * 2013-10-11 2015-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of lithographic process evaluation
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
EP3105637A1 (en) * 2014-02-11 2016-12-21 ASML Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
US9460260B2 (en) 2014-02-21 2016-10-04 Mapper Lithography Ip B.V. Enhanced stitching by overlap dose and feature reduction
KR102247563B1 (ko) * 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
EP3037878B1 (en) * 2014-12-23 2020-09-09 Aselta Nanographics Method of applying vertex based corrections to a semiconductor design
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
US9817927B2 (en) 2015-08-31 2017-11-14 Globalfoundries Inc. Hard mask etch and dielectric etch aware overlap for via and metal layers
EP3153926B1 (en) * 2015-10-06 2020-04-22 Aselta Nanographics A method of reducing shot count in direct writing by a particle or photon beam
JP6515835B2 (ja) * 2016-02-23 2019-05-22 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10466586B2 (en) 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles
WO2019161899A1 (en) * 2018-02-22 2019-08-29 Applied Materials, Inc. Method for automated critical dimension measurement on a substrate for display manufacturing, method of inspecting a large area substrate for display manufacturing, apparatus for inspecting a large area substrate for display manufacturing and method of operating thereof
US20200096876A1 (en) * 2018-09-25 2020-03-26 Asml Us, Llc F/K/A Asml Us, Inc. Dose Map Optimization for Mask Making
DE102018217199A1 (de) * 2018-10-09 2020-04-09 Dr. Johannes Heidenhain Gmbh Gitterstruktur für eine diffraktive Optik
US10884395B2 (en) 2018-12-22 2021-01-05 D2S, Inc. Method and system of reducing charged particle beam write time
US11604451B2 (en) 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US11756765B2 (en) 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US10748744B1 (en) 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5425675A (en) 1977-07-28 1979-02-26 Nec Corp Electron beam exposure unit
JPS608844A (ja) 1983-06-29 1985-01-17 Pioneer Electronic Corp 電子ビームによるレジスト加工方法
JPS61105839A (ja) 1984-10-29 1986-05-23 Toshiba Corp 電子ビ−ム転写用マスク及びその製造方法
US4634871A (en) 1985-01-14 1987-01-06 Hughes Aircraft Company Method and apparatus for spot shaping and blanking a focused beam
US4698509A (en) 1985-02-14 1987-10-06 Varian Associates, Inc. High speed pattern generator for electron beam lithography
JPS637631A (ja) 1986-06-27 1988-01-13 Omron Tateisi Electronics Co 電子ビ−ム描画方法
US4818885A (en) 1987-06-30 1989-04-04 International Business Machines Corporation Electron beam writing method and system using large range deflection in combination with a continuously moving table
US5173582A (en) 1988-10-31 1992-12-22 Fujitsu Limited Charged particle beam lithography system and method
JPH02280315A (ja) 1989-04-20 1990-11-16 Mitsubishi Electric Corp 電子ビーム直接描画装置
JPH03205815A (ja) 1990-01-08 1991-09-09 Hitachi Ltd 可変整形絞り
JPH04137520A (ja) 1990-09-28 1992-05-12 Hitachi Ltd 電子線描画装置および描画方法
JPH04196516A (ja) 1990-11-28 1992-07-16 Seiko Epson Corp Eb露光方法および露光装置
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
JPH0536595A (ja) 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
JPH05267133A (ja) 1992-03-17 1993-10-15 Hitachi Ltd 斜め図形描画法
JPH05335221A (ja) 1992-05-28 1993-12-17 Fujitsu Ltd 荷電粒子線露光法および露光装置
JPH0620931A (ja) 1992-07-03 1994-01-28 Hitachi Ltd 電子ビーム露光方法
JP3288794B2 (ja) 1992-08-31 2002-06-04 株式会社東芝 荷電ビーム補正方法及びマーク検出方法
JPH0864522A (ja) 1994-06-16 1996-03-08 Nikon Corp 荷電粒子線転写方法
JP3203963B2 (ja) 1994-07-15 2001-09-04 株式会社日立製作所 電子線描画装置及び電子線描画方法
JP3340248B2 (ja) 1994-08-12 2002-11-05 沖電気工業株式会社 電子ビーム露光方法
JPH08195339A (ja) 1995-01-18 1996-07-30 Hitachi Ltd 電子ビーム描画方法
JPH08222504A (ja) 1995-02-14 1996-08-30 Hitachi Ltd 荷電粒子ビーム露光装置
JP3940824B2 (ja) 1995-08-14 2007-07-04 株式会社ニコン 荷電粒子線によるパターン転写方法および転写装置
JP3038141B2 (ja) * 1995-09-19 2000-05-08 ホーヤ株式会社 レジストパターン形成条件決定方法及びレジストパターン形成方法
JP2956577B2 (ja) 1996-03-28 1999-10-04 日本電気株式会社 電子線露光方法
US5825039A (en) 1996-11-27 1998-10-20 International Business Machines Corporation Digitally stepped deflection raster system and method of use thereof
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JPH10294255A (ja) 1997-04-17 1998-11-04 Canon Inc 電子ビーム照明装置、および該電子ビーム照明装置を備えた露光装置
JP3350416B2 (ja) 1997-10-01 2002-11-25 株式会社東芝 パターン形成方法
JPH11233401A (ja) 1998-02-09 1999-08-27 Hitachi Ltd 電子線描画方法及び電子線描画装置
WO1999056308A1 (fr) 1998-04-28 1999-11-04 Nikon Corporation Systeme d'exposition et procede de production d'un microdispositif
JP3076570B2 (ja) 1998-08-24 2000-08-14 松下電子工業株式会社 荷電粒子描画方法及び荷電粒子描画装置
US6218671B1 (en) 1998-08-31 2001-04-17 Nikon Corporation On-line dynamic corrections adjustment method
JP2000091191A (ja) 1998-09-09 2000-03-31 Nikon Corp 電子線露光用のマスクと露光装置及び電子線露光方法
JP2000269123A (ja) * 1999-03-19 2000-09-29 Toshiba Corp 露光パターンデータの生成方法と荷電ビーム露光装置
JP2001013671A (ja) 1999-06-30 2001-01-19 Toshiba Corp パターン形成方法
US6262427B1 (en) * 1999-07-15 2001-07-17 Nikon Corporation Variable transmission reticle for charged particle beam lithography tool
JP2001093809A (ja) 1999-09-22 2001-04-06 Toshiba Corp パターン描画方法及び荷電ビーム描画装置
JP2001144008A (ja) 1999-11-17 2001-05-25 Nec Corp 電子線露光方法、並びにこれに用いるマスク及び電子線露光装置
US6320187B1 (en) 1999-12-07 2001-11-20 Nikon Corporation Magnification and rotation calibration patterns for particle beam projection system
KR100327343B1 (ko) 2000-01-12 2002-03-06 윤종용 전자빔 리소그래피시 재산란된 전자빔에 의한 선폭변화를보정하는 방법 및 이를 기록한 기록매체
JP2001305720A (ja) 2000-02-18 2001-11-02 Nikon Corp 被転写媒体の製造方法、被転写パターン形成プログラムを記憶した記憶媒体、及び半導体デバイスの製造方法
JP2001313253A (ja) 2000-02-25 2001-11-09 Hitachi Ltd 電子線描画装置及び電子線描画方法
JP2002050559A (ja) 2000-08-01 2002-02-15 Canon Inc 露光装置及びそれを用いたデバイスの製造方法
JP2002075830A (ja) 2000-08-29 2002-03-15 Nikon Corp 荷電粒子線露光方法、レチクル及びデバイス製造方法
US6372391B1 (en) 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
JP3831188B2 (ja) 2000-09-27 2006-10-11 株式会社東芝 露光処理装置及び露光処理方法
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
JP2002151387A (ja) * 2000-11-10 2002-05-24 Jeol Ltd 電子ビーム描画方法
JP2002162566A (ja) 2000-11-27 2002-06-07 Nikon Corp 光学系の設計方法,光学系および投影露光装置
JP2002217088A (ja) 2001-01-17 2002-08-02 Nikon Corp 荷電粒子線露光装置、荷電粒子線露光方法及び半導体デバイスの製造方法
JP2002217092A (ja) 2001-01-22 2002-08-02 Nec Corp レジストパターンの形成方法および半導体装置の製造方法
JP2002329659A (ja) 2001-05-02 2002-11-15 Nikon Corp 荷電粒子線露光方法、荷電粒子線露光装置及びデバイス製造方法
WO2002101463A1 (en) 2001-06-08 2002-12-19 The Penn State Research Foundation Patterning compositions using e-beam lithography and structures and devices made thereby
US20030043358A1 (en) 2001-08-31 2003-03-06 Nikon Corporation Methods for determining focus and astigmatism in charged-particle-beam microlithography
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP3686367B2 (ja) 2001-11-15 2005-08-24 株式会社ルネサステクノロジ パターン形成方法および半導体装置の製造方法
JP4308467B2 (ja) 2001-12-27 2009-08-05 新光電気工業株式会社 露光方法及び露光装置
US6721939B2 (en) * 2002-02-19 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd Electron beam shot linearity monitoring
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
JP2003347192A (ja) 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
JP4327497B2 (ja) 2002-06-26 2009-09-09 株式会社アドバンテスト 電子ビーム露光装置、電子ビーム露光方法、半導体素子製造方法、マスク、及びマスク製造方法
JP2004134447A (ja) 2002-10-08 2004-04-30 Sony Corp 露光方法、マスクおよび半導体装置の製造方法
CN101414129B (zh) 2002-10-30 2012-11-28 迈普尔平版印刷Ip有限公司 电子束曝光系统
US7160475B2 (en) 2002-11-21 2007-01-09 Fei Company Fabrication of three dimensional structures
US6998217B2 (en) 2003-01-06 2006-02-14 Applied Materials, Inc. Critical dimension edge placement and slope enhancement with central pixel dose addition and modulated inner pixels
KR100792808B1 (ko) 2003-01-14 2008-01-14 에이에스엠엘 마스크툴즈 비.브이. 딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성피처들을 제공하는 방법 및 장치
JP2004273526A (ja) 2003-03-05 2004-09-30 Nikon Corp レチクル作製方法、レチクル及び荷電粒子線露光方法
JP2004304031A (ja) 2003-03-31 2004-10-28 Toshiba Corp マスクスキャン描画方法
JP4091470B2 (ja) 2003-05-06 2008-05-28 株式会社東芝 電子ビーム描画装置および電子ビーム描画方法
JP4046012B2 (ja) 2003-05-29 2008-02-13 ソニー株式会社 マスク歪データの生成方法、露光方法および半導体装置の製造方法
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2005079111A (ja) 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 電子線描画データ作成方法、作成装置及び作成プログラム並びに電子線描画装置
US6873938B1 (en) * 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
KR100844872B1 (ko) 2004-03-31 2008-07-09 호야 가부시키가이샤 전자빔 묘화방법 및 리소그래피 마스크의 제조방법
JP4570400B2 (ja) 2004-06-03 2010-10-27 富士通セミコンダクター株式会社 露光データ作成方法及び露光データ作成装置
EP1612835A1 (en) 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Method for Reducing the Fogging Effect
JP4603305B2 (ja) 2004-07-21 2010-12-22 ルネサスエレクトロニクス株式会社 露光方法、パターン寸法調整方法及び焦点ぼかし量取得方法
JP4324049B2 (ja) 2004-07-23 2009-09-02 富士通マイクロエレクトロニクス株式会社 マスクパターンの補正装置及び方法、並びに露光補正装置及び方法
JP2006100336A (ja) 2004-09-28 2006-04-13 Advantest Corp 電子ビーム露光用マスク、電子ビーム露光方法及び電子ビーム露光装置
JP4808447B2 (ja) 2005-08-01 2011-11-02 株式会社リコー 電子ビーム描画方法及び電子ビーム描画装置
JP2007115999A (ja) 2005-10-21 2007-05-10 Toshiba Corp キャラクタプロジェクション(cp)方式の荷電粒子ビーム露光方法、キャラクタプロジェクション方式の荷電粒子ビーム露光装置及びプログラム
US7788628B1 (en) 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
JP4976071B2 (ja) * 2006-02-21 2012-07-18 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
JP4995261B2 (ja) 2006-04-03 2012-08-08 イーエムエス ナノファブリカツィオン アーゲー パターン化ビームの総合変調を持つ粒子ビーム露光装置
JP2007305880A (ja) 2006-05-12 2007-11-22 Toshiba Corp キャラクタパターン抽出方法、荷電粒子ビーム描画方法、及びキャラクタパターン抽出プログラム
US20070280526A1 (en) 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer
JP4843425B2 (ja) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 可変成形型電子ビーム描画装置
JP4378648B2 (ja) 2006-10-06 2009-12-09 エルピーダメモリ株式会社 照射パターンデータ作成方法、マスク製造方法、及び描画システム
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
US7902528B2 (en) 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
JP2008175959A (ja) * 2007-01-17 2008-07-31 Toshiba Corp フォトマスク製造方法、及び半導体装置の製造方法
GB2451480B (en) 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
JP5090887B2 (ja) 2007-12-18 2012-12-05 日本電子株式会社 電子ビーム描画装置の描画方法及び電子ビーム描画装置
US8542340B2 (en) 2008-07-07 2013-09-24 Asml Netherlands B.V. Illumination optimization
WO2010025031A2 (en) 2008-09-01 2010-03-04 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using character projection lithography
US7759027B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US7981575B2 (en) 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US7901850B2 (en) * 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8017288B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
KR20110069044A (ko) * 2008-09-01 2011-06-22 디2에스, 인코포레이티드 가변 형상 비임 리소그래피를 이용한 레티클의 광 근접 보정, 설계 및 제조 방법
US7799489B2 (en) 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US8312406B2 (en) * 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
JP5570774B2 (ja) * 2009-08-04 2014-08-13 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置および方法
JP2011040716A (ja) 2009-08-06 2011-02-24 Nikon Corp 露光装置、露光方法、およびデバイス製造方法
US8671366B2 (en) * 2009-08-21 2014-03-11 Hitachi High-Technologies Corporation Estimating shape based on comparison between actual waveform and library in lithography process
US8404404B2 (en) 2009-08-21 2013-03-26 D2S, Inc. Method and system for manufacturing a surface using character projection lithography with variable magnification
TWI496182B (zh) 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
US8137871B2 (en) 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
JP5289343B2 (ja) 2010-01-15 2013-09-11 株式会社東芝 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置
US8193005B1 (en) * 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US20120217421A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with overlapping shots
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム

Also Published As

Publication number Publication date
TWI605302B (zh) 2017-11-11
WO2013158574A1 (en) 2013-10-24
JP2015515148A (ja) 2015-05-21
KR20150001834A (ko) 2015-01-06
US20130283216A1 (en) 2013-10-24
US9038003B2 (en) 2015-05-19
TW201351030A (zh) 2013-12-16

Similar Documents

Publication Publication Date Title
JP6189933B2 (ja) 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
JP6234998B2 (ja) 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
JP6054385B2 (ja) 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9625809B2 (en) Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
JP2014530494A (ja) 光学リソグラフィを用いて製造するべき基板上の画像を最適化するための方法およびシステム
KR102005083B1 (ko) 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
US20140359542A1 (en) Method and system for dimensional uniformity using charged particle beam lithography
JP7474787B2 (ja) 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム
US8959463B2 (en) Method and system for dimensional uniformity using charged particle beam lithography
US8745549B2 (en) Method and system for forming high precision patterns using charged particle beam lithography
US9091946B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US9164372B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
TWI546614B (zh) 用於降低使用荷電粒子束顯影術之製造變異的方法及系統

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160328

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160328

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170303

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170711

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170803

R150 Certificate of patent or registration of utility model

Ref document number: 6189933

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250