TWI605302B - 使用帶電粒子束微影術之用於臨界尺寸一致性之方法 - Google Patents

使用帶電粒子束微影術之用於臨界尺寸一致性之方法 Download PDF

Info

Publication number
TWI605302B
TWI605302B TW102113610A TW102113610A TWI605302B TW I605302 B TWI605302 B TW I605302B TW 102113610 A TW102113610 A TW 102113610A TW 102113610 A TW102113610 A TW 102113610A TW I605302 B TWI605302 B TW I605302B
Authority
TW
Taiwan
Prior art keywords
shot
pattern
charged particle
shots
dose
Prior art date
Application number
TW102113610A
Other languages
English (en)
Other versions
TW201351030A (zh
Inventor
萊恩 派曼
羅伯特C 帕克
藤村明
Original Assignee
D2S公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/862,472 external-priority patent/US8719739B2/en
Application filed by D2S公司 filed Critical D2S公司
Publication of TW201351030A publication Critical patent/TW201351030A/zh
Application granted granted Critical
Publication of TWI605302B publication Critical patent/TWI605302B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging

Description

使用帶電粒子束微影術之用於臨界尺寸一致性之方法
本發明係有關於一種使用帶電粒子束微影術之用於臨界尺寸一致性之方法及系統。此申請案係對於2012年4月18日提申名為“使用可變定形束微影術改良臨界尺寸一致性之方法及系統”的美國臨時專利申請案No.61/625,932主張優先權;且有關1)藤村(Fujimura),2013年4月15日提申名為“使用帶電粒子束微影術之用於形成圖案之方法及系統”的美國專利申請案No.13/862,471;2)藤村(Fujimura),2013年4月15日提申名為“使用帶電粒子束微影術之用於形成圖案之方法及系統”的美國專利申請案No.13/862,472;3)藤村(Fujimura),2013年4月15日提申名為“使用帶電粒子束微影術之用於形成圖案之方法及系統”的美國專利申請案No.13/862,475;4)2013年4月15日提申名為“使用帶電粒子束微影術之用於臨界尺寸一致性之方法及系統”的美國專利申請案No.13/862,476;及5)2013年3月21日提申名為“一將使用光學微影術製成的基材上之一影像予以最適化之方法及系統”的美國專利公告No.2013/0070222;其皆對於所有用途被合併於本文以供參考。
在諸如積體電路等半導體裝置的生產或製造中,可使用光學微影術製作半導體裝置。光學微影術是一種列印製程,其中使用從一標線片製造的一微影罩幕或光罩將圖案轉移至一諸如半導體或矽晶圓等基材以生成積體電路(I.C.)。其他基材可包括平板顯示器、全像罩幕、或甚至其他標線片。雖然習見的光學微影術使用一具有193nm波長的光源,極紫外線(EUV)或X射線微影術亦被認為是此應用中之光學微影術的類型。標線片或多重的標線片係可含有對應於積體電路的一個別層之一電路圖案,且此圖案可被成像至已塗覆有一層稱為光阻或阻劑的輻射敏感性材料之基材上的一特定區域上。一旦圖案化層被轉移,該層可經歷不同其他製程,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、及拋光。採用這些製程來修製基材中的一個別層。若需要數層,則將對於各新層重覆整個製程或其變異。最終,倍數個裝置或積體電路的一組合將出現於基材上。這些積體電路隨後可藉由切分或鋸割而彼此分離,且隨後可安裝至個別封裝體內。在更一般實例中,可使用基材上的圖案來界定諸如顯示像素、全像圖、導引式自我組裝(DSA)護帶、或磁性記錄頭等製品。習見的光學微影術寫入機具典型係在光學微影製程期間將光罩圖案降低達四倍因數。因此,形成於標線片或罩幕上的圖案必須比基材或晶圓上之所欲圖案的尺寸更大四倍。
在諸如積體電路等半導體裝置的生產或製造 中,可使用非光學方法將一微影罩幕上的一圖案轉移至一諸如矽晶圓等基材。奈米壓印微影術(NIL)是一種非光學微影術製程的範例。在奈米壓印微影術中,一微影罩幕圖案係經由微影術罩幕與表面的接觸而被轉移至一表面。
兩常見類型的帶電粒子束微影術係為可變定形束(VSB)及字元投射(CP)。其皆為定形束帶電粒子束微影術的次類別,其中一精密電子束被定形及導向藉以曝露一經阻劑塗覆表面,諸如一晶圓的表面或一標線片的表面。在VSB中,這些形狀是簡單形狀,通常侷限於具有特定最小及最大尺寸且側邊平行於一笛卡爾(Cartesian)座標平面的軸線(亦即具有“曼哈頓(manhattan)”定向)之矩形,及具有特定最小及最大尺寸之45度直角三角形(亦即,三個內角是45度、45度及90度的三角形)。在預定區位,電子的劑量係以這些簡單形狀被射擊至阻劑內。此型系統的總寫入時間係隨著射擊數而增加。在字元投射(CP)中,系統中係具有一其中含有多種不同開孔或字元之模板,該等開孔或字元可能係為複雜形狀諸如直線狀、任意角度狀線性、圓形、接近圓形、環狀、接近環狀、卵形、接近卵形、部份圓形、部份接近圓形、部份環狀、部份接近環狀、部份接近卵形、或任意曲線形狀,並可能係為一連接組的複雜形狀或者一群組的脫離組之一連接組的複雜形狀。一電子束可被射擊經過模板上的一字元以在標線片上有效率地產生較複雜的圖案。理論上,如是一系統因為可以各耗時的射擊來射擊較複雜的形狀,故其會比VSB系統更快。藉此,一E形圖案 射擊藉由一VSB系統係耗費四射擊,但相同的E形圖案可利用一字元投射系統以一射擊被射擊。請注意:VSB系統可想成是字元投射的一特殊(簡單)實例,其中字元只是簡單字元,通常是矩形或45-45-90度三角形。亦可能部份地曝光一字元。可例如藉由阻絕粒子束的部份達成此作用。譬如,上述E形圖案可被部份地曝光成為一F形圖案或一I形圖案,其中束的不同部份被一開孔所切除。這係為可如何利用VSB來射擊不同尺寸的矩形之相同機制。在此揭示中,部份投射係用來意指字元投射及VSB投射兩者。定形束帶電粒子束微影術可使用單一定形束、抑或同時地曝光表面之複數個定形束,複數個定形束產生比單一定形束更高的寫入速度。
如同指示,在微影術中,微影罩幕或標線片係包含與將被積造於一基材上的電路組件呈現對應之幾何圖案。用來製造標線片之圖案係可利用電腦輔助式設計(CAD)軟體或程式產生。設計圖案時,CAD程式可遵循一組預定的設計規則藉以生成標線片。這些規則係由處理、設計及終端使用限制所設定。一終端使用限制的範例係為以一種無法在所需要的供應電壓充分地操作之方式來界定一電晶體的幾何結構。特別來說,設計規則可界定電路裝置或互連線之間的空間公差。設計規則譬如係用來確保電路裝置或線不會以不欲方式彼此交互作用。譬如,設計規則係用來使得線不會以一種可能造成短路的方式過於靠近彼此。設計規則限制係反映了可被可靠地製作之最小尺寸,及其 他項目。提到這些小尺寸時,通常係引進一臨界尺寸(critical dimension)的概念。其例如被定義成一線的最小寬度或兩線之間的最小空間,這些尺寸需要精細的控制。
利用光學微影術之積體電路製作中的一目標係在於:使用標線片在基材上重製原始的電路設計。積體電路製作者總是試圖盡量有效率地利用半導體晶圓基地。工程師不斷縮小電路大小以容許積體電路含有更多電路元件且使用更小功率。隨著一積體電路臨界尺寸的大小減小且其電路密度增高,電路圖案或物理設計的臨界尺寸係趨近於習見光學微影術中所使用的光學曝光工具之解析度極限。隨著電路圖案的臨界尺寸變得更小且趨近於曝光工具的解析度數值,物理設計變得難以精確地轉錄至阻劑層上所顯影之實際電路圖案。為了進一步使用光學微影術來轉移比光學微影術製程中所用光波長具有更小特徵構造之圖案,已經發展出一種稱為光學近鄰修正(OPC)的製程。OPC係更改物理設計以補償諸如特徵構造與近鄰特徵構造的光學繞射及光學交互作用等效應所造成之扭曲。OPC係包括以一標線片所進行的所有解析度增強技術。
OPC可對於罩幕圖案添加次解析度微影特徵構造,以降低原始設計圖案亦即該設計與基材上之最終經轉移的電路圖案之間的差異。次解析度微影特徵構造係與物理設計中的原始圖案且與彼此作交互作用,並補償近鄰效應以改良最終經轉移的電路圖案。一用來改良圖案轉移之特徵構造係為次解析度輔助特徵構造(SRAF)。另一被添加 用來改良圖案轉移之特徵構造係稱為“襯線體(serifs)”。襯線體是可被定位於一圖案的一內部或外部角落上以在最終經轉移影像中銳化該角落之小特徵構造。對於SRAF之表面製造製程所要求的精密度係時常小於常稱為主特徵構造之對於意圖列印在基材上的圖案所要求之精密度。襯線體是一主特徵構造的一部份。隨著光學微影術的極限被延伸遠至次波長方案,OPC特徵構造必須製成愈來愈複雜,藉以補償益加細微的交互作用及效應。隨著成像系統被推動逼近其極限,產生具有充分細微OPC特徵構造之標線片的能力係變得關鍵。雖然添加襯線體或其他OPC特徵構造至一罩幕圖案是有利的,其亦顯著地增加罩幕圖案中的總特徵構造數目。譬如,利用習見技術將一襯線體添加至一正方形的各角落,係對於一罩幕或標線片圖案添加另外八個矩形。添加OPC特徵構造係為一種很費力的任務,需要高成本的運算時間,且導致較昂貴的標線片。不只OPC圖案呈現複雜,並因為相較於最小線及空間尺寸而言光學近鄰效應係為長程,一給定區位中之正確OPC圖案係顯著地依據附近具有何者其他幾何結構而定。因此,例如,一線端將具有不同大小襯線體,依據何者在標線片上與其接近而定。即便目的有可能是在晶圓上產生確切相同的形狀,亦然如此。這些輕微但臨界的變異係為重要,並已經防止其他者形成標線片圖案。習慣就所設計的特徵構造-亦即OPC裝飾之前反映該設計的特徵構造、及OPC特徵構造,其中OPC特徵構造有可能包括襯線體、架格體(jogs)、及 SRAF-來討論將被寫入至一標線片上之OPC裝飾式圖案。為了量化輕微變異的代表意義,在OPC裝飾中相對於附近之一典型輕微變異係有可能為一所設計的主特徵構造大小之5%至80%。請注意為了清楚起見,OPC設計中的變異係為所參照的變異。諸如線邊緣粗度及角落圓化等製造變異將亦出現於實際表面圖案中。當這些OPC變異產生實質相同的圖案於晶圓上時,表示晶圓上的幾何結構目標係為在一指定誤差內呈現相同,其依據幾何結構被設計進行的功能細節而定,譬如一電晶體或一導線。然而,典型的規格係位於一所設計特徵構造範圍的2%至50%中。有許多製造因素亦會造成變異,但整體誤差的OPC組份時常位於所列範圍中。諸如次解析度輔助特徵構造等OPC形狀係接受各種不同的設計規則,諸如一以可利用光學微影數被轉移至晶圓之最小特徵構造的大小為基礎之規則。其他設計規則係可來自於罩幕製造製程,或如果使用一字元投射帶電粒子束寫入系統以在一標線片上形成圖案,則來自於標線片製造製程。亦應注意:罩幕上之SRAF特徵構造的精度要求係可能低於罩幕上之對於所設計特徵構造的精度要求。隨著製程節點持續縮小,光罩上之最小SRAF的大小亦縮小。例如,在20nm邏輯製程節點,罩幕上對於最高精密度層需要40nm至60nm SRAF。
倒反微影術技術(ILT)係為一型OPC技術。ILT是一種直接從欲形成於一諸如矽晶圓等基材上的一圖案來運算將被形成於一標線片上的一圖案之製程。這可包括使用 基材上的所欲圖案作為輸入,反方向模擬光學微影術製程。ILT運算式標線片圖案可能是純粹曲線性-亦即完全非直線性,並可包括圓形、接近圓形、環狀、接近環狀、卵形及/或接近卵形圖案。由於這些理想ILT曲線性圖案利用習見技術將難以形成於一標線片上且昂貴,可使用理想曲線性圖案之直線性逼近或直線化。然而,相較於理想ILT曲線性圖案,直線性逼近係降低精確度。此外,若從理想ILT曲線性圖案產生直線性逼近,相較於理想ILT曲線性圖案,整體計算時間係增加。在此揭示中,ILT、OPC、源罩幕最適化(SMO)、及運算微影術係為可互換的用語。
在EUV微影術中,一般並不需要OPC特徵構造。因此,將在標線片上製成之圖案的複雜度係小於習見的193nm波長光學微影術,且射擊計數降低係對應地較不重要。然而,在EUV中,因為典型具有晶圓上的圖案4×大小之罩幕上的圖案係夠小而利用諸如電子束等帶電粒子束技術予以精密形成將具有挑戰性,罩幕精確度要求係很高。
具有數種用來形成圖案於一標線片上之技術,包括使用光學微影術或帶電粒子束微影術。最常用的系統是可變定形束(VSB),其中如同上述以具有諸如曼哈頓矩形(manhattan rectangle)及45度直角三角形等簡單形狀之電子的劑量將一經阻劑塗覆標線片表面予以曝光。在習見的罩幕寫入中,電子劑量或射擊係設計成盡量避免重疊,藉以大幅簡化標線片上的阻劑將如何對齊圖案之計算。類似地,射擊組係設計成完全地覆蓋將被形成於標線片上之圖 案區域。本專利申請案受讓人所擁有且對於所有目的併入本文之美國專利案7,754,401係揭露一罩幕寫入之方法,其中使用對於寫入圖案之刻意射擊重疊。當使用重疊射擊時,可利用帶電粒子束模擬來決定標線片上的阻劑所將對齊之圖案。利用重疊射擊係可容許圖案以降低的射擊計數被寫入。美國專利案7,754,401亦揭露使用劑量模型模擬,其中射擊的指派劑量係相對於其他射擊的劑量而變。以模型為基礎的斷裂(model-based fracturing)用語係描述利用美國專利案7,754,401的技術來決定射擊之製程。
對於最先進技術節點的標線片寫入係典型地涉及帶電粒子束寫入之多重通行,亦即一種稱為多通曝光的製程,其中因此使得標線片上的給定形狀被寫入及覆寫。典型地,利用二到四通行來寫入一標線片以將帶電粒子束寫入器中的精密度誤差予以平均,而容許生成較精確的光罩。並且,典型地,該等清單的射擊-包括劑量-對於每通行皆相同。在多通曝光的一變異中,該等清單的射擊可能在不同曝光通行之間改變,但任一曝光通行中之射擊的聯合體則覆蓋相同的區域。多通寫入可降低塗覆於表面的阻劑之過熱。多通寫入亦將帶電粒子束寫入器的隨機誤差予以平均除去。對於不同曝光通行採用不同射擊清單之多通寫入係亦會降低寫入製程中之特定系統性誤差的效應。
揭露一用於罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,其中係決定一組能夠在一表面上形成一圖 案之帶電粒子束射擊,其中藉由改變至少兩因素諸如劑量邊際、臨界尺寸分割(CD分割)降低、或裂片降低,以使圖案的臨界尺寸一致性(CDU)被最適化。
揭露一用於罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,其中係決定一組能夠在一表面上形成一圖案之帶電粒子束射擊,其中維持臨界尺寸一致性(CDU)同時降低射擊計數、且其中使用以模型為基礎的技術。
揭露一用於罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,其中係修改一經輸入射擊清單中的射擊藉以改良該等射擊所能形成之一圖案的臨界尺寸一致性(CDU),其中改變至少兩因素。
揭露一用於罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,其中係決定一組能夠在一表面上形成一圖案之帶電粒子束射擊,其中使圖案的臨界尺寸一致性(CDU)被最適化、且其中該最適化係使用以模型為基礎的技術。
揭露一用於罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,其中係修改一經輸入射擊清單中的射擊藉以改良該等射擊所能形成之一圖案的臨界尺寸一致性(CDU),其中該修改係使用以模型為基礎的技術。
揭露一用於罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,其中係決定一組能夠在一標線片上形成一圖案之帶電粒子束射擊,其中標線片能夠在一光學微影製程中用來形成一基材上的一基材圖案,其中基材圖案具有晶圓臨界尺寸,其中使晶圓臨界尺寸一致性(CDU)被最適 化,且其中該最適化係使用以模型為基礎的技術。
10‧‧‧電子束寫入器系統
12‧‧‧表面
14‧‧‧電子束源
16,22,30‧‧‧電子束
18‧‧‧開孔板
20‧‧‧開孔
24‧‧‧矩形開孔板或模板罩幕
26‧‧‧開口或開孔
28,352,420‧‧‧圖案
32‧‧‧可移式平台
34‧‧‧基材
38‧‧‧電磁或靜電縮減透鏡
40‧‧‧帶電粒子束/經縮減的電子束
42‧‧‧偏向器
100‧‧‧微影術系統
202,222‧‧‧橫剖面劑量曲線
204‧‧‧較高閾值
206‧‧‧較低閾值
214,216,234,236‧‧‧寬度
224,226‧‧‧閾值
300‧‧‧習見非重疊VSB射擊
302,304,306,308,310,312,314,316,318,320,400,402,404,406,408,410,510,520,530,540‧‧‧射擊
330‧‧‧線
352‧‧‧路徑
354‧‧‧平均尺寸
432,434‧‧‧臨界尺寸
512,522,532,542‧‧‧線段
600‧‧‧CD分佈圖形
610,612,614,710,712,714‧‧‧曲線
800,900‧‧‧概念流程圖
802,804,806,808,810,820,822,824,826,828,830,832,834,840,842,844‧‧‧步驟
902,904,906,908,910,912,914,916,918,920,922,924,926,928‧‧‧步驟
1004,1006,1008,1010,1012‧‧‧步驟
圖1顯示一可變定形束(VSB)帶電粒子束系統之一範例;圖2A顯示一橫剖面劑量圖形的一範例,顯示對於兩阻劑閾值各者之經對齊圖案寬度;圖2B顯示類似於圖2A之一橫剖面劑量圖形的一範例,但具有比圖2A更高的一劑量邊緣斜率;圖3A顯示一組射擊的一範例,其可形成一對角路徑或軌道;圖3B顯示一圖案的一範例,其可利用來自圖3A的射擊組被形成於一表面上;圖4A顯示一組重疊射擊的一範例,其可形成一對角路徑或軌道;圖4B顯示可利用圖4A所示射擊組被形成於表面上之一圖案的一範例;圖5A顯示一組非重疊VSB射擊的一範例,其可形成一對角路徑或軌道;圖5B顯示一組重疊VSB射擊的一範例,其可形成類似於圖5A的軌道之一對角路徑或軌道;圖5C顯示一組重疊VSB射擊之另一範例,其可形成類似於圖5A的軌道之一對角路徑或軌道;圖5D顯示一組重疊VSB射擊之另一範例,其可形成類似於圖5A的軌道之一對角路徑或軌道; 圖6顯示三種射擊組態之臨界尺寸(CD)機率的圖形,其中標線片寫入時間在組態之間係為恆定;圖7顯示三種射擊組態之臨界尺寸(CD)機率的圖形,其中標線片寫入時間在組態之間係改變;圖8顯示一如何製備一表面、諸如一標線片以供利用光學微影術在一矽晶圓上製造一諸如積體電路等基材之示範性方法的概念流程圖;圖9顯示用於一用於最適化一既有射擊清單之示範性方法的概念流程圖;及圖10顯示一用於一既有射擊清單的驗證之示範性方法的概念流程圖。
本揭示係有關微影術,且更特別有關利用帶電粒子束微影術之一可能身為標線片、晶圓、或任何其他表面之表面的設計及製造。
現在參照圖式,其中類似的代號係指類似的項目。圖1顯示一微影術系統100、諸如一帶電粒子束寫入器系統之一實施例,在此實例中係為一電子束寫入器系統10,其採用一可變定形束(VSB)以製造一表面12。電子束寫入器系統10具有一電子束源14,其朝向一開孔板18投射一電子束16。板18中係形成有一容許電子束16通過之開孔20。一旦電子束16通過開孔20,其被透鏡的一系統(未圖示)所導引或偏向成為朝向另一矩形開孔板或模板罩幕24之電子束22。模板24中已形成一數量的開口或開孔26,其係界 定諸如矩形及三角形等不同的簡單形狀。形成於模板24中之各開孔26可用來形成一圖案於一基材34-諸如矽晶圓、標線片或其他基材-之一表面12中。一電子束30係從開孔26的一者出現並通過一用於縮減來自開孔26的圖案大小之電磁或靜電縮減透鏡38。在常見可取得的帶電粒子束寫入器系統中,縮減因數介於10與60之間。經縮減的電子束40係從縮減透鏡38出現,並藉由一系列的偏向器42被導引至表面12上成為一圖案28。表面12塗覆有與電子束40起反應之阻劑(未圖示)。電子束22可被導引以重疊一開孔26的一可變部分,而影響圖案28的大小及形狀。利用遮黑板(未圖示)使束16或定形束22偏向,藉以當用以導引束22及偏向器42的透鏡對於後續射擊作重新調整時防止電子束在各射擊後的一期間中觸及表面12。典型地,遮黑板被定位使得電子束16偏向以防止其照射開孔20。遮黑期間可能是一段固定的時間長度,或其可依據譬如偏向器42對於後續射擊位置必須作多少重新調整而變動。
在電子束寫入系統10中,基材34安裝在一可移式平台32上。平台32容許基材34被重新定位,以使比帶電粒子束40的最大偏向能力或場域大小更大之圖案可在一系列的次場域中被寫入至表面12,其中各次場域位於偏向器42使束40偏向之能力內。在一實施例中。基材34可為一標線片。在此實施例中,標線片以平台被曝光之後經歷不同的製造步驟,經過其後則變成一微影罩幕或光罩。罩幕隨後可使用於一光學微影術機具中以將概呈縮減大小之標線片 圖案28的一影像投射至一矽晶圓上以產生一積體電路。更一般來說,罩幕可使用於另一裝置或機具中以將圖案28轉移至一基材(未圖示)上。
雖然圖1顯示一其中以單束40照射表面12之帶電粒子束系統,有些帶電粒子束寫入器可同時以複數個束照射一表面,其可以是或不是獨立地可調整式及定位式。多束帶電粒子束寫入器一般具有比單束寫入器更高的寫入速度。部分類型的多束寫入器係為定形束,而束在其他類型中則掃描橫越表面。對於此揭示所用的“射擊”用語係包括用於定形束及掃描束多束寫入器兩者、暨用於定形單束寫入器之曝光資訊。
可以合理精確度被投射至一表面12上之最小尺寸圖案係受限於與電子束寫入器系統10且與表面12-其正常係包含基材34上的一阻劑塗覆物-相關聯之多種不同短程物理效應。這些效應係包括前向散射、庫侖效應(Coulomb effect)、及阻劑擴散。束模糊、亦稱為βf係為一種用來包括所有這些短程效應的用語。最現代的電子束寫入器系統可達成20nm至30nm範圍之有效束模糊半徑或βf。前向散射可構成總束模糊的四分之一到二分之一。現代電子束寫入器系統係含有許多種使束模糊的各成份元件降至最小值之機制。由於束模糊的部分組份是一粒子束寫入器的校準位準之函數,具相同設計的兩粒子束寫入器之βf可能改變。阻劑的擴散特徵亦可能改變。以射擊大小或射擊劑量為基礎之βf的變異係可被模擬並系統性列入考量。但有其他效應無法 或未被列入考量。且其呈現隨機變異。
一諸如電子束寫入器系統等帶電粒子束寫入器的射擊劑量係為束源14的強烈度(intensity)及各射擊的曝光時間之一函數。典型地,束強烈度保持標稱固定,且曝光時間改變以獲得可變的射擊劑量。在一稱為近鄰效應修正(PEC)的製程中,曝光時間可改變以補償諸如背散射、霧化及負載效應等各種不同長程效應。電子束寫入器系統通常係容許設定一用以影響一曝光通行中的全部射擊之稱為基底劑量的整體劑量。部分電子束寫入器系統係在電子束寫入器系統本身內進行劑量補償計算,且不容許各射擊的劑量被個別地指派作為輸入射擊清單的部份,因此輸入射擊具有未被指派的射擊劑量。在如是電子束寫入器系統中,在PEC之前,所有射擊隱含地具有基底劑量。其他電子束寫入器系統則容許具有逐一射擊式基礎(shot-by-shot basis)之明確的劑量指派。在容許逐一射擊式劑量指派之電子束寫入器系統中,可取得劑量位準的數量可能是64至4096或更大,或者可具有相對較少個可取得的劑量位準,諸如3至8個位準。對於掃描式多束系統,可藉由掃描表面多次來達成劑量調整。
習見地,射擊係設計成以矩形射擊完全地覆蓋一輸入圖案,同時盡量避免射擊重疊。並且,所有射擊皆設計成具有一正常劑量,正常劑量係為可供一相對大的矩形射擊在未出現長程效應下將於與射擊大小具有相同大小的表面上產生一圖案之劑量。部分的電子束寫入器系統係藉 由不容許射擊在一曝光通行內重疊,來執行此方法體系。
在譬如利用帶電粒子束微影術曝光一表面上之一重覆圖案時,由於製造變異,如同在最終製成表面上所測量之各圖案案例的尺寸係將略微不同。尺寸變異量是一種重要的製造最適化判別標準。在現今的罩幕遮罩中,可能欲具有圖案大小之不大於1nm的均方根(RMS)變異。較大的尺寸變異係意指電路效能的較大變異,導致需要較高的設計邊際,而益加難以設計較快速、較低功率的積體電路。此變異稱為臨界尺寸(CD)變異。低CD變異係為所欲的並顯示出製造變異將在最終製成表面上產生相對小的尺寸變異。在較小尺度,可以線邊緣粗度(LER)觀察一高CD變異的效應。LER係由於一線邊緣的各部份被略微不同地製造所造成,導致一條意圖具有一直線邊緣之線中呈現某波狀。CD變異係在阻劑閾值與劑量曲線的斜率、稱為邊緣斜率呈反比相關,並具有其他作用。因此,邊緣斜率或劑量邊際係為表面的粒子束寫入之一臨界最適化因素。在此揭示中,邊緣斜率及劑量邊際是可互換的用語。
藉由習見的斷裂,而無射擊重疊、間隙或劑量調變,所寫入形狀的劑量邊際被視為不可突變:亦即沒機會藉由斷裂選項的一選擇來改良劑量邊際。在現代的實行方式中,避免稱為裂片的很窄射擊係為一種以實際規則為基礎的方法之範例,其有助於將射擊清單對於劑量邊際作最適化。
在一可產生重疊射擊及經劑量調變射擊之斷裂環境中,有需要且有機會對於劑量邊際作最適化。利用射擊 重疊及劑量調變所容許具有之射擊組合的額外彈性係容許產生似乎可產生表面上的目標罩幕形狀之斷裂解決方案,但可能只在完美製造條件下如此。利用重疊射擊及經劑量調變射擊因此係生成誘因來解決劑量邊際的議題及其改良。
圖2A至B顯示可如何藉由曝光阻劑上的圖案來降低臨界尺寸變異藉以在曝光或劑量曲線中產生一相對高的邊緣斜率,諸如2012年4月19日公開名稱為“用於利用帶電粒子束微影術形成高精確度圖案之方法及系統”的美國專利公告No.2012/0096412中所描述,其對於所有用途合併於本文以供參考。圖2A顯示一橫剖面劑量曲線202,其中x軸顯示經過一經曝光圖案的橫剖面距離-諸如垂直於圖案的邊緣的兩者之距離-且y軸顯示阻劑所接收的劑量。一圖案係被阻劑所對齊,其中所接收劑量高於一閾值。兩閾值顯示於圖2A,而顯示出阻劑敏感度的一變異之效應。較高閾值204造成寬度214的一圖案被阻劑所對齊。較低閾值206造成寬度216的一圖案被阻劑所對齊,其中寬度216大於寬度214。圖2B顯示另一橫剖面劑量曲線222。顯示兩閾值,其中閾值224與圖2A的閾值204相同,且閾值226與圖2A的閾值206相同。劑量曲線222的斜率在兩閾值附近比起劑量曲線202的斜率更高。對於劑量曲線222,較高閾值224造成寬度234的一圖案被阻劑所對齊。較低閾值226造成寬度236的一圖案被阻劑所對齊。可看出:由於劑量曲線222相較於劑量曲線202之較高邊緣斜率,寬度236與寬度234之間的差異係小於寬度216與寬度214之間的差異。若經阻劑塗覆的 表面為一標線片,則曲線222對於阻劑閾值的變異之較低敏感度係會造成從標線片所製造之一光罩上的圖案寬度更接近對於光罩的目標圖案寬度,因此當光罩用來將一圖案轉移至一諸如矽晶圓等基材時,係增高可使用的積體電路之良率。對於具有較高邊緣斜率的劑量曲線係觀察到對於各射擊的劑量變異之容忍度的類似改良。諸如在劑量曲線222中達成一相對較高的邊緣斜率因此係為所欲的。
如上述,製程變異會造成一光罩上之一圖案的寬度從意圖寬度或目標寬度產生改變。光罩上之圖案寬度變異將造成已在一光學微影製程中利用光罩被曝光之一晶圓上的一圖案寬度變異。晶圓圖案寬度對於光罩圖案寬度的變異之敏感度稱為罩幕邊緣誤差因數或MEEF。在一使用一4×光罩的光學微影術系統中,其中光學微影製程將一4×經縮減版本的光罩圖案投射至晶圓上,一身為1的MEEF譬如係指:對於一光罩上的圖案寬度之各個1nm誤差,晶圓上的圖案寬度將改變達0.25nm。一身為2的MEEF係指:對於光罩圖案寬度之一1nm誤差,晶圓上的圖案寬度將改變達0.5nm。對於最小積體電路製程,MEEF可大於2。
圖3A顯示一組習見非重疊VSB射擊300的一範例,其將形成一對角路徑或軌道。該組射擊300係由射擊302、射擊304、射擊306、射擊308、射擊310、射擊312、射擊314、射擊316、射擊318、及射擊320組成。射擊係抵靠但未重疊於鄰近的射擊。圖3B顯示該組射擊300可在一表面上所形成之一圖案352。圖案352顯示成一厚重破折線。 可利用帶電粒子束模擬從射擊300組計算路徑352。可看出:圖案352呈現角落圓化。圖案352的CD係為其垂直寬度-亦即在垂直於路徑最長尺寸之方向的尺寸。如同通常所測量,一諸如圖案352等改變寬度圖案的CD係被視為是其平均尺寸354。可看出:路徑352的垂直寬度絕非由單一射擊所決定。譬如,沿著線330,射擊306及308係決定CD,藉此生成沿著線330之一CD分割。路徑352因此承受沿著其全長之CD分割的效應。
圖4A顯示根據現今揭示的另一實施例由五個重疊VSB射擊所組成之一組射擊400的一範例,其將形成一表面上的一線性非曼哈頓(non-manhattan)軌道。射擊組400由射擊402、射擊404、射擊406、射擊408及射擊410所組成。對於軌道中的內部射擊-射擊402、406及408,最近的鄰近射擊之x偏移及y偏移係為一致,而生成鄰近射擊之間的一致重疊。圖4B顯示圖4A的射擊組,連同一圖案420,以一破折線顯示,該組射擊400將形成於一諸如標線片等表面上。由於臨界尺寸432由兩射擊形成,圖案420的臨界尺寸432係有些CD分割。由於臨界尺寸434只由一射擊形成,臨界尺寸434沒有CD分割。藉由重疊的射擊,射擊尺寸及重疊量可改變以達成不同的CD分割量。
圖5A至D顯示可形成一線性非曼哈頓(non-manhattan)軌道之射擊的四個範例,其中不同射擊尺寸及重疊係產生一諸如標線片等表面上之不同的圖案特徵。在圖5A至D中,軌道的邊緣對於軌道長度近似呈平行。軌 道可由至少一寬度及一角度作描述。圖5A顯示一組射擊510。垂直於射擊510組所形成的軌道方向之線段512係被定位成顯示軌道頂部的一“峰”對應於軌道底部上的一“谷”。此組態可產生一具有最小線寬粗度(LWR)之軌道,但其具有一中等CD分割。圖5B顯示另一組射擊520。垂直於射擊520組所形成的軌道方向之線段522係被定位成顯示軌道的相對邊緣從單一射擊形成,而產生一最小CD分割。然而,由於對應於諸如線段522等峰至峰定位、及谷至谷定位之寬寬,射擊組520係產生一最大LWR。圖5C顯示另一組射擊530。線段532垂直於射擊組530所形成的軌道方向。線段532係被定位於射擊組530所形成的軌道上邊緣上之一谷、但接近於軌道底邊緣之一峰。射擊組530產生一低LWR,但具有一中等CD分割。圖5D顯示另一組射擊540。線段542垂直於射擊組540所形成之軌道的方向。射擊組540中的射擊尺寸及射擊重疊係產生最大CD分割,其中在該射擊組所形成的軌道上各地皆具有CD分割。射擊組540產生一中等LWR。
可藉由將帶電粒子束微影術製程所固有的隨機及系統性變異列入考量,以更精確地以模型模擬一將在一標線片上被形成之圖案的CDU。在一實施例中,可使用一蒙地卡羅方法(Monte Carlo method)將這些變異列入考量。利用此方式,可利用蒙地卡羅分析以隨機式計算諸如一線性軌道等圖案的CDU。一軌道的CDU可以複數個獨特的蒙地卡羅模擬為基礎被決定,其中對於各模擬,各個包含軌道的射擊係承受一或多個製造參數的變異。對於各獨特的 蒙地卡羅模擬,計算來自變異的射擊組之標線片影像。對於各經計算的標線片影像,測量圖案CD。該測量可利用一種與作出標線片上的製成圖案之物理掃描電子顯微鏡(SEM)測量所常用技術相類似之技術。來自複數個獨特的蒙地卡羅模擬之測量的所產生集合體係包含一CD分佈,其中各模擬具有一經測量的CD。可利用此方式分析VSB、CP的群組或經組合的VSB及CP射擊。
圖6顯示採用多種不同可用來形成一軌道的射擊組態之一CD分佈圖形600的一範例。在此範例中,軌道係為一非曼哈頓(non-manhattan)線性軌道,其中軌道具有100nm的一標稱設計寬度。分析三種射擊組態:
‧曲線610:習見的非重疊VSB射擊,以軌道的角度及100nm寬度為基礎使用習見的經計算射擊尺寸。
‧曲線612:重疊VSB射擊。使用以模型為基礎的技術決定重疊VSB射擊的一組態,故射擊組態可形成100nm的一軌道,其中射擊至射擊間隔、及因此射擊計數係與上述的習見非重疊射擊組態相同。
‧曲線614:重疊圓形字元投射射擊。決定一圓形射擊組態,其可形成一100nm的軌道,其中射擊至射擊間隔、及因此射擊計數係與上述的習見非重疊射擊組態相同。
在此實驗中,在添加製造變異的效應之前,全部三個射擊組態係使用相同的前PEC劑量。在其他實施例中,具有不同指派劑量而導致劑量邊際變異之射擊係亦為可能。利用蒙地卡羅技術,隨後對於使用各射擊組態所形成的軌 道計算出製造變異對於CDU之效應。兩參數係改變:
‧射擊劑量利用一常態分佈作改變,具有正常劑量的5%之標準差。
‧各射擊的位置利用一常態分佈作改變,具有1.5nm的標準差。對於存在有CD分割之射擊組態,利用改變射擊位置係將以模型模擬CD分割的效應。
在部分實施例中,射擊尺寸亦可改變,但在圖6的實驗中則否。尺寸變異可包括諸如寬度、高度、或寬度與高度兩者等因素。圖6在圖形600中顯示此實驗的結果,其顯示對於利用上文曲線610、612及614所列舉射擊組態形成的一線性非曼哈頓軌道之CD的機率分佈。圖形600的x軸是CD,其中100.0nm是設計寬度。圖形600的y軸是一相對機率。由於所有CD機率的總和為一,三條曲線各者底下的面積係相等。各CD曲線的1標準差係為:
‧曲線610:0.49nm。
‧曲線612:0.33nm。
‧曲線614:0.32nm。
此外,不同射擊組態的LWR係為:
‧習見非重疊射擊(曲線610):4.13nm。
‧最適化重疊VSB射擊(曲線612):2.83nm。
‧最適化重疊圓形CP射擊(曲線614):2.70nm。
利用重疊射擊此範例係顯示相較於習見的射擊而言,CDU及LWR可皆獲得改良。尚且,利用圓形CP射擊可提供比使用VSB射擊更大的改良。使用相同射擊至射擊間隔之 其他組重疊VSB射擊係可展現比起CD分佈612更好之一CDU。類似地,採用相同射擊至射擊間隔之其他組CP射擊係可展現比起CD分佈614更好之一CDU。
可使用類似技術來計算曲線性軌道的CDU,並計算較一般性標線片圖案的尺寸可變性。雖然“臨界尺寸”用語常只適用於軌道,“晶圓臨界尺寸”類似用語係指一物理設計中之任意圖案的所欲尺寸。可供用來將CDU最適化之技術係亦可用來將晶圓臨界尺寸一致性予以最適化。
在圖6的實驗中,射擊至射擊間隔在不同射擊組態中係為恆定。然而,一般而言,射擊至射擊間隔亦可改變。譬如,若射擊至射擊間隔增大,則射擊數減少,且因此寫入一標線片上的圖案所需要之時間係減小。圖7顯示對於一習見組的射擊及重疊射擊的兩組態之CD分佈:
‧曲線710顯示對於一組習見非重疊VSB射擊之一CD分佈。6標準差CDU係為4.8nm。
‧曲線712顯示對於一CDU-最適化組的非重疊VSB射擊之一CD分佈,其中寫入時間對於習見非重疊射擊係為相同。6標準差CDU係為3.2nm。
‧曲線714顯示對於一CDU-最適化組的重疊VSB射擊之一CD分佈,其中寫入時間係為對於習見非重疊射擊的寫入時間之70%。6標準差CDU係為4.8nm。
如同圖6的實驗中,在此實驗中計算射擊劑量及射擊位置的製造變異。在此實驗中,在添加製造變異的效應之前,全部三種射擊組態使用相同的前PEC劑量。在其他實施例 中,具有不同指派劑量而導致劑量邊際變異之射擊係亦為可能。圖7所示的CDU分佈顯示出:利用重疊射擊所容許具有的彈性係容許相較於習見非重疊VSB射擊而言改良CDU,同時維持寫入時間,或容許降低寫入時間同時維持CDU。可發現具有介於這兩種解決方案之間的其他解決方案,其中CDU及寫入時間同時獲改良。
在上述實驗中,對於一獨特的蒙地卡羅模擬中之各組射擊,可利用帶電粒子束模擬來計算標線片影像。可被包括在帶電粒子束模擬所使用的一罩幕模型中之效應係包括前向散射、背向散射、阻劑擴散、庫侖效應(Coulomb effect)、蝕刻、霧化、負載、及阻劑帶電。
在上述實施例中,已經計算標線片或光罩上之CD分佈。在其他實施例中,可使用雙重模擬,其中利用一光學微影術製程以經模擬標線片影像計算一基材空間影像。可利用微影術模擬來計算基材空間影像。雙重模擬係揭露於此揭示的相關申請案段落所列出之美國專利申請案中。
圖8是根據現今揭示的另一實施例之用於利用光學微影術形成諸如一矽晶圓等基材上的圖案之概念流程圖800。在第一步驟802,生成一物理設計、諸如一積體電路的一物理設計。這可包括決定邏輯閘、電晶體、金屬層、及在一物理設計、諸如一積體電路的物理設計中所需發現之其他項目。接著,在步驟804,在物理設計802中的圖案上或物理設計中之圖案的一部分上作出OPC以生成一罩幕設計806。OPC步驟804使用一基材模型840,其併入有微影 術及基材處理效應、但未併入有帶電粒子束曝光及標線片處理效應。基材處理效應可包括譬如基材阻劑烘烤及基材阻劑顯影。在部分實施例中,OPC可包含倒反微影術技術(ILT)。在步驟808,罩幕設計806斷裂成一組帶電粒子束射擊,射擊清單810。射擊可能重疊。在部分實施例中,射擊將為VSB射擊。在其他實施例中,射擊將為CP射擊或VSB與CP射擊的一組合。罩幕資料製備(MDP)最適化808係可包含對於一或多個潛在射擊組態計算CDU,並可包含藉由改變一或多個製程參數來計算一CD分佈。在一實施例中,可使用蒙地卡羅分析來計算CD分佈。MDP 808亦使用一罩幕模型842作為輸入。可被包括在罩幕模型842中之物理現象係包括前向散射、背向散射、庫侖效應(Coulomb effect)、霧化、阻劑帶電、負載、阻劑烘烤、阻劑顯影、及阻劑蝕刻。在部分實施例中,MDP 808可使用微影術模擬以利用基材模型840計算一基材上的一空間影像。基材模型840可包括光學微影術效應,並可亦包括阻劑效應諸如阻劑烘烤及阻劑顯影。MDP 808可包括罩幕影像826上之CDU的最適化,及/或可包括基材上之空間影像CDU的最適化。MDP 808可輸入來自一射擊組態程式庫844之預先運算射擊組。射擊組態程式庫844可包含一查閱表,其指定一射擊尺寸比(shot aspect ratio)以供一給定圖案形狀及組態使用。MDP 808可包括罩幕製程修正(MPC)。MPC 808輸出射擊清單810。
在一近鄰效應修正(PEC)精修步驟820,射擊清單810中之射擊的射擊劑量係作調整以將長程效應列入考 量,其可包括背散射、負載、霧化、及阻劑帶電。PEC精修820的輸出係為一具有經調整劑量之最終射擊清單822。在一罩幕寫入步驟824中利用具有經調整劑量的最終射擊清單822產生一表面,其使用一帶電粒子束寫入器諸如一電子束寫入器系統。依據所使用的帶電粒子束寫入器類型而定,PEC精修820可由帶電粒子束寫入器進行。罩幕寫入步驟824可包含單一曝光通行或多重曝光通行。電子束寫入器系統將一束電子投射至一經阻劑塗覆的表面上以在該表面上形成一包含圖案之罩幕影像,如步驟826所示。在額外處理步驟(未圖示)之後,完全的表面可隨後使用在一光學微影術機具中,其顯示於步驟828,以曝光一經阻劑塗覆的基材諸如一矽晶圓以生成阻劑上的一潛影像830。經曝光的基材隨後經歷額外的阻劑處理步驟832,諸如阻劑烘烤及阻劑顯影,以生成基材上的一圖案834。
圖9是用於使一既有射擊清單最適化之概念流程圖900。流程900開始係為一所欲的標線片圖案902及一初步射擊清單904,其中初步射擊清單904能夠近似地形成所欲的標線片圖案902。MDP步驟910修改初步射擊清單804中的射擊,以生成一所產生最適化的射擊清單912,其能夠比初步射擊清單904更精確地形成一所欲的標線片圖案902。最適化射擊清單912中的射擊係可重疊,並可具有不同指派劑量。MDP/射擊最適化910可包含對於一或多個潛在射擊組態計算CDU,並可包含藉由改變一或多個製程參數來計算一CD分佈。在一實施例中,可使用蒙地卡羅分析來 計算CD分佈。MDP/射擊最適化910亦使用一最終罩幕模型906作為輸入。可被包括在最終罩幕模型906中之物理現象係包括前向散射、背向散射、庫侖效應(Coulomb effect)、霧化、阻劑帶電、負載、阻劑烘烤、阻劑顯影、及阻劑蝕刻。在部分實施例中,MDP/射擊最適化910可使用微影術模擬以利用基材模型908計算一基材上的一空間影像。基材模型908可包括光學微影術效應,並可亦包括阻劑效應諸如阻劑烘烤及阻劑顯影。在部分實施例中,諸如當計算一CD分佈時,帶電粒子束及/或微影術模擬係可對於諸如代表製造變異等之多重條件運行。在這些實施例之一者中,最適化係可包含計算併入有製造變異的效應之一分數。MDP/射擊最適化910可包括罩幕影像920上之CDU的最適化,及/或可包括基材上之空間影像CDU的最適化。MDP 910可亦包括罩幕製程修正(MPC)。在一近鄰效應修正(PEC)精修步驟914中,最適化射擊清單912中之射擊的射擊劑量係作調整以將長程效應列入考量,其可包括背散射、負載、霧化、及阻劑帶電。PEC精修914的輸出係為一最終射擊清單916。最終射擊清單916係用來在一罩幕寫入步驟918中產生一表面,其使用一帶電粒子束寫入器諸如一電子束寫入器系統。依據所使用的帶電粒子束寫入器類型而定,PEC精修914可由帶電粒子束寫入器進行。罩幕寫入步驟918可包含單一曝光通行或多重曝光通行。電子束寫入器系統經由一模板將一束電子投射至一表面上,以在表面上形成一包含圖案之罩幕影像,如步驟920所示。在進一步處理步驟(未圖示)之後, 完全的表面可隨後使用在一光學微影術機具中,其顯示於步驟922,以曝光一經阻劑塗覆的基材諸如一矽晶圓以生成阻劑上的一潛影像924。經曝光的基材隨後經歷諸如阻劑烘烤及阻劑顯影等額外的阻劑處理步驟926,以生成基材上的一圖案928。當譬如自從初始射擊清單904生成以來標線片處理步驟已經改變而造成一罩幕模型變化時,其需要將初步射擊清單904中的射擊予以重新最適化,流程900可能有用。
可驗證一既有射擊清單,以鑑於預期製造變異來決定CDU是否位於一預定公差內。圖10是此技術的一實施例之概念流程圖。對於該製程的輸入係為一既有射擊清單1004。在射擊驗證步驟1010中,對於複數個射擊清單變異的各者計算一標線片圖案,其中各射擊清單變異中的各射擊併入有製造變異的效應,且其中各標線片圖案的計算亦併入有製造變異的效應。因此,各經計算的標線片圖案係代表一不同標線片製造條件。步驟1010的標線片圖案計算利用一罩幕模型1006作為輸入。對於各經計算的標線片圖案在一或多個區位測量一CD。利用來自複數個經計算標線片圖案的各者之CD,對於各區位計算CDU。大於一預定公差的CDU係為不符、並被輸出至一例外報告1012。在部分實施例中,射擊驗證1010可包含雙重模擬,其中諸如利用微影術模擬對於各標線片圖案計算一基材諸如一晶圓上之一空間影像。在此實例中,一基材模型1008亦輸入至射擊驗證1010。在這些使用雙重模擬的實施例中,在各空間影 像的各測量區位測量一CD,且對於來自各射擊清單變異的空間影像CD之各測量區位計算一基材CDU。
將對於一給定情況產生一最小CDU之一射擊組態係可被預先計算,且關於此射擊組態的資訊係儲存於一表格中。譬如,一情況可能係為一具有100nm寬度相距x軸40呈度角之線性軌道,一射擊組態係對於其被預先計算。除軌道本身外,該情況係可包括鄰近環境。在此範例中,該環境可包含位於將對其產生射擊的軌道各側上之複數個至少5個平行100nm寬的軌道,其中相鄰軌道被100nm空間所分隔。在決定對於一給定情況的最好射擊組態時,亦可能考慮其他參數。譬如,射擊組態可曝露至一標線片上,標線片被處理以生成一光罩,測量所製成的光罩圖案尺寸,且這些經測量的尺寸與經計算的圖案尺寸作比較,其中經計算與經測量尺寸之間的平均差異係為目標均值(MTT:mean to target)。只有具有低於預定值的MTT之射擊組態才可儲存在表格中。
可以不同方式達成此揭示所描述或參照的計算。一般而言,可藉由製程中、製程前或製程後方法達成計算。製程中計算係涉及在需要其結果時進行一計算。製程前計算涉及預先計算然後儲存結果以供在一後續處理步驟期間稍後作檢索,並可改良處理效能,特別是對於可重覆多次的計算尤然。計算亦可從一處理步驟被延遲,並隨後在一稍後的處理後步驟中進行。製程前計算的一範例係為預先計算一將對於一給定情況產生一最小CDU之射擊組 態,並將關於此射擊組態的資訊儲存在一表格中。製程前計算的另一範例係為一射擊群組,其係為用於與一給定輸入圖案或輸入圖案特徵組相關聯的一或多個射擊之劑量圖案資訊的一預先計算。射擊群組及相關聯的輸入圖案可被存在預先計算的射擊群組之一程式庫中,所以包含該射擊群組的該組射擊可被快速地產生以供輸入圖案的額外案例所用,而不用作圖案重新計算。在部分實施例中,預先計算係可包含射擊群組將在一經阻劑塗覆的表面上所產生之劑量圖案的模擬。在其他實施例中,射擊群組可被決定而無需模擬,諸如利用經由建構作修正(correct-by-construction)技術。在部分實施例中,預先計算的射擊群組可以一射擊清單形式被存在射擊群組程式庫中。在其他實施例中,預先計算的射擊群組可以可對於一或多特定類型輸入圖案產生射擊之電腦碼形式被儲存。在另其他實施例中,複數個預先計算的射擊群組可以一表格被儲存,其中表格中的登錄係對應於不同輸入圖案或輸入圖案特徵諸如圖案寬度,且其中各表格登錄係提供射擊群組中之射擊的一清單、或如何產生適當射擊組之資訊。此外,不同射擊群組可在射擊群組程式庫中以不同形式被儲存。在部分實施例中,一給定射擊群組所可產生的劑量圖案亦可被儲存在射擊群組程式庫中。在一實施例中,劑量圖案可被儲存成一稱為雕紋(glyph)的二維(X及Y)劑量地圖。
可利用一般用途電腦以適當電腦軟體作為運算裝置來實行本揭示中所描述之斷裂、罩幕資料製備、射擊 最適化及驗證流程。由於所需要的大量計算,亦可平行使用多重的電腦或處理器核心。在一實施例中,運算可細分成用於流程中的一或多個運算密集性步驟之複數個二維幾何區,以支援平行處理。在另一實施例中,可利用一部單獨使用抑或多部使用的特殊用途硬體裝置,以比一般用途電腦或處理器核心更高的速度來進行一或多個步驟之運算。在一實施例中,特殊用途硬體裝置可為一圖形處理單元(GPU)。在另一實施例中,本揭示中所描述的最適化及模擬製程係可包括訂正及重新計算可能的解之迭代性製程,藉以盡量減少總射擊數抑或總帶電粒子束寫入時間、或某其他參數。在又另一實施例中,可以一經由建構作修正(correct-by-construction)方法決定一初始組的射擊,所以不需要射擊修改。
雖已對於特定實施例詳細地描述說明書,熟習該技術者解讀上文後將瞭解可易於構想出這些實施例的更改、變異、及均等物。對於目前用於斷裂、罩幕資料製備、近鄰效應修正及光學近鄰修正的方法之這些及其他修改與變異可由一般熟習該技術者實行,而不脫離由申請專利範圍更特定地建立之本標的物的精神與範圍。尚且,一般熟習該技術者將瞭解:上文描述僅供範例用、且無意作限制。可對於此說明書中的步驟添加、刪除或修改步驟而不脫離本發明的範圍。一般而言,所提出的任何流程均只意圖表明達成一功能之基本操作的一可能順序,且可能具有許多變異。因此,本標的物係意圖涵蓋位於申請專利範圍與其 均等物的範圍內之如是修改及變異。
800‧‧‧概念流程圖
802,804,806,808,810,820,822,824,826,828,830,832,834,840,842,844‧‧‧步驟

Claims (29)

  1. 一種用於配合使用帶電粒子束模擬之罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,該方法包含下列步驟:決定一組帶電粒子束射擊,其中該組射擊於在被一帶電粒子束寫入器使用時,在一經阻劑塗覆表面上產生一劑量,其中該經阻劑塗覆表面上之該劑量在該表面上形成一圖案,其中藉由改變至少兩因素以使由製造變異所造成之臨界尺寸一致性(CDU)被最適化,該等至少兩因素係選自於由射擊形狀、在一維度或兩個維度中的射擊尺寸、射擊位置、射擊對射擊的間隔、射擊重疊、在鄰近效應修正前之射擊劑量、劑量邊際、臨界尺寸分割、裂片降低、射擊計數和寫入時間所組成之一群組,以及其中該決定步驟係利用一計算硬體裝置來執行。
  2. 如申請專利範圍第1項之方法,其中改變一射擊形狀包含在直線狀與曲線狀之間改變。
  3. 如申請專利範圍第1項之方法,其中該組射擊中的射擊係為可變定形束(VSB)射擊。
  4. 一種用於配合使用帶電粒子束模擬之罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,該方法包含下列步驟:決定一組帶電粒子束射擊,其中該組射擊於在被一 帶電粒子束寫入器使用時,在一經阻劑塗覆表面上產生一劑量,其中該經阻劑塗覆表面上之該劑量在該表面上形成一圖案,其中使用以模型為基礎的技術,且其中降低射擊計數同時維持由製造變異所造成之臨界尺寸一致性(CDU),其中該以模型為基礎的技術包含計算利用該組帶電粒子束射擊而將於該表面上產生之一圖案,以及其中該決定步驟係利用一計算硬體裝置來執行。
  5. 如申請專利範圍第4項之方法,其中該等以模型為基礎的技術係包含決定重疊射擊,及決定在近鄰效應修正(PEC)前具有不同劑量之射擊。
  6. 如申請專利範圍第4項之方法,其中該計算步驟包含帶電粒子束模擬步驟。
  7. 一種用於配合使用帶電粒子束模擬之罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,該方法包含:輸入一組帶電粒子束射擊,其中該組射擊於在被一帶電粒子束寫入器使用時,在一經阻劑塗覆表面上產生一劑量,其中該經阻劑塗覆表面上之該劑量在該表面上形成一圖案;及修改該組射擊中之一或多射擊以最適化由製造變異所造成之臨界尺寸一致性(CDU),其中該修改步驟包含改變至少兩因素,該等至少兩因素係選自於由射擊形狀、在一維度或兩個維度中的射擊尺寸、射擊位置、射擊對射擊的間隔、射擊重疊、在鄰近效應修正前之射擊 劑量、劑量邊際、臨界尺寸分割、裂片降低、射擊計數和寫入時間所組成之一群組,以及其中該修改步驟係利用一計算硬體裝置來執行。
  8. 如申請專利範圍第7項之方法,其中改變一射擊形狀包含在直線狀與曲線狀之間改變。
  9. 一種用於配合使用帶電粒子束模擬之罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,該方法包含下列步驟:決定一組帶電粒子束射擊,其中該組射擊於在被一帶電粒子束寫入器使用時,在一經阻劑塗覆表面上產生一劑量,其中該經阻劑塗覆表面上之該劑量在該表面上形成一圖案,其中藉由計算當改變一因素而將於該表面上產生之一圖案,由製造變異所造成之臨界尺寸一致性(CDU)被最適化,該因素選自於由射擊形狀、在一維度或兩個維度中的射擊尺寸、射擊位置、射擊對射擊的間隔、射擊重疊、在鄰近效應修正前之射擊劑量、劑量邊際、臨界尺寸分割、裂片降低、射擊計數和寫入時間所組成之一群組,且其中該決定步驟係利用一計算硬體裝置來執行。
  10. 如申請專利範圍第9項之方法,其中該組帶電粒子束射擊中之射擊的一組態係被預先運算。
  11. 如申請專利範圍第10項之方法,其中射擊的該組態係儲存在一射擊組態程式庫中。
  12. 如申請專利範圍第11項之方法,其中該圖案係由複數個 組態中的多樣形狀所構成,且其中該射擊組態程式庫含有一查閱表,其指定一射擊尺寸比(shot aspect ratio)以供一給定圖案形狀及組態使用。
  13. 如申請專利範圍第9項之方法,其中該圖案係包含一軌道,其中該軌道的相對邊緣係對於該軌道的一大部份長度呈近似平行。
  14. 如申請專利範圍第13項之方法,其中該軌道係由至少一寬度及一角度所描述。
  15. 如申請專利範圍第10項之方法,其中射擊的該組態係利用改變複數個因素的蒙地卡羅模擬(Monte Carlo simulation)被運算,該等複數個因素係選自於由射擊形狀、在一維度或兩個維度中的射擊尺寸、射擊位置、射擊對射擊的間隔、射擊重疊、在鄰近效應修正前之射擊劑量、劑量邊際、臨界尺寸分割、裂片降低、射擊計數和寫入時間所組成之一群組。
  16. 如申請專利範圍第15項之方法,其中改變一射擊形狀包含在直線狀與曲線狀之間改變。
  17. 如申請專利範圍第9項之方法,其中決定該組帶電粒子束射擊之步驟係被用來當作針對一近鄰效應修正之輸入,其中射擊劑量被調整以將長程效應列入考量,該長程效應係選自於由背散射、負載、霧化及阻劑帶電所組成之一群組。
  18. 一種用於配合使用帶電粒子束模擬之罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,該方法包含下列 步驟:輸入一組帶電粒子束射擊,其中該組射擊於在被一帶電粒子束寫入器使用時,在在一經阻劑塗覆表面上產生一劑量,其中該經阻劑塗覆表面上之該劑量在該表面上形成一圖案;及修改該組射擊中之一或多個射擊,以最適化由製造變異所造成之表面圖案的臨界尺寸一致性(CDU),其中該修改步驟包含變更一射擊形狀、射擊尺寸、射擊位置或在鄰近效應修正前之射擊劑量,或增加一射擊,其中該最適化包含自經修改的該組射擊計算將於該表面上產生之圖案,以及其中該修改步驟係利用一計算硬體裝置來執行。
  19. 一種用於配合使用帶電粒子束模擬之罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,該方法包含下列步驟:決定一組帶電粒子束射擊,其中該組射擊於在被一帶電粒子束寫入器使用時,在一經阻劑塗覆表面上產生一劑量,其中該經阻劑塗覆表面上之該劑量在該標線片上形成一標線片圖案;其中該標線片在一光學微影製程中被使用時,形成一基材上的一基材圖案,其中該基材圖案包含晶圓臨界尺寸,其中該決定步驟係包含由標線片製造變異所造成之晶圓臨界尺寸一致性(CDU)的最適化,且其中該最適化步驟包含計算藉由改變一因素所導致之一經計算標 線片圖案,該因素係選自於由射擊形狀、在一維度或兩個維度中的射擊尺寸、射擊位置、射擊對射擊的間隔、射擊重疊、在鄰近效應修正前之射擊劑量、劑量邊際、臨界尺寸分割、裂片降低、射擊計數和寫入時間所組成之一群組,以及其中該決定步驟係利用一計算硬體裝置來執行。
  20. 如申請專利範圍第19項之方法,其中該決定步驟進一步包含下列步驟:利用該經計算的標線片圖案來計算該基材上之一經計算的基材圖案。
  21. 如申請專利範圍第19項之方法,其中該組帶電粒子束射擊中之射擊的一組態係被預先運算。
  22. 如申請專利範圍第21項之方法,其中射擊的該組態係儲存在一射擊組態程式庫中。
  23. 如申請專利範圍第22項之方法,其中該標線片圖案係由複數個組態中的多樣形狀所構成,且其中該射擊組態程式庫含有一查閱表,其指定一射擊尺寸比以供一給定圖案形狀及組態使用。
  24. 一種用於配合使用帶電粒子束模擬之罩幕資料製備(MDP)或罩幕製程修正(MPC)之方法,該方法包含:輸入一組帶電粒子束射擊,其中該組射擊於在被一帶電粒子束寫入器使用時,在一經阻劑塗覆標線片上產生一劑量,其中該經阻劑塗覆標線片上之該劑量在該標線片上形成一標線片圖案,其中該標線片在一光學微影 製程中被使用時,形成一基材上的一圖案,其中該基材上的該圖案係包含晶圓臨界尺寸;及修改該組射擊中之一或多個射擊以最適化由標線片製造變異所造成之晶圓臨界尺寸一致性(CDU),其中該修改步驟包含變更一射擊形狀、射擊尺寸、射擊位置或在鄰近效應修正前之射擊劑量,或增加一射擊,其中該最適化包含利用經修改的該組帶電粒子束射擊計算將於該標線片上產生之圖案,以及其中該修改步驟係利用一計算硬體裝置來執行。
  25. 一種用於配合使用帶電粒子束微影術之罩幕資料製備(MDP)之方法,包含下列步驟:輸入一組帶電粒子束射擊;利用一計算影體裝置,從該組帶電粒子束射擊計算一表面上的一圖案;及針對該經計算圖案計算由製造變異所造成之一臨界尺寸一致性(CDU);以及修改該組帶電粒子束射擊,若針對一區位之該CDU超過一預定CDU公差,則改良針對一區位之CDU,其中該修改步驟包含(i)變更一射擊形狀、射擊尺寸、射擊位置或在鄰近效應修正前之射擊劑量,或(ii)增加一射擊。
  26. 如申請專利範圍第25項之方法,其中該修改步驟係使用以模型為基礎的技術,其中該以模型為基礎的技術包含利用該組帶電粒子束射擊計算將於該表面上產生之一圖案。
  27. 如申請專利範圍第25項之方法,其中該計算CDU之步驟係包括下列的至少一者:計算劑量邊際,計算CD分割效應,及計算裂片效應。
  28. 如申請專利範圍第25項之方法,其中該計算表面上的圖案之步驟係包含帶電粒子束模擬。
  29. 如申請專利範圍第25項之方法,其中該計算表面上的圖案之步驟係包含對於複數個標線片製造條件的各者計算一分離的圖案,且其中該計算CDU之步驟係包含就該等複數個製造條件的各者計算一CDU。
TW102113610A 2012-04-18 2013-04-17 使用帶電粒子束微影術之用於臨界尺寸一致性之方法 TWI605302B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261625932P 2012-04-18 2012-04-18
US13/862,472 US8719739B2 (en) 2011-09-19 2013-04-15 Method and system for forming patterns using charged particle beam lithography
US13/862,471 US20130283217A1 (en) 2012-04-18 2013-04-15 Method and system for forming patterns using charged particle beam lithography
US13/862,475 US9400857B2 (en) 2011-09-19 2013-04-15 Method and system for forming patterns using charged particle beam lithography
US13/862,476 US9038003B2 (en) 2012-04-18 2013-04-15 Method and system for critical dimension uniformity using charged particle beam lithography

Publications (2)

Publication Number Publication Date
TW201351030A TW201351030A (zh) 2013-12-16
TWI605302B true TWI605302B (zh) 2017-11-11

Family

ID=49381342

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102113610A TWI605302B (zh) 2012-04-18 2013-04-17 使用帶電粒子束微影術之用於臨界尺寸一致性之方法

Country Status (5)

Country Link
US (1) US9038003B2 (zh)
JP (1) JP6189933B2 (zh)
KR (1) KR20150001834A (zh)
TW (1) TWI605302B (zh)
WO (1) WO2013158574A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI687648B (zh) * 2018-02-22 2020-03-11 美商應用材料股份有限公司 用於顯示器製造之一基板的自動臨界尺寸測量的方法、檢查用於顯示器製造之一大面積基板的方法、用以檢查用於顯示器製造之一大面積基板之設備及操作其之方法

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9299135B2 (en) * 2013-03-12 2016-03-29 Applied Materials Israel, Ltd. Detection of weak points of a mask
JP6169876B2 (ja) * 2013-04-11 2017-07-26 日本コントロールシステム株式会社 電子ビーム描画装置、描画用図形データ作成装置、電子ビーム描画方法、描画用図形データ作成方法、およびプログラム
US9170501B2 (en) * 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9009634B2 (en) * 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9026956B1 (en) * 2013-10-11 2015-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of lithographic process evaluation
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
KR102053152B1 (ko) * 2014-02-11 2019-12-06 에이에스엠엘 네델란즈 비.브이. 임의 패턴의 확률적 변동을 계산하는 모델
US9460260B2 (en) * 2014-02-21 2016-10-04 Mapper Lithography Ip B.V. Enhanced stitching by overlap dose and feature reduction
KR102247563B1 (ko) * 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
EP3037878B1 (en) * 2014-12-23 2020-09-09 Aselta Nanographics Method of applying vertex based corrections to a semiconductor design
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
US9817927B2 (en) 2015-08-31 2017-11-14 Globalfoundries Inc. Hard mask etch and dielectric etch aware overlap for via and metal layers
EP3153926B1 (en) * 2015-10-06 2020-04-22 Aselta Nanographics A method of reducing shot count in direct writing by a particle or photon beam
JP6515835B2 (ja) * 2016-02-23 2019-05-22 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10466586B2 (en) 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles
US20200096876A1 (en) * 2018-09-25 2020-03-26 Asml Us, Llc F/K/A Asml Us, Inc. Dose Map Optimization for Mask Making
DE102018217199A1 (de) * 2018-10-09 2020-04-09 Dr. Johannes Heidenhain Gmbh Gitterstruktur für eine diffraktive Optik
US10884395B2 (en) * 2018-12-22 2021-01-05 D2S, Inc. Method and system of reducing charged particle beam write time
US11604451B2 (en) 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US10748744B1 (en) 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US11756765B2 (en) 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5425675A (en) 1977-07-28 1979-02-26 Nec Corp Electron beam exposure unit
JPS608844A (ja) 1983-06-29 1985-01-17 Pioneer Electronic Corp 電子ビームによるレジスト加工方法
JPS61105839A (ja) 1984-10-29 1986-05-23 Toshiba Corp 電子ビ−ム転写用マスク及びその製造方法
US4634871A (en) 1985-01-14 1987-01-06 Hughes Aircraft Company Method and apparatus for spot shaping and blanking a focused beam
US4698509A (en) 1985-02-14 1987-10-06 Varian Associates, Inc. High speed pattern generator for electron beam lithography
JPS637631A (ja) 1986-06-27 1988-01-13 Omron Tateisi Electronics Co 電子ビ−ム描画方法
US4818885A (en) 1987-06-30 1989-04-04 International Business Machines Corporation Electron beam writing method and system using large range deflection in combination with a continuously moving table
US5173582A (en) 1988-10-31 1992-12-22 Fujitsu Limited Charged particle beam lithography system and method
JPH02280315A (ja) 1989-04-20 1990-11-16 Mitsubishi Electric Corp 電子ビーム直接描画装置
JPH03205815A (ja) 1990-01-08 1991-09-09 Hitachi Ltd 可変整形絞り
JPH04137520A (ja) 1990-09-28 1992-05-12 Hitachi Ltd 電子線描画装置および描画方法
JPH04196516A (ja) 1990-11-28 1992-07-16 Seiko Epson Corp Eb露光方法および露光装置
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
JPH0536595A (ja) 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
JPH05267133A (ja) 1992-03-17 1993-10-15 Hitachi Ltd 斜め図形描画法
JPH05335221A (ja) 1992-05-28 1993-12-17 Fujitsu Ltd 荷電粒子線露光法および露光装置
JPH0620931A (ja) 1992-07-03 1994-01-28 Hitachi Ltd 電子ビーム露光方法
JP3288794B2 (ja) 1992-08-31 2002-06-04 株式会社東芝 荷電ビーム補正方法及びマーク検出方法
JPH0864522A (ja) 1994-06-16 1996-03-08 Nikon Corp 荷電粒子線転写方法
JP3203963B2 (ja) 1994-07-15 2001-09-04 株式会社日立製作所 電子線描画装置及び電子線描画方法
JP3340248B2 (ja) 1994-08-12 2002-11-05 沖電気工業株式会社 電子ビーム露光方法
JPH08195339A (ja) 1995-01-18 1996-07-30 Hitachi Ltd 電子ビーム描画方法
JPH08222504A (ja) 1995-02-14 1996-08-30 Hitachi Ltd 荷電粒子ビーム露光装置
JP3940824B2 (ja) 1995-08-14 2007-07-04 株式会社ニコン 荷電粒子線によるパターン転写方法および転写装置
JP3038141B2 (ja) * 1995-09-19 2000-05-08 ホーヤ株式会社 レジストパターン形成条件決定方法及びレジストパターン形成方法
JP2956577B2 (ja) 1996-03-28 1999-10-04 日本電気株式会社 電子線露光方法
US5825039A (en) 1996-11-27 1998-10-20 International Business Machines Corporation Digitally stepped deflection raster system and method of use thereof
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JPH10294255A (ja) 1997-04-17 1998-11-04 Canon Inc 電子ビーム照明装置、および該電子ビーム照明装置を備えた露光装置
JP3350416B2 (ja) 1997-10-01 2002-11-25 株式会社東芝 パターン形成方法
JPH11233401A (ja) 1998-02-09 1999-08-27 Hitachi Ltd 電子線描画方法及び電子線描画装置
WO1999056308A1 (fr) 1998-04-28 1999-11-04 Nikon Corporation Systeme d'exposition et procede de production d'un microdispositif
JP3076570B2 (ja) 1998-08-24 2000-08-14 松下電子工業株式会社 荷電粒子描画方法及び荷電粒子描画装置
US6218671B1 (en) 1998-08-31 2001-04-17 Nikon Corporation On-line dynamic corrections adjustment method
JP2000091191A (ja) 1998-09-09 2000-03-31 Nikon Corp 電子線露光用のマスクと露光装置及び電子線露光方法
JP2000269123A (ja) * 1999-03-19 2000-09-29 Toshiba Corp 露光パターンデータの生成方法と荷電ビーム露光装置
JP2001013671A (ja) 1999-06-30 2001-01-19 Toshiba Corp パターン形成方法
US6262427B1 (en) * 1999-07-15 2001-07-17 Nikon Corporation Variable transmission reticle for charged particle beam lithography tool
JP2001093809A (ja) 1999-09-22 2001-04-06 Toshiba Corp パターン描画方法及び荷電ビーム描画装置
JP2001144008A (ja) 1999-11-17 2001-05-25 Nec Corp 電子線露光方法、並びにこれに用いるマスク及び電子線露光装置
US6320187B1 (en) 1999-12-07 2001-11-20 Nikon Corporation Magnification and rotation calibration patterns for particle beam projection system
KR100327343B1 (ko) 2000-01-12 2002-03-06 윤종용 전자빔 리소그래피시 재산란된 전자빔에 의한 선폭변화를보정하는 방법 및 이를 기록한 기록매체
JP2001305720A (ja) 2000-02-18 2001-11-02 Nikon Corp 被転写媒体の製造方法、被転写パターン形成プログラムを記憶した記憶媒体、及び半導体デバイスの製造方法
JP2001313253A (ja) 2000-02-25 2001-11-09 Hitachi Ltd 電子線描画装置及び電子線描画方法
JP2002050559A (ja) 2000-08-01 2002-02-15 Canon Inc 露光装置及びそれを用いたデバイスの製造方法
JP2002075830A (ja) 2000-08-29 2002-03-15 Nikon Corp 荷電粒子線露光方法、レチクル及びデバイス製造方法
US6372391B1 (en) 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
JP3831188B2 (ja) 2000-09-27 2006-10-11 株式会社東芝 露光処理装置及び露光処理方法
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
JP2002151387A (ja) * 2000-11-10 2002-05-24 Jeol Ltd 電子ビーム描画方法
JP2002162566A (ja) 2000-11-27 2002-06-07 Nikon Corp 光学系の設計方法,光学系および投影露光装置
JP2002217088A (ja) 2001-01-17 2002-08-02 Nikon Corp 荷電粒子線露光装置、荷電粒子線露光方法及び半導体デバイスの製造方法
JP2002217092A (ja) 2001-01-22 2002-08-02 Nec Corp レジストパターンの形成方法および半導体装置の製造方法
JP2002329659A (ja) 2001-05-02 2002-11-15 Nikon Corp 荷電粒子線露光方法、荷電粒子線露光装置及びデバイス製造方法
US6919164B2 (en) 2001-06-08 2005-07-19 The Penn State Research Foundation Patterning compositions using E-beam lithography and structures and devices made thereby
US20030043358A1 (en) 2001-08-31 2003-03-06 Nikon Corporation Methods for determining focus and astigmatism in charged-particle-beam microlithography
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP3686367B2 (ja) 2001-11-15 2005-08-24 株式会社ルネサステクノロジ パターン形成方法および半導体装置の製造方法
JP4308467B2 (ja) 2001-12-27 2009-08-05 新光電気工業株式会社 露光方法及び露光装置
US6721939B2 (en) * 2002-02-19 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd Electron beam shot linearity monitoring
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
JP2003347192A (ja) 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
JP4327497B2 (ja) 2002-06-26 2009-09-09 株式会社アドバンテスト 電子ビーム露光装置、電子ビーム露光方法、半導体素子製造方法、マスク、及びマスク製造方法
JP2004134447A (ja) 2002-10-08 2004-04-30 Sony Corp 露光方法、マスクおよび半導体装置の製造方法
CN101414126B (zh) 2002-10-30 2012-02-15 迈普尔平版印刷Ip有限公司 电子束曝光系统
US7160475B2 (en) 2002-11-21 2007-01-09 Fei Company Fabrication of three dimensional structures
US6998217B2 (en) 2003-01-06 2006-02-14 Applied Materials, Inc. Critical dimension edge placement and slope enhancement with central pixel dose addition and modulated inner pixels
DE602004002598T2 (de) 2003-01-14 2007-10-18 Asml Masktools B.V. Methode und Gerät zur Erstellung von optischen Näherungseffekt-Korrekturelementen für ein Maskenmuster in der optischen Lithographie
JP2004273526A (ja) 2003-03-05 2004-09-30 Nikon Corp レチクル作製方法、レチクル及び荷電粒子線露光方法
JP2004304031A (ja) 2003-03-31 2004-10-28 Toshiba Corp マスクスキャン描画方法
JP4091470B2 (ja) 2003-05-06 2008-05-28 株式会社東芝 電子ビーム描画装置および電子ビーム描画方法
JP4046012B2 (ja) 2003-05-29 2008-02-13 ソニー株式会社 マスク歪データの生成方法、露光方法および半導体装置の製造方法
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2005079111A (ja) 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 電子線描画データ作成方法、作成装置及び作成プログラム並びに電子線描画装置
US6873938B1 (en) 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
US7592103B2 (en) 2004-03-31 2009-09-22 Hoya Corporation Electron beam writing method and lithography mask manufacturing method
JP4570400B2 (ja) 2004-06-03 2010-10-27 富士通セミコンダクター株式会社 露光データ作成方法及び露光データ作成装置
EP1612835A1 (en) 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Method for Reducing the Fogging Effect
JP4603305B2 (ja) 2004-07-21 2010-12-22 ルネサスエレクトロニクス株式会社 露光方法、パターン寸法調整方法及び焦点ぼかし量取得方法
JP4324049B2 (ja) 2004-07-23 2009-09-02 富士通マイクロエレクトロニクス株式会社 マスクパターンの補正装置及び方法、並びに露光補正装置及び方法
JP2006100336A (ja) 2004-09-28 2006-04-13 Advantest Corp 電子ビーム露光用マスク、電子ビーム露光方法及び電子ビーム露光装置
JP4808447B2 (ja) 2005-08-01 2011-11-02 株式会社リコー 電子ビーム描画方法及び電子ビーム描画装置
JP2007115999A (ja) 2005-10-21 2007-05-10 Toshiba Corp キャラクタプロジェクション(cp)方式の荷電粒子ビーム露光方法、キャラクタプロジェクション方式の荷電粒子ビーム露光装置及びプログラム
US7788628B1 (en) 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
JP4976071B2 (ja) * 2006-02-21 2012-07-18 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
JP4995261B2 (ja) 2006-04-03 2012-08-08 イーエムエス ナノファブリカツィオン アーゲー パターン化ビームの総合変調を持つ粒子ビーム露光装置
JP2007305880A (ja) 2006-05-12 2007-11-22 Toshiba Corp キャラクタパターン抽出方法、荷電粒子ビーム描画方法、及びキャラクタパターン抽出プログラム
US20070280526A1 (en) 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer
JP4843425B2 (ja) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 可変成形型電子ビーム描画装置
JP4378648B2 (ja) 2006-10-06 2009-12-09 エルピーダメモリ株式会社 照射パターンデータ作成方法、マスク製造方法、及び描画システム
US7902528B2 (en) 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
JP2008175959A (ja) 2007-01-17 2008-07-31 Toshiba Corp フォトマスク製造方法、及び半導体装置の製造方法
GB2451480B (en) 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
JP5090887B2 (ja) 2007-12-18 2012-12-05 日本電子株式会社 電子ビーム描画装置の描画方法及び電子ビーム描画装置
TW201007383A (en) 2008-07-07 2010-02-16 Brion Tech Inc Illumination optimization
JP5739808B2 (ja) * 2008-09-01 2015-06-24 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 可変整形ビームリソグラフィを用いたレチクルの光近接効果補正、設計、および製造のための方法
US7799489B2 (en) 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US7759027B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
JP5676449B2 (ja) 2008-09-01 2015-02-25 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 光近接効果補正、設計およびキャラクタプロジェクションリソグラフィを用いたレチクルの製造のための方法
US8017288B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
US7981575B2 (en) 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US8312406B2 (en) * 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
JP5570774B2 (ja) * 2009-08-04 2014-08-13 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置および方法
JP2011040716A (ja) 2009-08-06 2011-02-24 Nikon Corp 露光装置、露光方法、およびデバイス製造方法
US8671366B2 (en) * 2009-08-21 2014-03-11 Hitachi High-Technologies Corporation Estimating shape based on comparison between actual waveform and library in lithography process
JP2013502729A (ja) 2009-08-21 2013-01-24 ディー・ツー・エス・インコーポレイテッド キャラクタプロジェクションリソグラフィを用いて可変倍率で表面を製造するための方法および装置
TWI496182B (zh) 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
US8137871B2 (en) 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
JP5289343B2 (ja) 2010-01-15 2013-09-11 株式会社東芝 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置
US8193005B1 (en) * 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US20120217421A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with overlapping shots
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI687648B (zh) * 2018-02-22 2020-03-11 美商應用材料股份有限公司 用於顯示器製造之一基板的自動臨界尺寸測量的方法、檢查用於顯示器製造之一大面積基板的方法、用以檢查用於顯示器製造之一大面積基板之設備及操作其之方法

Also Published As

Publication number Publication date
JP2015515148A (ja) 2015-05-21
WO2013158574A1 (en) 2013-10-24
TW201351030A (zh) 2013-12-16
US9038003B2 (en) 2015-05-19
JP6189933B2 (ja) 2017-08-30
US20130283216A1 (en) 2013-10-24
KR20150001834A (ko) 2015-01-06

Similar Documents

Publication Publication Date Title
TWI605302B (zh) 使用帶電粒子束微影術之用於臨界尺寸一致性之方法
TWI605306B (zh) 使用帶電粒子束微影術之用於形成圖案之方法
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
KR101715807B1 (ko) 하전 입자 빔 리소그래피에 의해 곡선 캐릭터들을 사용하여 패턴을 형성하고 분할하기 위한 방법
US7799489B2 (en) Method for design and manufacture of a reticle using variable shaped beam lithography
KR102005083B1 (ko) 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
TWI595325B (zh) 用於使用帶電粒子束微影術之尺寸均勻性的方法及系統
US8745549B2 (en) Method and system for forming high precision patterns using charged particle beam lithography
US9091946B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI514437B (zh) 用以利用帶電粒子束微影術以多個暴露回合使圖樣破碎的方法與系統
KR102300585B1 (ko) 하전 입자 빔 리소그래피를 이용하여 사선 패턴을 형성하기 위한 방법 및 시스템
TW201250394A (en) Method and system for forming patterns using charged particle beam lithography
JP7474787B2 (ja) 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム
TWI546614B (zh) 用於降低使用荷電粒子束顯影術之製造變異的方法及系統
US9164372B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography