JP2015515148A5 - - Google Patents

Download PDF

Info

Publication number
JP2015515148A5
JP2015515148A5 JP2015507093A JP2015507093A JP2015515148A5 JP 2015515148 A5 JP2015515148 A5 JP 2015515148A5 JP 2015507093 A JP2015507093 A JP 2015507093A JP 2015507093 A JP2015507093 A JP 2015507093A JP 2015515148 A5 JP2015515148 A5 JP 2015515148A5
Authority
JP
Japan
Prior art keywords
shot
calculating
pattern
particle beam
charged particle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015507093A
Other languages
English (en)
Other versions
JP2015515148A (ja
JP6189933B2 (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2013/036671 external-priority patent/WO2013158574A1/en
Publication of JP2015515148A publication Critical patent/JP2015515148A/ja
Publication of JP2015515148A5 publication Critical patent/JP2015515148A5/ja
Application granted granted Critical
Publication of JP6189933B2 publication Critical patent/JP6189933B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (14)

  1. 荷電粒子ビームシミュレーションを伴う使用のためのマスクデータ準備(MDP)またはマスクプロセス補正(MPC)のための方法であって、
    電粒子ビームショットの組を決定するステップを含み、前記ショットの組は、荷電粒子ビームライタにおいて用いられたときに、レジストが被覆された表面に線量を生成し、前記レジストが被覆された表面の前記線量は、前記表面にパターンを形成し、製造ばらつきに起因する限界寸法均一性(CDU)が、ショット形状、一次元または二次元のショットサイズ、ショット位置、ショット間の間隔、ショット重なり、近接効果補正前のショット線量、線量マージン、限界寸法分割、スライバ低減、ショット数および書込時間からなる群から選択された要素を変化させた時に前記表面に生成されるであろうパターンを計算することによって最適化され、前記決定するステップは、コンピューティングハードウェア装置を用いて実行される、方法。
  2. 前記荷電粒子ビームショットの組におけるショットの構成を予め計算する、請求項1に記載の方法。
  3. 前記ショットの構成はショット構成ライブラリに保存される、請求項2に記載の方法。
  4. 前記パターンは複数の構成において多様な形状から構成され、前記ショット構成ライブラリは、所与のパターン形状および構成に対して使用するショットアスペクト比を指定する参照テーブルを含む、請求項3に記載の方法。
  5. 前記パターンは軌道を含み、前記軌道の対向する縁部は、前記軌道の長さの大部分に関しておおよそ平行である、請求項1に記載の方法。
  6. 前記軌道は、少なくとも1つの幅および角度によって記述される、請求項5に記載の方法。
  7. 前記ショットの構成は、ショット形状、一次元または二次元のショットサイズ、ショット位置、ショット間の間隔、ショット重なり、近接効果補正前のショット線量、線量マージン、限界寸法分割、スライバ低減、ショット数および書込時間からなる群から選択された複数の要素を変更するモンテカルロシミュレーションを用いて計算される、請求項2に記載の方法。
  8. ショット形状を変更することは、直線および曲線の間で変化させることを含む、請求項7に記載の方法。
  9. 荷電粒子ビームリソグラフィを伴う使用のためのマスクデータ準備(MDP)のための方法であって、
    荷電粒子ビームショットの組を入力するステップと、
    コンピューティングハードウェア装置を用いて、前記荷電粒子ビームショットの組から表面上のパターンを計算するステップと、
    計算されたパターンについて、製造ばらつきによって起こされる限界寸法均一性(CDU)を計算するステップと
    荷電粒子ビームショットの組を変更して、ある位置に対するCDUを、その位置に対する前記CDUが予め定められたCDU許容量を上回る場合に、改善するステップとを含み、前記変更するステップは、i)ショット形状、ショットサイズ、ショット位置または近接効果補正前のショット線量を変更すること、またはii)ショットを加えることを含む、方法。
  10. 前記修正するステップはモデルに基づく技術を用い、荷電粒子ビームショットの組を用いて前記表面に生成されるであろうパターンを計算することを含む、請求項に記載の方法。
  11. 前記CDUを計算するステップは、線量マージンを計算するステップ、限界サイズ分割の影響を計算するステップ、およびスライバの影響を計算するステップの少なくとも1つを含む、請求項9に記載の方法。
  12. 前記表面上の前記パターンを計算するステップは、荷電粒子ビームシミュレーションを含む、請求項9に記載の方法。
  13. 前記表面上の前記パターンを計算するステップは、複数のレチクル製造条件の各々ごとに別個のパターンを計算するステップを含み、前記CDUを計算するステップは、前記複数の製造条件の各々ごとにCDUを計算するステップを含む、請求項9に記載の方法。
  14. 決定された荷電粒子ビームショットの組は、近接効果補正への入力として用いられ、近接効果補正において、ショット線量は、後方散乱、ローディング、フォギング、およびレジスト帯電からなる群から選択された長距離効果を明らかにするよう調整される、請求項1に記載の方法。
JP2015507093A 2012-04-18 2013-04-15 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム Active JP6189933B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261625932P 2012-04-18 2012-04-18
US61/625,932 2012-04-18
PCT/US2013/036671 WO2013158574A1 (en) 2012-04-18 2013-04-15 Method and system for critical dimension uniformity using charged particle beam lithography

Publications (3)

Publication Number Publication Date
JP2015515148A JP2015515148A (ja) 2015-05-21
JP2015515148A5 true JP2015515148A5 (ja) 2016-05-26
JP6189933B2 JP6189933B2 (ja) 2017-08-30

Family

ID=49381342

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015507093A Active JP6189933B2 (ja) 2012-04-18 2013-04-15 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム

Country Status (5)

Country Link
US (1) US9038003B2 (ja)
JP (1) JP6189933B2 (ja)
KR (1) KR20150001834A (ja)
TW (1) TWI605302B (ja)
WO (1) WO2013158574A1 (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9299135B2 (en) * 2013-03-12 2016-03-29 Applied Materials Israel, Ltd. Detection of weak points of a mask
JP6169876B2 (ja) * 2013-04-11 2017-07-26 日本コントロールシステム株式会社 電子ビーム描画装置、描画用図形データ作成装置、電子ビーム描画方法、描画用図形データ作成方法、およびプログラム
US9009634B2 (en) * 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9170501B2 (en) * 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9026956B1 (en) * 2013-10-11 2015-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of lithographic process evaluation
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
WO2015121127A1 (en) * 2014-02-11 2015-08-20 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
US9460260B2 (en) * 2014-02-21 2016-10-04 Mapper Lithography Ip B.V. Enhanced stitching by overlap dose and feature reduction
KR102247563B1 (ko) * 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
US10074036B2 (en) 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
EP3037878B1 (en) * 2014-12-23 2020-09-09 Aselta Nanographics Method of applying vertex based corrections to a semiconductor design
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
US9817927B2 (en) 2015-08-31 2017-11-14 Globalfoundries Inc. Hard mask etch and dielectric etch aware overlap for via and metal layers
US10197909B2 (en) * 2015-10-06 2019-02-05 Aselta Nanographics Method of reducing shot count in direct writing by a particle or photon beam
JP6515835B2 (ja) * 2016-02-23 2019-05-22 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10466586B2 (en) 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles
US11687008B2 (en) * 2018-02-22 2023-06-27 Applied Materials, Inc. Method for automated critical dimension measurement on a substrate for display manufacturing, method of inspecting a large area substrate for display manufacturing, apparatus for inspecting a large area substrate for display manufacturing and method of operating thereof
US20200096876A1 (en) * 2018-09-25 2020-03-26 Asml Us, Llc F/K/A Asml Us, Inc. Dose Map Optimization for Mask Making
DE102018217199A1 (de) * 2018-10-09 2020-04-09 Dr. Johannes Heidenhain Gmbh Gitterstruktur für eine diffraktive Optik
US10884395B2 (en) 2018-12-22 2021-01-05 D2S, Inc. Method and system of reducing charged particle beam write time
US11604451B2 (en) 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US10748744B1 (en) 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US11756765B2 (en) 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5425675A (en) 1977-07-28 1979-02-26 Nec Corp Electron beam exposure unit
JPS608844A (ja) 1983-06-29 1985-01-17 Pioneer Electronic Corp 電子ビームによるレジスト加工方法
JPS61105839A (ja) 1984-10-29 1986-05-23 Toshiba Corp 電子ビ−ム転写用マスク及びその製造方法
US4634871A (en) 1985-01-14 1987-01-06 Hughes Aircraft Company Method and apparatus for spot shaping and blanking a focused beam
US4698509A (en) 1985-02-14 1987-10-06 Varian Associates, Inc. High speed pattern generator for electron beam lithography
JPS637631A (ja) 1986-06-27 1988-01-13 Omron Tateisi Electronics Co 電子ビ−ム描画方法
US4818885A (en) 1987-06-30 1989-04-04 International Business Machines Corporation Electron beam writing method and system using large range deflection in combination with a continuously moving table
US5173582A (en) 1988-10-31 1992-12-22 Fujitsu Limited Charged particle beam lithography system and method
JPH02280315A (ja) 1989-04-20 1990-11-16 Mitsubishi Electric Corp 電子ビーム直接描画装置
JPH03205815A (ja) 1990-01-08 1991-09-09 Hitachi Ltd 可変整形絞り
JPH04137520A (ja) 1990-09-28 1992-05-12 Hitachi Ltd 電子線描画装置および描画方法
JPH04196516A (ja) 1990-11-28 1992-07-16 Seiko Epson Corp Eb露光方法および露光装置
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
JPH0536595A (ja) 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
JPH05267133A (ja) 1992-03-17 1993-10-15 Hitachi Ltd 斜め図形描画法
JPH05335221A (ja) 1992-05-28 1993-12-17 Fujitsu Ltd 荷電粒子線露光法および露光装置
JPH0620931A (ja) 1992-07-03 1994-01-28 Hitachi Ltd 電子ビーム露光方法
JP3288794B2 (ja) 1992-08-31 2002-06-04 株式会社東芝 荷電ビーム補正方法及びマーク検出方法
JPH0864522A (ja) 1994-06-16 1996-03-08 Nikon Corp 荷電粒子線転写方法
JP3203963B2 (ja) 1994-07-15 2001-09-04 株式会社日立製作所 電子線描画装置及び電子線描画方法
JP3340248B2 (ja) 1994-08-12 2002-11-05 沖電気工業株式会社 電子ビーム露光方法
JPH08195339A (ja) 1995-01-18 1996-07-30 Hitachi Ltd 電子ビーム描画方法
JPH08222504A (ja) 1995-02-14 1996-08-30 Hitachi Ltd 荷電粒子ビーム露光装置
JP3940824B2 (ja) 1995-08-14 2007-07-04 株式会社ニコン 荷電粒子線によるパターン転写方法および転写装置
JP3038141B2 (ja) * 1995-09-19 2000-05-08 ホーヤ株式会社 レジストパターン形成条件決定方法及びレジストパターン形成方法
JP2956577B2 (ja) 1996-03-28 1999-10-04 日本電気株式会社 電子線露光方法
US5825039A (en) 1996-11-27 1998-10-20 International Business Machines Corporation Digitally stepped deflection raster system and method of use thereof
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JPH10294255A (ja) 1997-04-17 1998-11-04 Canon Inc 電子ビーム照明装置、および該電子ビーム照明装置を備えた露光装置
JP3350416B2 (ja) 1997-10-01 2002-11-25 株式会社東芝 パターン形成方法
JPH11233401A (ja) 1998-02-09 1999-08-27 Hitachi Ltd 電子線描画方法及び電子線描画装置
AU3538599A (en) 1998-04-28 1999-11-16 Nikon Corporation Exposure system and method of manufacturing micro device
JP3076570B2 (ja) 1998-08-24 2000-08-14 松下電子工業株式会社 荷電粒子描画方法及び荷電粒子描画装置
US6218671B1 (en) 1998-08-31 2001-04-17 Nikon Corporation On-line dynamic corrections adjustment method
JP2000091191A (ja) 1998-09-09 2000-03-31 Nikon Corp 電子線露光用のマスクと露光装置及び電子線露光方法
JP2000269123A (ja) * 1999-03-19 2000-09-29 Toshiba Corp 露光パターンデータの生成方法と荷電ビーム露光装置
JP2001013671A (ja) 1999-06-30 2001-01-19 Toshiba Corp パターン形成方法
US6262427B1 (en) * 1999-07-15 2001-07-17 Nikon Corporation Variable transmission reticle for charged particle beam lithography tool
JP2001093809A (ja) 1999-09-22 2001-04-06 Toshiba Corp パターン描画方法及び荷電ビーム描画装置
JP2001144008A (ja) 1999-11-17 2001-05-25 Nec Corp 電子線露光方法、並びにこれに用いるマスク及び電子線露光装置
US6320187B1 (en) 1999-12-07 2001-11-20 Nikon Corporation Magnification and rotation calibration patterns for particle beam projection system
KR100327343B1 (ko) 2000-01-12 2002-03-06 윤종용 전자빔 리소그래피시 재산란된 전자빔에 의한 선폭변화를보정하는 방법 및 이를 기록한 기록매체
JP2001305720A (ja) 2000-02-18 2001-11-02 Nikon Corp 被転写媒体の製造方法、被転写パターン形成プログラムを記憶した記憶媒体、及び半導体デバイスの製造方法
JP2001313253A (ja) 2000-02-25 2001-11-09 Hitachi Ltd 電子線描画装置及び電子線描画方法
JP2002050559A (ja) 2000-08-01 2002-02-15 Canon Inc 露光装置及びそれを用いたデバイスの製造方法
JP2002075830A (ja) 2000-08-29 2002-03-15 Nikon Corp 荷電粒子線露光方法、レチクル及びデバイス製造方法
US6372391B1 (en) 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
JP3831188B2 (ja) 2000-09-27 2006-10-11 株式会社東芝 露光処理装置及び露光処理方法
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
JP2002151387A (ja) * 2000-11-10 2002-05-24 Jeol Ltd 電子ビーム描画方法
JP2002162566A (ja) 2000-11-27 2002-06-07 Nikon Corp 光学系の設計方法,光学系および投影露光装置
JP2002217088A (ja) 2001-01-17 2002-08-02 Nikon Corp 荷電粒子線露光装置、荷電粒子線露光方法及び半導体デバイスの製造方法
JP2002217092A (ja) 2001-01-22 2002-08-02 Nec Corp レジストパターンの形成方法および半導体装置の製造方法
JP2002329659A (ja) 2001-05-02 2002-11-15 Nikon Corp 荷電粒子線露光方法、荷電粒子線露光装置及びデバイス製造方法
US6919164B2 (en) 2001-06-08 2005-07-19 The Penn State Research Foundation Patterning compositions using E-beam lithography and structures and devices made thereby
US20030043358A1 (en) 2001-08-31 2003-03-06 Nikon Corporation Methods for determining focus and astigmatism in charged-particle-beam microlithography
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP3686367B2 (ja) 2001-11-15 2005-08-24 株式会社ルネサステクノロジ パターン形成方法および半導体装置の製造方法
JP4308467B2 (ja) 2001-12-27 2009-08-05 新光電気工業株式会社 露光方法及び露光装置
US6721939B2 (en) * 2002-02-19 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd Electron beam shot linearity monitoring
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
JP2003347192A (ja) 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
JP4327497B2 (ja) 2002-06-26 2009-09-09 株式会社アドバンテスト 電子ビーム露光装置、電子ビーム露光方法、半導体素子製造方法、マスク、及びマスク製造方法
JP2004134447A (ja) 2002-10-08 2004-04-30 Sony Corp 露光方法、マスクおよび半導体装置の製造方法
JP5053514B2 (ja) 2002-10-30 2012-10-17 マッパー・リソグラフィー・アイピー・ビー.ブイ. 電子ビーム露光システム
US7160475B2 (en) 2002-11-21 2007-01-09 Fei Company Fabrication of three dimensional structures
US6998217B2 (en) 2003-01-06 2006-02-14 Applied Materials, Inc. Critical dimension edge placement and slope enhancement with central pixel dose addition and modulated inner pixels
EP1439419B1 (en) 2003-01-14 2006-10-04 ASML MaskTools B.V. Method and apparatus for providing optical proximity correction features to a reticle pattern for optical lithography
JP2004273526A (ja) 2003-03-05 2004-09-30 Nikon Corp レチクル作製方法、レチクル及び荷電粒子線露光方法
JP2004304031A (ja) 2003-03-31 2004-10-28 Toshiba Corp マスクスキャン描画方法
JP4091470B2 (ja) 2003-05-06 2008-05-28 株式会社東芝 電子ビーム描画装置および電子ビーム描画方法
JP4046012B2 (ja) 2003-05-29 2008-02-13 ソニー株式会社 マスク歪データの生成方法、露光方法および半導体装置の製造方法
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2005079111A (ja) 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 電子線描画データ作成方法、作成装置及び作成プログラム並びに電子線描画装置
US6873938B1 (en) * 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
TWI298430B (en) 2004-03-31 2008-07-01 Hoya Corp Electron-beam plotting method, method of manufacturing lithographic mask, and electron-beam plotting device
JP4570400B2 (ja) 2004-06-03 2010-10-27 富士通セミコンダクター株式会社 露光データ作成方法及び露光データ作成装置
EP1612835A1 (en) * 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Method for Reducing the Fogging Effect
JP4603305B2 (ja) 2004-07-21 2010-12-22 ルネサスエレクトロニクス株式会社 露光方法、パターン寸法調整方法及び焦点ぼかし量取得方法
JP4324049B2 (ja) 2004-07-23 2009-09-02 富士通マイクロエレクトロニクス株式会社 マスクパターンの補正装置及び方法、並びに露光補正装置及び方法
JP2006100336A (ja) 2004-09-28 2006-04-13 Advantest Corp 電子ビーム露光用マスク、電子ビーム露光方法及び電子ビーム露光装置
JP4808447B2 (ja) 2005-08-01 2011-11-02 株式会社リコー 電子ビーム描画方法及び電子ビーム描画装置
JP2007115999A (ja) 2005-10-21 2007-05-10 Toshiba Corp キャラクタプロジェクション(cp)方式の荷電粒子ビーム露光方法、キャラクタプロジェクション方式の荷電粒子ビーム露光装置及びプログラム
US7788628B1 (en) 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
JP4976071B2 (ja) * 2006-02-21 2012-07-18 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
EP2002458B1 (en) 2006-04-03 2009-11-04 IMS Nanofabrication AG Particle-beam exposure apparatus with overall-modulation of a patterned beam
JP2007305880A (ja) 2006-05-12 2007-11-22 Toshiba Corp キャラクタパターン抽出方法、荷電粒子ビーム描画方法、及びキャラクタパターン抽出プログラム
US20070280526A1 (en) 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer
JP4843425B2 (ja) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 可変成形型電子ビーム描画装置
JP4378648B2 (ja) 2006-10-06 2009-12-09 エルピーダメモリ株式会社 照射パターンデータ作成方法、マスク製造方法、及び描画システム
US7902528B2 (en) 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
JP2008175959A (ja) 2007-01-17 2008-07-31 Toshiba Corp フォトマスク製造方法、及び半導体装置の製造方法
GB2451480B (en) 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
JP5090887B2 (ja) 2007-12-18 2012-12-05 日本電子株式会社 電子ビーム描画装置の描画方法及び電子ビーム描画装置
NL2003143A1 (nl) 2008-07-07 2010-01-11 Brion Tech Inc Illumination optimization.
US7759027B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
US7799489B2 (en) 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8017288B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
EP2321701A2 (en) 2008-09-01 2011-05-18 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using character projection lithography
KR101688506B1 (ko) * 2008-09-01 2016-12-21 디2에스, 인코포레이티드 가변 형상 비임 리소그래피를 이용한 레티클의 광 근접 보정, 설계 및 제조 방법
US7981575B2 (en) 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US8312406B2 (en) * 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
JP5570774B2 (ja) * 2009-08-04 2014-08-13 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置および方法
JP2011040716A (ja) 2009-08-06 2011-02-24 Nikon Corp 露光装置、露光方法、およびデバイス製造方法
WO2011021346A1 (ja) * 2009-08-21 2011-02-24 株式会社 日立ハイテクノロジーズ パターン形状推定方法、及びパターン測定装置
JP2013502729A (ja) 2009-08-21 2013-01-24 ディー・ツー・エス・インコーポレイテッド キャラクタプロジェクションリソグラフィを用いて可変倍率で表面を製造するための方法および装置
TWI496182B (zh) 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
US8137871B2 (en) 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
JP5289343B2 (ja) 2010-01-15 2013-09-11 株式会社東芝 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置
US8193005B1 (en) * 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US20120217421A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with overlapping shots
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography

Similar Documents

Publication Publication Date Title
JP2015515148A5 (ja)
TWI526788B (zh) 以帶電粒子束微影術破壞及形成使用曲線符元之圖案之方法
TWI605302B (zh) 使用帶電粒子束微影術之用於臨界尺寸一致性之方法
EP3037878B1 (en) Method of applying vertex based corrections to a semiconductor design
US8017286B2 (en) Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8062813B2 (en) Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8492055B2 (en) Method and system for fracturing a pattern using lithography with multiple exposure passes
US20180108513A1 (en) Method and system for dimensional uniformity using charged particle beam lithography
van der Holst et al. A multidimensional grid-adaptive relativistic magnetofluid code
JP2015519555A5 (ja)
JP2014029982A5 (ja)
Frezzotti et al. Solving model kinetic equations on GPUs
Granik et al. Toward standard process models for OPC
JP2014123737A (ja) 電子ビーム・リソグラフィによってプレートまたはマスク上に印刷されるパターンを推定する方法、および対応する印刷装置
JP2016100445A5 (ja)
TW201308029A (zh) 用於使用可變形束微影形成非曼哈頓圖案的系統及方法
JP2013206996A5 (ja)
WO2011078968A2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
JP2014164054A5 (ja)
US20130290913A1 (en) Method for optical proximity correction of a reticle to be manufactured using shaped beam lithography
US9448473B2 (en) Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
JP6102160B2 (ja) 前方散乱およびビームブラー補正装置、前方散乱およびビームブラー補正方法ならびに前方散乱およびビームブラー補正プログラム
JP6252137B2 (ja) 成膜シミュレーション装置及び成膜シミュレーション方法
Aziz Nor et al. Is Gravitational Search Algorithm's Initial Gravitational Constant a Function Dependent Parameter?
JP2006276472A5 (ja)