JP5121117B2 - 強度プロフィールを最適化する方法及びプログラム - Google Patents

強度プロフィールを最適化する方法及びプログラム Download PDF

Info

Publication number
JP5121117B2
JP5121117B2 JP2004344913A JP2004344913A JP5121117B2 JP 5121117 B2 JP5121117 B2 JP 5121117B2 JP 2004344913 A JP2004344913 A JP 2004344913A JP 2004344913 A JP2004344913 A JP 2004344913A JP 5121117 B2 JP5121117 B2 JP 5121117B2
Authority
JP
Japan
Prior art keywords
eigenfunction
filtering
mask
optical system
interference map
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004344913A
Other languages
English (en)
Other versions
JP2005141241A (ja
Inventor
レイディグ トマス
Original Assignee
エーエスエムエル マスクツールズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル マスクツールズ ビー.ブイ. filed Critical エーエスエムエル マスクツールズ ビー.ブイ.
Publication of JP2005141241A publication Critical patent/JP2005141241A/ja
Application granted granted Critical
Publication of JP5121117B2 publication Critical patent/JP5121117B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Description

本発明は、望まれない印刷(つまり描像)を最小にするために基板の表面上に形成すべきパターンの強度プロフィールを最適化するリソグラフィ方法に関する。
(優先権の主張)
本発明は、2003年10月30日に出願され「Eigenfunction Filtering for Interference Map Technology」と題した米国暫定特許出願第60/515,708号に対する優先権を主張する。
リソグラフィ投影装置は例えば、集積回路(IC)の製造において使用可能である。この場合、パターニング手段はICの個々の層に対応する回路パターンを含むことができ、このパターンを、放射線感光原料(レジスト)の層が塗布された基板(シリコンウェハ)上の目標部分(例えば1つあるいはそれ以上のダイから成る)に描像することができる。一般的に、シングルウェハは、投影システムを介して1つずつ順次照射される近接目標部分の全体ネットワークを含んでいる。1つのタイプのリソグラフィ投影装置では、全体マスクパターンを目標部分に1回の作動にて露光することによって各目標部分が照射される。こうした装置は一般的にウェハステッパと称されている。一般に走査ステップ式装置と称される別の装置では、所定の基準方向(「走査」方向)にマスクパターンを投影ビームで徐々に走査し、これと同時に基板テーブルをこの方向と平行に、あるいは反平行に走査することにより、各目標部分が照射される。一般的に、投影システムは倍率係数M(一般的に、<1)を有することから、基板テーブルが走査される速度Vは、マスクテーブルが走査される速度の係数M倍となる。ここに記載を行ったリソグラフィデバイスに関するさらなる情報は、例えば、米国特許第US6,046,792号を参照されたい。
リソグラフィ投影装置を使用する製造プロセスにおいて、マスクパターンは少なくとも部分的に放射線感光材(レジスト)の層で覆われた基板上に描像される。この描像ステップに先立ち、基板は、プライミング、レジスト塗布、およびソフトベークといったような各種のプロセスを経る。露光後、基板は、露光後ベーク(PEB)、現像、ハードベーク、および描像形態の測定/検査といったような他の工程を通る。このプロセスの配列は、例えばICといったような素子の個々の層をパターニングするための基準として使用される。このようなパターニングされた層は、それから、全て個々の層を仕上げる目的である、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械的研磨等といった種々のプロセスを経る。これらは全て個々の層を仕上げるためのものである。数枚の層が必要とされる場合には、全体プロセス、もしくはその変形をそれぞれの新しい層に繰り返す必要がある。最終的に、素子のアレイが基板(ウェハ)上に形成される。次に、これらの素子はダイシングやソーイングといったような技法で相互より分離される。それから個々の素子は、キャリアに装着されたり、ピンに接続されたりし得る。こうしたプロセスに関するさらなる情報は、1997年にマグローヒル出版会社より刊行された、Peter van Zant著、「マイクロチップ製造:半導体処理に対する実用ガイド」という名称の書籍(“Microchip Fabrication:A Pratical Guide to Semiconductor Processing”)の第3版、ISBN0−07−067250−4を参照されたい。
簡潔化の目的で、これより投影システムを「レンズ」と称するものとする。しかし、この用語は、例えば屈折光学システム、反射光学システム、および反射屈折光学システムを含むさまざまなタイプの投影システムを網羅するものとして広義に解釈されるべきである。放射線システムはまた、放射線の投影ビームの誘導、成形、あるいは制御を行う、こうした設計タイプのいずれかに応じて稼動する構成要素も備えることが出来る。こうした構成要素もまた以降において集約的に、あるいは単独的に「レンズ」と称する。さらに、リソグラフィ装置は2つあるいはそれ以上の基板テーブル(および/または2つもしくはそれ以上のマスクテーブル)を有するタイプのものである。このような「多段」デバイスにおいては、追加のテーブルが並列して使用される。もしくは、1つ以上の他のテーブルが露光に使用されている間に予備工程が1つ以上のテーブルにて実行される。2段リソグラフィ装置については、例えば米国特許第US5,969,441号および国際特許出願第WO98/40791号を参照されたい。
上記で言及したフォトリソグラフマスクは、シリコンウェハ上に集積すべき回路コンポーネントに対応する幾何学的パターンを有する。このようなマスクの生成に使用するパターンは、CAD(コンピュータ援用設計)プログラムを使用して生成され、このプロセスはEDA(電子設計自動化)と呼ぶことが多い。大部分のCADプログラムは、機能的マスクを生成するために1組の所定の設計規則に従う。これらの規則は、処理および設計の制限によって設定される。例えば、設計規則は、回路デバイスまたは線が望ましくない方法で相互作用しないよう、回路デバイス(ゲート、コンデンサなど)または相互接続線間のスペース公差を画定する。設計規則の制限を、通常は「限界寸法」(CD)と呼ぶ。回路の限界寸法は、線の最小幅または2本の線間の最小スペースと定義することができる。したがって、CDは設計された回路の全体的なサイズおよび密度を決定する。
言うまでもなく、集積回路製造の目的の一つは、(マスクを介して)オリジナルの回路設計をウェハ上に忠実に再現することである。別の目的は、可能な限り多くの半導体ウェハの資源を使用することである。さらに別の目的は、照明を最適化し、ウェハ上の像のコントラストを強化することである。さらに別の目的は、焦点深さ(DOF)および露光寛容度(EL)を挙げることである。しかし、コンタクトホールなどのリソグラフィフューチャのサイズが益々微小になっているので、マスク上の対応するホールに光を通すことが、益々困難になっている。そのため、DOFおよびELが低下する。この問題を克服するための従来の技術は、生成しているフューチャへの光の強度を上げるよう、マスク上に補助フューチャを配置することであり、これもDOFおよびELを上げる。しかし、補助フューチャの最適な位置を決定するために、さらに最適化およびモデルの方法を生成しなければならない。むしろ、人間が試験基板を検査した後に、補助フューチャを配置する。したがって、補助フューチャを使用して提供された補正は、往々にして補助フューチャを配置する担当の人間/設計者の技術および能力によって制限される。補助フューチャのサイズを最適化する方法も、さらに開発の余地がある。これも、人間/設計者の技術および能力に制限されてきた。
以下の説明は、望ましくない印刷をせずに(最大の利益を獲得するために)最大サイズの補助フューチャを生成するため、この方法を実行する新規の方法および装置について検討する。
開示された概念は、光学システムを使用して、任意のマスクに対して基板の表面に形成すべきパターンの強度プロフィールを最適化するための方法およびプログラム製品を含む。これは、任意のマスクからの解像可能なフューチャを数学的に表示し、その干渉マップ表示を生成することによって達成される。干渉マップを生成する際に、光学システムの特徴は固有関数で表示することができる。この固有関数に直接基づいた補助フューチャの定義は、コンタクトセンタにおける強度の最大化に関して最大の利点を有するが、往々にして望ましくない印刷の結果となる。この直接的方法では、このような印刷を防止するものが何もなく、本質的に連続的トーンの干渉マップを離散的トーンのマスクに変換することによって導入される近似エラーによって、これが悪化することがある。望ましくない印刷は、強化した干渉マップおよび、そこから生成されるマスクが、望ましくない印刷を引き起こす補助フューチャの局所的不均衡を最小にするので最小にされる。
本発明の以上およびその他の特徴、態様および利点は、本発明に関する以下の詳細な説明を添付図面と組み合わせて考察することにより、さらに明白になる。
本発明は、2004年1月14日に出願され、「Method and Apparatus for Providing Optical Proximity Features to a Reticle Pattern for Deep Sub-Wavelength Optical Lithography」と題した米国暫定特許出願第10/756,830号(米国特許出願公報番号は未決)(これ以下、第’830号出願と呼ぶ)にもとづくものである。
さらに本発明は、2004年1月14日に出願され、「Method of Optical Proximity Correction Design for Contact Hole Mask」と題した米国特許出願第10/756,829号(米国特許出願公報番号は未決)(これ以下、第’829号出願と呼ぶ)にももとづくものである。
第’830号出願は、補助フューチャ自体の望ましくない印刷を最小にしながら、同時に望ましいフューチャの描像を最適化する、補助フューチャ決定の新規の方法について説明している。特に、これは高干渉性の軸上(部分干渉性<0.4)および強力な軸外照明(例えばクェーサ、二重双極子、および単一双極子照明など)を含むほぼ全ての照明のいずれを使用しても、深い波長未満のマスクパターンの全ピッチ範囲を描像することができる方法を教示する。ウェハ上に印刷されない(つまり解像度未満または非印刷フューチャ)が、所期のマスクフューチャの空中像を強化する補助フューチャをマスクパターンに追加し、その結果、印刷解像度が高く、プロセス寛容度が大きくなる。問題の光学区域にある各ポイントが所望の目標パターンと建設的または相殺的に干渉するか否かを画定する「干渉マップ」に基づいて、補助フューチャの配置を決定することが重要である。
第’829号出願は、照明システムの特定の特徴を考慮に入れた干渉マップを生成することで補助フューチャを決定することにより、所望のフューチャの印刷を強化することができる方法を説明している。このような干渉マップは、任意のマスクの所望の描像挙動の本質を捕捉する「ゴール関数」を最初に展開することによって生成することができる。コンタクトの印刷については、ゴール関数は、それぞれがコンタクトスポットまたは明るいスポットを表す衝撃関数で構成することができる。次に、任意の光学システムの自然な応答を表す固有関数を、ゴール関数で畳み込む。その結果生じた像は、印刷される区域の光の強度を収束するため、補助フューチャの決定に使用できる強化した干渉マップ(光学システムの特徴を考慮に入れている)に対応する。
クロム位相リソグラフィ(CPL)マスクまたは少なくとも2つの別個の透過レベルを有するマスクの場合、1次フューチャを建設的干渉のため、印刷する補助フューチャと同相となる補助フューチャもあり、位相がずれて、つまり、コンタクトホールなどの1次フューチャの空中像は、透明な補助フューチャ(100%の透過率)を配置することによって改良することができ、ここでは干渉マップに建設的干渉が生じる。次に、干渉マップで相殺的干渉が生じる180°の補助フューチャ(−100%の透過率)を配置し、これによりCPLマスクを生成することにより、空中像の強度をさらに上げることができる。つまり、180°の補助フューチャ(−100%の透過率)は、相殺的干渉の位相を逆転させ、これによって相殺的干渉区域が強度に建設的に寄与する。このような相殺的干渉区域の位相逆転により、マスクの全ての区域を建設的に使用することができ、これはフューチャ/パターンを生成するための強度を最大にする。
第’830号および第’829号出願によって開示された概念は、実際に補助フューチャを決定することができる新規の方法について説明しているが、時には、印刷すべきフューチャの外側の区域にある空中像に、補助フューチャによって引き起こされる強度が高いスポットまたは明るいスポットによって、幾つかの望ましくない印刷が引き起こされることもある。本明細書で検討する新規の概念は、補助フューチャを使用することの利点を維持しながら、補助フューチャによって引き起こされる望ましくない印刷を克服する。本明細書で説明する方法はさらに、補助フューチャが比較的大きく、0°および180°の補助フューチャが、不透明な(クロムの)背景にある小さい開口と言うよりむしろ、相互に直接接するという点で、以前の方法とは異なる。このようにフューチャが大きくなると、マスク作成プロセスで正確に実行するのが容易になるが、この利点は、補助フューチャとそのクロムの境界との間より、補助フューチャ同士の間でエッジを位置決めする方が重要であるという欠点によって相殺されてしまう。
任意の光学システムの固有関数が、その光学システムの自然の応答を表すことに留意されたい。部分的に干渉性の描像システムは、一連の独立した干渉性描図システムに分解できることが分かっている。多くの異なる分解方法が可能であるが、「最適干渉性分解」と呼ばれることが多い方法は、干渉性カーネルを使用し、これは特徴的な整関数の固有関数である。本明細書では、最適干渉性分解方法は本発明を制限するものではない。積分方程式の演算子は全て、光学描像システム、つまり波長、NA、照明プロフィールなどによって決定される。この分解を使用すると、強度関数は下式のようになる。
Figure 0005121117
ここで、
I(x,y)=投影(ウェハ)面における強度関数、
O=全体的な照明強度、
M(x,y)=有効複素マスク透過関数、
ψi(x,y)=光学系のi番目の固有関数、
λi=ψi(x,y)に対応する固有関数、である。
固有関数は、大きい固有値から小さい固有値の順番で従来通りに番号を付ける。干渉マップは、任意の固有関数を使用して計算することができ、そこから導出される補助フューチャは、特定の固有関数が表す描像システムのコンポーネントを「シミュレーション」する。しかし、この「シミュレーション」の効率は、固有値が低下するにつれて低下し、そのため、最初の固有関数ψ1が最も関心のある固有関数の一つになる。言うまでもなく、正確さを上げるためには、干渉マップの生成に複数の固有関数(好ましくは第一固有関数を含む)を使用することができる。
1つの孤立したコンタクトについて考察してみる。このコンタクトの透過関数を任意の光学システムの固有関数で畳み込むことにより、干渉マップは一連の明るい区域および暗い区域を有することになる。図1は、1つの孤立したコンタクトを通る切断線の固有関数を示す。予想されるように、固有関数は副ローブ10を有し、これは第二、第三などの固有関数(図示せず)に見える。これらの副ローブは、印刷すべきフューチャに対応する主ローブに、可能な限り多くの強度を収束させるため、同相補助フューチャまたは位相ずれ補助フューチャの配置に関連する。しかし、同相補助フューチャが位相ずれ補助フューチャと「不均衡」である場合は、主ローブ、つまり印刷すべきフューチャの外側の空中像に建設的干渉が生じる。これにより、望ましくない印刷が生じ、これは局所的不均衡の結果である。
望ましくない印刷は図2に見られ、これはコンタクトの例示的なパターンと、対応するマスクパターンと、図1で示した第一固有関数を使用してシミュレーションした予想印刷との組合せの像を示す。図2を参照すると、同相マスク区域を12で、位相ずれマスク区域を14で、クロムマスク区域を16で、コンタクトパターンを18で、予想される印刷を20で示す。図1の固有関数は不均衡である、つまりプラスの副ローブがマイナスのそれより大きいことが分かる。このことは、間隔が狭いコンタクトのグループからの建設的干渉と組み合わせると、180°の補助フューチャより0°の補助フューチャで覆われる補助フューチャの区域が多くなる干渉マップが生成される。これにより、基板上に望まれない印刷が引き起こされ、これは図2で示すように、所期のコンタクトパターン18の外側にある予想された印刷区域20に対応する。
望まれない印刷を最小にするため、固有関数を変更して、補助フューチャによって引き起こされるような望ましくないフューチャを印刷しないという基本設定を封入することができる。同相補助フューチャと位相ずれ補助フューチャを細かく均衡させることにより、望まれないフューチャを印刷させる局所的不均衡が最小になる。これは、フィルタリングした関数から計算した補助フューチャ区域全体がほぼ均衡するよう、固有関数をフィルタリングすることによって達成することができる。これにより、第’829号および第’830号出願で開発され、説明された望ましいフューチャ印刷の強化の大部分が維持される。
局所的不均衡が発生する理由は単純である。任意のマスクでは、補助フューチャはコンタクトと異ならない。補助フューチャは、同相または位相ずれの区域(2つの別個の透過レベルのマスクを呈する)をマスク上に生成する。マスクを全体として考えると、補助フューチャは主として均衡している。しかし、マスクの局所的区域を考えると、補助フューチャが不均衡であることが分かることが多い。したがって、目標は、局所的不均衡に寄与する同相補助フューチャおよび位相ずれ補助フューチャを考察することである。この局所的不均衡は、主に固有関数の低い空間周波数の結果である。したがって、高い空間周波数を検査し、固有関数から低い空間周波数を除去することにより、局所的不均衡を最小にすることができる。低い空間周波数は、局所的不均衡に大きく寄与する同じ位相の比較的大きい区域に対応する。「局所的」とは、ある範囲にわたってλ/NAのオーダーの平均を指す。
固有関数から低い周波数の空間周波数を除去することにより、DC成分も除去される。しかし、副ローブ区域の固有関数のみフィルタリングすることが望ましい。固有関数全体でフィルタリングを実行すると、主ローブが失われ、これは光の強度を最大にする必要がある区域が失われることとなる。つまり、フィルタリングは主ローブを無視し、副ローブを表す関数の部分のみをフィルタリングして、DC成分および低い空間周波数を除去する必要がある。このフィルタリングは、同相補助フューチャと位相ずれ補助フューチャの区域をほぼ等しくし、フィルタリングした第一固有関数のプロットを示す図3でこれを見ることができる。ゼロより上の高いピークが結果として生じるが、ピークの区域は、ゼロより下にある広く浅いピークと均衡することに留意されたい。
フィルタリングは、ガウス空間フィルタを使用して実行することができ、これは原点での区域を無効にし、次にガウススペクトルフィルタを適用して低い周波数を除去する。言うまでもなく、煉瓦壁フィルタなどの他のフィルタを使用することもできる。しかし、煉瓦壁フィルタなどの突然の中断部があるフィルタは、「リンギング」効果を呈する傾向があり、特定の周波数または位置を不当に強調し、他を抑制する。したがって、「行儀の良い」数学的関数であるので、ガウスフィルタが好ましい。ガウスフィルタの数式は以下の通りである。
Figure 0005121117
ここで、
(x,y)=空間的位置(ミクロン単位)、
R=空間フィルタの半径(ミクロン単位)、
(kx,ky)=スペクトル周波数(ラジアン/ミクロン単位)、
ρ=スペクトルフィルタの半径(ラジアン/ミクロン単位)である。
図4は、フィルタリングした第一固有関数とフィルタリングしていない第一固有関数のプロットを示す。フィルタリングした固有関数を、マスク透過関数で畳み込む。補助フューチャが生成されたら、従来のOPCループを実行して、所望のコンタクトのサイズを達成することができる。
図5は、フィルタリングした固有関数を使用してマスクを生成するステップと流れ図を示す。ステップ1では、マスクパターンが数式M(x,y)で、つまり有効複素マスク透過関数で表され、ステップ2では、任意の光学システムの固有関数を生成する。上述したように、ステップ3では副ローブ区域で固有関数をフィルタリングして、DC成分および低い空間周波数を除去する。ステップ3では、所望の正確さに応じて、複数の固有関数をフィルタリングすることができる。ステップ4では、上述したように、ステップ3からのフィルタリングした固有関数を、ステップ1で決定したM(x,y)で畳み込み、干渉マップを生成する。干渉マップは、同相補助フューチャおよび位相ずれ補助フューチャが必要な区域を識別することに留意されたい。同相および位相ずれ補助フューチャは、干渉マップのプラスの区域とマイナスの区域との境界を辿る縁で生成される。干渉マップは、フィルタリングした固有関数から導出されるので、プラスの区域とマイナスの区域との局所的不均衡を生成する低い空間周波数がない。このように生成した補助フューチャは、理想的に複雑な湾曲形状を有することに留意されたい。これは、効果を大きく失うことなく、単純化して多角形近似にすることができる。ただし、単純化に「バイアスがかかっていない」場合に限る。つまり、同相補助フューチャと位相ずれ補助フューチャとの間に正味不均衡を導入しない場合である。
上記の分析を実行する際に、望んでいないフューチャの印刷を引き起こす局所的不均衡を最小にすることができる。これについては、以下で説明する例でさらに示す。
(実施例)
本発明は、以下の例には制限されない。様々な拡張および代替実施形態が可能であることが、当業者には理解され、認識される。
以下の条件でコンタクトを印刷する例について考察する。
Figure 0005121117
空間ドメインにあってフィルタリングしていない第一固有関数の像を、図6で示し、スペクトルドメインにあってフィルタリングしていない第一固有関数の像を、図7で示す。プラスとマイナスの副ローブが十分に混合されていず、x軸とy軸に沿って第一のプラスの副ローブ後に1対のマイナスの副ローブがあり、これはこれより大きいローブにほぼ統合されている。対角線に沿って同様の挙動を見ることができる。
空間ドメインにあってフィルタリングしていない第二固有関数の像を、図8で示し、スペクトルドメインにあってフィルタリングしていない第一固有関数の像を、図9で示す。第二固有関数(および第二固有関数の正確なコピーであるが、90°回転している第三固有関数が、干渉マップを生成するために可能な候補者である。この例では、第二固有値は、第一固有値の0.41倍であり、そのため補助フューチャを決定するための第二固有関数の有用性がはるかに低下する。フィルタリングをしないと、この固有関数は、記号がほぼ一定に維持される大きい区域も有する。
図10および図11で示した第一固有関数は、0.25μmの半径で空間的にフィルタリングされ、20ラジアン/μmの半径でスペクトルをフィルタリングされている。図11は、スペクトルドメインにあってフィルタリングした第一固有関数を示し、図10は、空間ドメインにあってフィルタリングした第一固有関数を示す。フィルタリングした関数は、プラスとマイナスのローブのはるかに均質な混合を示し、これはスペクトル高域通過フィルタリングによる。
図12は、所望のコンタクトのパターン、マスクパターン、およびシミュレーションした予想印刷を組み合わせた像を示す。所望のコンタクトのパターンは、図2で示したパターンに対応する。同相マスク区域を12で、位相ずれマスク区域を14で、クロムマスク区域を16で、所期のコンタクトパターンを18で、予想される印刷を20で示すことに留意されたい。図2と比較すると、シミュレーションした予想印刷から望んでいない印刷が削除されており、これは本明細書で説明したように固有関数をフィルタリングすることによって達成され、したがって0°と180°の補助フューチャのさらに等価に等しい区域を生成している。
図13は、本発明の助けにより設計したマスクで使用するのに適切なリソグラフィ投影装置を概略的に示したものである。この装置は、
− この特別なケースでは放射線ソースLAも備えた、放射線の投影ビームPBを供給する放射線ソースEx、ILと、
− マスクMA(例えばレクチル)を保持するマスクホルダを備え、かつ、品目PLに対して正確にマスクの位置決めを行う第一位置決め手段に連結を行った第一オブジェクトテーブル(マスクテーブル)MTと、
− 基板W(例えばレジスト塗布したシリコンウェハ)を保持する基板ホルダを備え、かつ、品目PLに対して正確に基板の位置決めを行う第二位置決め手段に連結を行った第二オブジェクトテーブル(基板テーブル)WTと、
− マスクMAの照射部分を、基板Wの目標部分C(例えば、1つあるいはそれ以上のダイから成る)に描像する投影システム(「レンズ」)PL(例えば屈折性、反射性または反射屈折性光学システム)とにより構成されている。
ここで示しているように、本装置は透過タイプである(すなわち透過マスクを有する)。しかし、一般的には、例えば(反射マスクを有する)反射タイプのものも可能である。あるいは、本装置は、上記に関連するタイプであるプログラマブルミラーアレイといったような、他の種類のパターニング手段も使用可能である。
ソースLA(例えば水銀ランプまたはエキシマレーザ)は放射線のビームを作り出す。このビームは、直接的に、あるいは、例えばビームエキスパンダExといったような調整手段を横断した後に、照明システム(照明装置)ILに供給される。照明装置ILは、ビームにおける強度分布の外部および/あるいは内部放射範囲(一般的にそれぞれ、σ−outerおよびσ−innerと呼ばれる)を設定する調整手段AMから成る。さらに、これは一般的に積分器INおよびコンデンサCOといったような、他のさまざまな構成要素を備える。このようにして、マスクMAに照射するビームPBは、その断面に亘り所望する均一性と強度分布とを有する。
図13に関して、ソースLAはリソグラフィ投影装置のハウジング内にある(これは例えばソースLAが水銀ランプである場合に多い)が、リソグラフィ投影装置から離して配置することも可能であることを注記する。この場合、ソースLAが作り出す放射線ビームは(例えば適切な案内ミラーにより)装置内に導かれる。この後者のシナリオでは、ソースLAがエキシマレーザ(例えばKrF、ArFまたはF2レーザに基づく)である場合が多い。本発明および請求の範囲はこれら両方のシナリオを網羅するものである。
続いてビームPBはマスクテーブルMT上に保持されているマスクMAに入射する。ビームPBはマスクMAを横断して、基板Wの目標部分C上にビームPBの焦点を合わせるレンズPLを通過する。第二位置決め手段PW(および干渉計測手段IF)の助けにより、基板テーブルWTは、例えばビームPBの経路における異なる目標部分Cに位置を合わせるために正確に運動可能である。同様に、第一位置決め手段PMは、例えばマスクライブラリからマスクMAを機械的に検索した後に、あるいは走査運動の間に、ビームPBの経路に対してマスクMAを正確に位置決めするように使用可能である。一般的に、オブジェクトテーブルMT、WTの運動はロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)にて行われる。これについては図13に明示を行っていない。しかし、ウェハステッパの場合(走査ステップ式装置とは対照的に)、マスクテーブルMTはショートストロークアクチュエータに連結されるだけであるか、あるいは固定される。
ここに表した装置は2つの異なるモードにて使用可能である。
1.ステップモードにおいては、マスクテーブルMTは基本的に静止状態に保たれている。そして、マスクの像全体が1回の作動(すなわち1回の「フラッシュ」)で目標部分Cに投影される。次に基板テーブルWTがx方向および/あるいはy方向にシフトされ、異なる目標部分CがビームPBにより照射され得る。
2.走査モードにおいては、基本的に同一シナリオが適用されるが、但し、ここでは、所定の目標部分Cは1回の「フラッシュ」では露光されない。代わって、マスクテーブルMTが、速度vにて所定方向(いわゆる「走査方向」、例えばy方向)に運動可能であり、それによってビームPBがマスクの像を走査する。これと同時に、基板テーブルWTが速度V=Mvで、同一方向あるいは反対方向に運動する。ここで、MはレンズPLの倍率(一般的にM=1/4あるいは1/5)である。このように、解像度を妥協することなく、比較的大きな目標部分Cを露光することが可能となる。
本明細書で開示した概念は、波長未満のフューチャを描像するために一般的な描像システムをシミュレーションまたは数学的にモデル化することができ、ますます小さいサイズの波長を生成することができる新進の描像テクノロジで特に有用である。既に使用されている新進のテクノロジは、ArFレーザで生成した193nmの波長、およびフッ素レーザで生成した157nの波長を含む。さらに、EUV(極紫外線)リソグラフィは、20〜5nmの範囲内で光子を生成するために、シンクロトロンを使用するか、材料(固体またはプラズマ)に高エネルギの電子を当てることにより、この範囲内の波長を生成することができる。大部分の材料はこの範囲内で吸収性であるので、照明はモリブデンとシリコンを複数積み重ねた反射性ミラーで照明を生成することができる。複数積み重ねミラーは、40層対のモリブデンおよびシリコンを有し、各層の厚さは1/4波長である。X線リソグラフィでは、さらに小さい波長を生成することもできる。通常は、シンクロトロンを使用してX線波長を生成する。
本明細書で開示する概念は、シリコンウェハなどの基板上の描像に使用できるが、開示された概念は、例えばシリコンウェハ以外の基板への描像に使用するなど、任意のタイプのリソグラフィ描像システムで使用することができる。
コンピュータシステムのソフトウェアの機能性には、実行可能なコードを含むプログラミングがあり、上述した描像モデルを実行するために使用することができる。ソフトウェアコードは、汎用コンピュータで実行可能である。使用時には、コードおよび場合によっては関連するデータレコードを、汎用コンピュータのプラットフォーム内に記憶する。しかし、他の場合には、ソフトウェアを他のロケーションに記憶するか、適切な汎用コンピュータシステムにロードするために転送する、あるいはその両方を実行することができる。したがって、以上で検討した実施形態は、少なくとも1つのマシンで読み出し可能な媒体が保持する1つまたは複数モジュールのコードの形態で、1つまたは複数のソフトウェア製品を含む。コンピュータシステムのプロセッサでこのようなコードを実行すると、プラットフォームは、基本的に本明細書で検討し、図示した実施形態で実行する方法で、カタログおよび/あるいはソフトウェアのダウンロード機能を実行することができる。
本明細書では、コンピュータまたはマシンで「読み出し可能媒体」などの用語は、実行するためにプロセッサに命令を提供する動作に参加する任意の媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、および伝送媒体など、任意の形態をとることができるが、これに制限されない。不揮発性媒体には、例えば光学または磁気ディスクがあり、上述したサーバプラットフォームの一つとして動作するコンピュータ内のいずれかの記憶デバイスなどがある。揮発性媒体には、このようなコンピュータプラットフォームのメインメモリなど、動的メモリがある。物理的伝送媒体には、同軸ケーブル、コンピュータシステム内のバスを含むワイヤなどの銅線および光ファイバがある。搬送波伝送媒体は、電気または電磁信号、または高周波(RF)および赤外線(IR)データ通信中に生成されるような音波または光波の形態をとることができる。したがって、コンピュータで読み出し可能な媒体の一般的形態には、例えばフロッピーディスク(登録商標)、フレキシブルディスク、ハードディスク、磁気テープ、任意の他の磁気媒体、CD−ROM、DVD、任意の他の光学媒体、パンチカードや紙テープなどのそれほど一般的に使用されていない媒体、穴のパターンを有する他の任意の物理的媒体、RAM、PROM、およびEPROM、FLASH−EPROM、他の任意のメモリチップまたはカートリッジ、搬送波伝送データまたは命令、このような搬送波を伝送するケーブルまたはリンク、コンピュータがプログラミングコードおよび/あるいはデータを読み出すことができる任意の他の媒体がある。このようなコンピュータで読み出し可能な媒体の形態の多くは、1つまたは複数の命令の1つまたは複数のシーケンスを、実行するためにプロセッサに搬送することに関与する。
以上、本発明の実施形態を詳細に説明したが、説明とは異なる方法でも本発明を実践できることが理解される。本説明は本発明を制限する意図ではない。
任意の光学システムの第一固有関数のプロットを示したものである。 コンタクトの所望のパターンと、マスクパターンと、図1でプロットした固有関数を使用して決定したシミュレーション印刷との組合せの像を示したものである。 開示された概念による任意の光学システムのフィルタリングした第一固有関数のプロットを示したものである。 図3のフィルタリングした第一固有関数および図1の第一固有関数のプロットを示したものである。 開示された概念によりフィルタリングした固有関数を使用して補助フューチャを生成する流れ図を示したものである。 クェーサ照明を使用した光学システムの空間ドメインにおける第一固有関数の例示的な像を示したものである。 クェーサ照明を使用した光学システムのスペクトルドメインにおける第一固有関数の例示的な像を示したものである。 クェーサ照明を使用した光学システムの空間ドメインにおける第二固有関数の例示的な像を示したものである。 クェーサ照明を使用した光学システムのスペクトルドメインにおける第二固有関数の例示的な像を示したものである。 クェーサ照明を使用した光学システムで空間ドメインにてフィルタリングされた第一固有関数の例示的な像を示したものである。 クェーサ照明を使用した光学システムでスペクトルドメインにてフィルタリングされた第一固有関数の例示的な像を示したものである。 コンタクトの望ましいパターンと、マスクパターンと、図10および図11でプロットしたフィルタリング済み固有関数を使用して決定したシミュレーション印刷との組合せの像を示したものである。 本発明の助けにより設計したマスクで使用することが適切なリソグラフィ投影装置を概略的に示したものである。

Claims (10)

  1. 光学システムを使用してマスクに対して基板の表面に形成すべきパターンの強度プロフィールを最適化する方法であって、
    前記マスクの印刷挙動を表す数学的関数を生成するステップと、
    前記光学システムの特徴を表す固有関数を生成するステップと、
    前記固有関数をフィルタリングするステップと、
    前記フィルタリングした固有関数を前記数学的関数で畳み込んで干渉マップを生成するステップと
    前記干渉マップに基づいて前記マスクの補助フューチャを決定するステップと、
    を備える方法。
  2. 前記固有関数をフィルタリングするステップは、前記固有関数の副ローブをフィルタリングするステップを含む、請求項1に記載の方法。
  3. 前記固有関数をフィルタリングするステップは、前記固有関数の主ローブの外側をフィルタリングするステップを含む、請求項1に記載の方法。
  4. 前記固有関数をフィルタリングするステップは、前記固有関数のDC成分又は特定の低い空間周波数を除去するステップを含む、請求項1に記載の方法。
  5. 前記固有関数をフィルタリングするステップは、同相補助フューチャと位相ずれ補助フューチャの局所的不均衡を最小にするステップを含む、請求項1乃至請求項4のうち何れか1項に記載の方法。
  6. 少なくとも1つのマシンで読み出し可能な媒体によって転送可能な実行可能コードを有するプログラムであって、プログラマブルコンピュータによって前記実行可能コードを実行すると、前記プログラマブルコンピュータが、光学システムを使用してマスクに対して基板の表面に形成すべきパターンの強度プロフィールを最適化するために、
    前記マスクの印刷挙動を表す数学的関数を生成するステップと、
    前記光学システムの特徴を表す固有関数を生成するステップと、
    前記固有関数をフィルタリングするステップと、
    前記フィルタリングした固有関数を前記数学的関数で畳み込んで干渉マップを生成するステップと
    前記干渉マップに基づいて前記マスクの補助フューチャを決定するステップと、
    を実行するプログラム。
  7. 前記固有関数をフィルタリングするステップは、前記固有関数の副ローブをフィルタリングするステップを含む、請求項6に記載のプログラム。
  8. 前記固有関数をフィルタリングするステップは、前記固有関数の主ローブの外側をフィルタリングするステップを含む、請求項6に記載のプログラム。
  9. 前記固有関数をフィルタリングするステップは、前記固有関数のDC成分又は特定の低い空間周波数を除去するステップを含む、請求項6に記載のプログラム。
  10. 前記固有関数をフィルタリングするステップは、同相補助フューチャと位相ずれ補助フューチャの局所的不均衡を最小にするステップを含む、請求項6乃至請求項9のうち何れか1項に記載のプログラム。
JP2004344913A 2003-10-31 2004-10-29 強度プロフィールを最適化する方法及びプログラム Expired - Fee Related JP5121117B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51570803P 2003-10-31 2003-10-31
US515708 2003-10-31

Publications (2)

Publication Number Publication Date
JP2005141241A JP2005141241A (ja) 2005-06-02
JP5121117B2 true JP5121117B2 (ja) 2013-01-16

Family

ID=34421829

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004344913A Expired - Fee Related JP5121117B2 (ja) 2003-10-31 2004-10-29 強度プロフィールを最適化する方法及びプログラム

Country Status (7)

Country Link
US (1) US7231629B2 (ja)
EP (1) EP1528429A3 (ja)
JP (1) JP5121117B2 (ja)
KR (1) KR100927454B1 (ja)
CN (1) CN100576066C (ja)
SG (1) SG111285A1 (ja)
TW (1) TWI377438B (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200523524A (en) * 2003-11-05 2005-07-16 Asml Masktools Bv Eigen decomposition based OPC model
US7057709B2 (en) * 2003-12-04 2006-06-06 International Business Machines Corporation Printing a mask with maximum possible process window through adjustment of the source distribution
US7506299B2 (en) * 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography
US7310796B2 (en) * 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
US7331033B2 (en) * 2004-08-27 2008-02-12 Applied Materials, Israel, Ltd. Simulation of aerial images
US7509621B2 (en) * 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7200835B2 (en) * 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US8037429B2 (en) * 2005-03-02 2011-10-11 Mentor Graphics Corporation Model-based SRAF insertion
JP4790350B2 (ja) * 2005-08-31 2011-10-12 富士通セミコンダクター株式会社 露光用マスク及び露光用マスクの製造方法
US7614034B2 (en) * 2005-11-08 2009-11-03 Asml Masktools B.V. Method and apparatus for generating OPC rules for placement of scattering bar features utilizing interface mapping technology
JP2008076683A (ja) * 2006-09-20 2008-04-03 Canon Inc 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
JP4804294B2 (ja) * 2006-09-20 2011-11-02 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
US8341561B2 (en) 2006-12-12 2012-12-25 Samsung Electronics Co., Ltd. Methods of arranging mask patterns and associated apparatus
KR100874913B1 (ko) 2006-12-12 2008-12-19 삼성전자주식회사 마스크 패턴을 배치하는 방법 및 이를 이용한 장치
KR100881184B1 (ko) 2006-12-12 2009-02-05 삼성전자주식회사 마스크 패턴을 배치하는 방법 및 이를 이용한 장치
US7799487B2 (en) * 2007-02-09 2010-09-21 Ayman Yehia Hamouda Dual metric OPC
US7882480B2 (en) * 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
JP5479328B2 (ja) * 2007-06-04 2014-04-23 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのリソグラフィ誘導レイアウト設計を実行するための方法
JP4484909B2 (ja) * 2007-07-24 2010-06-16 キヤノン株式会社 原版データ作成方法、原版作成方法、露光方法および原版データ作成プログラム
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US7930657B2 (en) * 2008-01-23 2011-04-19 Micron Technology, Inc. Methods of forming photomasks
US7954071B2 (en) * 2008-10-31 2011-05-31 Synopsys, Inc. Assist feature placement based on a focus-sensitive cost-covariance field
JP5185235B2 (ja) * 2009-09-18 2013-04-17 株式会社東芝 フォトマスクの設計方法およびフォトマスクの設計プログラム
DE102010004939A1 (de) * 2010-01-18 2011-07-21 EQUIcon Software GmbH Jena, 07745 Verfahren zur Steuerung der Elektronenstrahl-Belichtung von Wafern und Masken mit Proximity-Korrektur
US8846273B2 (en) 2012-06-04 2014-09-30 Micron Technology, Inc. Photomasks, methods of forming a photomask, and methods of photolithographically patterning a substrate
KR101991380B1 (ko) 2012-07-26 2019-06-20 삼성전자주식회사 반도체 소자의 레이아웃 생성 방법
CN106556974B (zh) * 2015-09-30 2019-04-26 中芯国际集成电路制造(上海)有限公司 光刻照明系统以及光刻设备
US11301610B2 (en) 2017-12-22 2022-04-12 D2S, Inc. Methods for modeling of a design in reticle enhancement technology
US10657213B2 (en) 2017-12-22 2020-05-19 D2S, Inc. Modeling of a design in reticle enhancement technology
CN113378412B (zh) * 2021-08-12 2021-11-02 南京科远智慧科技集团股份有限公司 一种应用于tdlas线形拟合算法的激光器特性变化修正方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04216548A (ja) * 1990-12-18 1992-08-06 Mitsubishi Electric Corp フォトマスク
KR960002536A (ja) * 1994-06-29 1996-01-26
US5682323A (en) * 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
NL1005841C2 (nl) 1997-04-18 1998-10-20 Dsm Nv Can- en coilcoatingharsen.
JPH1115130A (ja) * 1997-06-24 1999-01-22 Matsushita Electron Corp 半導体製造用ハーフトーンマスクおよびその製造方法
US6223139B1 (en) * 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
JP3275863B2 (ja) * 1999-01-08 2002-04-22 日本電気株式会社 フォトマスク
US6214497B1 (en) * 1999-06-29 2001-04-10 Micron Technology, Inc. Method to eliminate side lobe printing of attenuated phase shift masks
US6303253B1 (en) * 2000-03-16 2001-10-16 International Business Machines Corporation Hierarchy and domain-balancing method and algorithm for serif mask design in microlithography
US6503666B1 (en) 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
US6777141B2 (en) * 2000-07-05 2004-08-17 Numerical Technologies, Inc. Phase shift mask including sub-resolution assist features for isolated spaces
US6787271B2 (en) * 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
TW552561B (en) 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6901575B2 (en) * 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US6519760B2 (en) 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
US6792591B2 (en) * 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
KR100576752B1 (ko) * 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
JP3592666B2 (ja) * 2001-12-04 2004-11-24 株式会社東芝 露光用マスクパターンの補正方法、プログラム、マスクパターン形成方法、及び半導体装置の製造方法
US6749970B2 (en) 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
US7023528B2 (en) * 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
US6807662B2 (en) * 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
US7266480B2 (en) * 2002-10-01 2007-09-04 The Regents Of The University Of California Rapid scattering simulation of objects in imaging using edge domain decomposition
SG125109A1 (en) 2003-01-14 2006-09-29 Asml Masktools Bv Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US7594199B2 (en) * 2003-01-14 2009-09-22 Asml Masktools B.V. Method of optical proximity correction design for contact hole mask

Also Published As

Publication number Publication date
US20050149900A1 (en) 2005-07-07
CN1629735A (zh) 2005-06-22
CN100576066C (zh) 2009-12-30
EP1528429A2 (en) 2005-05-04
JP2005141241A (ja) 2005-06-02
KR100927454B1 (ko) 2009-11-19
EP1528429A3 (en) 2006-04-12
TWI377438B (en) 2012-11-21
US7231629B2 (en) 2007-06-12
TW200527152A (en) 2005-08-16
SG111285A1 (en) 2005-05-30
KR20050041958A (ko) 2005-05-04

Similar Documents

Publication Publication Date Title
JP5121117B2 (ja) 強度プロフィールを最適化する方法及びプログラム
JP3992688B2 (ja) コンタクト・ホール・マスクの光学的近接補正設計の方法
JP4101770B2 (ja) ディープ・サブ波長の光リソグラフィのためのレチクル・パターンに光近接フィーチャを提供する方法および装置
US10657641B2 (en) Source and mask optimization by changing intensity and shape of the illumination source and magnitude and phase of mask diffraction orders
JP4383400B2 (ja) 1/4波長リソグラフィの焦点深さを上げるためにモデルに基づき散乱バーを配置する方法、プログラム製品および装置
US7506299B2 (en) Feature optimization using interference mapping lithography
JP4267245B2 (ja) 解像度以下の補助フィーチャとして罫線ラダー・バーを利用した光近接補正方法
KR100865768B1 (ko) 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치
US7550235B2 (en) Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
JP4602962B2 (ja) 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置
KR100927455B1 (ko) 간섭 매핑 리소그래피를 이용한 피처 최적화 방법
US20050053848A1 (en) Method, program product and apparatus for generating assist features utilizing an image field map
KR20030077447A (ko) 무크롬 상 리소그래피를 이용하여 상 및 크롬영역으로반도체디바이스패턴을 분해하는 방법 및 장치
KR100563776B1 (ko) 공간 주파수 2배가 기술을 활용하여 마스크패턴을형성하는 방법 및 장치
US7604909B2 (en) Method for improved manufacturability and patterning of sub-wavelength contact hole mask

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070329

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070517

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091216

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100309

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110907

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121004

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121023

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151102

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

LAPS Cancellation because of no payment of annual fees