JP4602962B2 - 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置 - Google Patents

多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置 Download PDF

Info

Publication number
JP4602962B2
JP4602962B2 JP2006349292A JP2006349292A JP4602962B2 JP 4602962 B2 JP4602962 B2 JP 4602962B2 JP 2006349292 A JP2006349292 A JP 2006349292A JP 2006349292 A JP2006349292 A JP 2006349292A JP 4602962 B2 JP4602962 B2 JP 4602962B2
Authority
JP
Japan
Prior art keywords
polygon
phase
mask
interference map
polygons
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006349292A
Other languages
English (en)
Other versions
JP2007183630A (ja
Inventor
ジョン ソハ,ロバート
Original Assignee
エーエスエムエル マスクツールズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル マスクツールズ ビー.ブイ. filed Critical エーエスエムエル マスクツールズ ビー.ブイ.
Publication of JP2007183630A publication Critical patent/JP2007183630A/ja
Application granted granted Critical
Publication of JP4602962B2 publication Critical patent/JP4602962B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

関連出願
本出願は、2005年12月29日に出願された米国特許出願第60/754,312号と、2006年2月24日に出願された米国特許出願第60/776,199号とに対する優先権を主張し、これら両者は、その全体が参照により本書に組み込まれる。
本発明の技術分野は、概して、例えば多重照明プロセスにおいて複数のマスクを利用してターゲットパターンを結像させうるようにターゲットパターンのモデルベースの分解を実行するための方法、プログラム製品及び装置に関する。
リソグラフィ装置は、例えば、集積回路(IC)の製造に使用され得る。その様な場合、マスクは,ICの個々の層に対応する回路パターンを含むことができ、このパターンは、放射感応性材料(レジスト)でコーティングされている基板(シリコンウェーハ)上のターゲット部分(例えば、1つ以上のダイを含む)に結像され得る。該して、単一のウェーハが、投影システムを介して一度に1つずつ順に照射される隣接するターゲット部分同士のネットワークを含む。1つのタイプのリソグラフィ投影装置では、各ターゲット部分は、マスクパターン全体を1回の操作で該ターゲット部分上に露光することによって照射され、その様な装置は一般にウェーハステッパーと称される。一般にステップアンドスキャン装置と称される代わりの装置では、各ターゲット部分は、投影ビーム下でマスクパターンを所与の基準方向(“スキャン方向”)にスキャンしながら、同時に基板テーブルをこの方向に平行に又は逆平行にスキャンすることによって、照射される。一般に、投影システムは倍率M(一般に<1)を有するので、基板テーブルがスキャンされる速度Vは、マスクテーブルがスキャンされる速度のM倍である。本書に記載されているリソグラフィ装置に関して、より多くの情報を、例えば、参照によりここに援用される特許文献1から収集することができる。
リソグラフィ投影装置を使用する製造プロセスでは、放射感応性材料(レジスト)の層で少なくとも部分的に覆われている基板の上にマスクパターンが結像される。この結像ステップの前に、基板は、プライミング、レジストコーティング及びソフトベークのような種々の手続きを受けることができる。露光後、露光後ベーク(PEB)、現像、ハードベーク及び結像された特徴の測定/検査のような他の手続きを受けることができる。この手続きの系列は、例えばICなどのデバイスの個々の層をパターニングする基礎として用いられる。この様なパターニングされた層は、その後、全て個々の層を仕上げるように意図されているエッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械的研磨などの種々のプロセスを受けることができる。もし数個の層が必要ならば、各々の新しい層について該手続きの全体、又はその別形が繰り返して行われなければならない。結局、デバイスのアレイが基板(ウェーハ)上に生じる。これらのデバイスは、その後、ダイシング又はソーイングのような手法によって別々に分けられるので、個々のデバイスをキャリアに取り付けたり、ピンに結合させたりすることができる。
簡潔性を目的として、投影システムを以降は“レンズ”と称し得るが、この用語は、例えば屈折型、反射型、及び反射屈折型などを含む種々のタイプの投影システムを含むものとして広く解されるべきである。放射システムも放射の投影ビームを向け、整形し或いは制御するためにこれらのデザインタイプのいずれかに従って動作するコンポーネントを含むことができ、その様なコンポーネントも以下で集合的に又は単独で“レンズ”と称され得る。更に、リソグラフィ装置は、2つ以上の基板テーブル(及び/又は2つ以上のマスクテーブル)を有するタイプのものであり得る。その様な“マルチステージ”装置では、追加テーブルは並行して使用され得り、或いは、1つ以上のテーブルで準備ステップを行い、同時に1つの他のテーブルを露光のために使用することができる。ツインステージリソグラフィ装置は、例えば、特許文献2に記載されており、これは参照により本書に組み込まれる。
上記のフォトリソグラフィマスクは、シリコンウェーハ上に統合されるべき回路コンポーネントに対応する幾何学的パターンを含む。その様なマスクを生じさせるために使用されるパターンはCAD(コンピュータを利用する設計)プログラムを利用して生成され、このプロセスは良くEDA(電子設計自動化)と称される。大抵のCADプログラムは、機能マスクを作るために1セットの所定の設計規則に従う。これらの規則は、処理及び設計制約により設けられる。例えば、設計規則は、回路素子又はラインが望ましくない方法で互いに影響を及ぼし合わないことを保証するように、回路素子(ゲート、コンデンサなど)又は接続線の間の間隔の許容範囲を定める。設計規則の制約は通常、“最小寸法”(CD)と称される。回路の最小寸法は、ライン又は穴の最小幅として、又は2つのライン又は2つの穴の間の最少間隔として定義され得る。従って、CDは、設計される回路の全体としてのサイズ及び密度を決定する。
もちろん、集積回路製造の目標の1つは原作の回路デザインを(マスクを介して)ウェーハ上に忠実に再現することである。ターゲットパターンの最小寸法がますます小さくなるので、ターゲットパターンをウェーハ上に再現することはますます難しくなってきている。しかし、ウェーハにおいて結像又は再現されうる最小のCDを減少させ得るように配慮した技術が知られている。1つのその様な技術は、ターゲットパターン中の特徴が2つの別々の露光で結像される二重露光技術である。
例えば、1つの良く知られている二重露光技術は、ダイポール照明である。この技術では、第1露光中にターゲットパターンの垂直エッジ(すなわち、特徴)が照明され、次に第2露光中にターゲットパターンの水平エッジが照明される。上記のように、2つの露光を利用することによって、改善された結像性能を得ることができる。
他の知られている二重露光技術は、所与のターゲットパターンの特徴を2つの異なるマスクに分離してから所望のパターンを形成するべく別々に結像することを可能にする。この様な技術は、ターゲット特徴同士の間隔が狭すぎて個々の特徴を結像させることが不可能であるときに一般的に利用される。その様な場合、前記のように、各特長を別々に結像し得るように所与のマスク上の全ての特徴が互いに充分な間隔を置くようにターゲット特徴が2つのマスクに分離される。その後、両方のマスクを(適切な遮蔽をもって)順に結像させることにより、単一のマスクを利用して適切に結像させることのできない、密な間隔を置く特徴を有するターゲットパターンを得ることが可能である。
所与のマスク上の各特徴の間のピッチが結像システムの解像能力より上になるようにターゲット特徴を2つの別々のマスクに分離することにより、結像性能を改善することが可能である。実際、上記二重露光技術はk<0.25を考慮に入れている。しかし、現在知られている二重露光技術には問題及び制約が依然として存在する。
例えば、現在の分解アルゴリズムは、ターゲットデザイン/パターンのジオメトリの各々の部分を1つの単位として扱い、これらの単位のいずれも複数のセグメントに自動的には分解されない。その結果、或るターゲットパターンについては、たとえ二重露光技術が利用されても、k<0.25を得ることは不可能である。
現在の分解アルゴリズムに関連する他の問題は、それらが主として規則に基くアルゴリズムであって、今日のますます複雑になるデザインを扱うために過度に多くの規則を必要とすることである。更に、それについて規則が定められていない事態/矛盾がしばしば存在し、その様な場合にはアルゴリズムは適切な結果を見出し得ないという結果をもたらす可能性がある。
米国特許第6,046,792号 米国特許第5,969,441号
本発明の目的は、既知の二重露光技術のこのような欠陥を克服することである。
上記にかんがみ、本発明の目的は、ターゲットパターンの特徴を、例えば複数のマスクを利用して別々に結像される別々のセグメントに分解する(すなわち、着色する)ためのモデルベースのプロセスを提供することによって既知従来技術の欠陥を克服することである。更に、該モデルベースの着色プロセスは、ターゲットパターンの単一の特徴を、別々の露光で結像させ得る複数のセグメントに分離/分割することを考慮に入れている。
要約すると、本発明は、ターゲットパターンを複数の露光を利用して照明される複数のレチクルに分解することに配慮したモデルベースの着色プロセスを提供する。該モデルベースの着色プロセスは、必要なときには、ターゲットパターンの1つ1つのジオメトリを複数のセグメントに分解することに自動的に配慮し、それらは二重(又は多重)露光を用いて別々のマスクで結像され得る。完全な像は、全ての露光の和集合である(すなわち、原ターゲットデザインは、多重露光により作られた像を縫い合わせることにより作られる)。
より具体的には、本発明は、基板上に結像されるべき特徴を有するターゲットパターンを、該特徴が多重露光プロセスで結像され得るように、分解する方法に関する。この方法は、(a)複数の該特徴を複数の多角形に分割するステップと、(b)該複数の多角形の各々についてイメージログスロープ(image log slope (ILS))値を決定するステップと、(c)最小のILS値を有する多角形を判定し、その多角形を含むマスクを定めるステップと、(d)干渉マップを生成するために、ステップ(c)で定められたマスクを伝送交差係数の固有関数とコンボルブ(convolve)するステップであって、該伝送交差係数が該ターゲットパターンを結像させるために利用されるべき照明システムを定めるステップと、(e)該多角形に対応する場所における該干渉マップの値に基いて該多角形に位相を割り当てるステップであって、前記多重露光プロセス中のどの露光が該多角形に割り当てられるかを該位相が定めるステップと、を含む。
本発明は、従来技術に比べて重要な利点を提供する。最も重要なことに、本発明は、ターゲットパターンの特徴を、例えば複数のマスクを利用することによって別々に結像させ得る別々のセグメントに分解するためのモデルベースの着色プロセスを提供する。更に、本発明のモデルベースの着色プロセスは、該ターゲットパターンの単一の特徴を、別々に結像され得る複数のセグメントに自動的に分離/分割することに配慮している。更に、本発明の方法は、有利なことに0.195のkを達成することができる。
本発明の付加的な利点は、本発明の代表的な実施態様についての以下の詳細な記述から当業者に明らかとなろう。
本書においてICの製造における本発明の使用が特に言及されるが、本発明には他の多くの可能な応用例があることが明確に理解されるべきである。例えば、これは、集積光学システム、磁気ドメインメモリのための案内及び検出パターン、液晶表示パネル、薄膜磁気ヘッドなどの製造に使用され得る。その様な代替応用例と関連して本書でなされる用語“レチクル”、“ウェーハ”又は“ダイ”の使用は、各々より一般的な用語“マスク”、“基板”及び“ターゲット部分”により取って代わられると見なされるべきであることを当業者は理解するであろう。
本発明自体は、更なる目的及び利点と共に、以下の詳細な説明と添付図面とを参照することにより、より良く理解され得る。
本発明は、ターゲットパターンの特徴を、例えば複数のマスクを利用することによって別々に結像させ得る別々のセグメントに分解するためのモデルベースの着色プロセスを提供する。更に、本発明のモデルベースの着色プロセスは、該ターゲットパターンの単一の特徴を、別々に結像され得る複数のセグメントに自動的に分離/分割することに配慮している。更に、本発明の方法は、有利なことに0.195のkを達成することができる。
以下で更に詳しく説明されるように、モデルベースの着色プロセスは、ターゲットパターンを、多重露光プロセスで別々に照明される複数のセグメントに分解する。一実施態様では、複数のセグメントは2つの別々のレチクルのうちの1つに割り当てられて包含され、それらは後に照明される。更に、本書において開示される例で示されるように、モデルベースの着色プロセスは、必要なときには、ターゲットパターン中の単一ジオメトリ(すなわち、特徴)の複数のセグメントへの分解に自動的に配慮し、それらはその後別々の照明プロセスで結像される。
モデルベースの着色プロセスの代表的フローチャートである図1を参照すると、該プロセスの第1ステップ(ステップ10)は、ターゲットパターン(すなわち、基板上に結像されるべきパターン)と、そのターゲットパターンを結像させるために利用される照明設定値とを定めることである。ターゲットパターンは、好ましくは、標準的なデータフォーマットである“gds”のようなデータフォーマットで記述される。しかし、他の任意の適切なデータフォーマットも利用することができる。本書に記載されている例では、ターゲットパターンは方程式O(x、y)で表され、ここでx及びyはターゲットパターンの中の場所を表す。
図2は、モデルベースの着色プロセスを例証するために利用される代表的ターゲットパターンを示す。図示されているように、ターゲットパターンは複数の垂直な特徴32と、単一の長い垂直な特徴33とを含む。照明設定値は、例えば、それに限定されるわけではないが、照明のタイプ(すなわち、環状、quadrature、オフアクシスなど)、λ(光源の波長)、NA(映写レンズの開口数)などを含む。所与の例では、特徴32,33のピッチは図示されているように64.4nm又は80.5nmであり、k=0.2、λ=193nm、NA=1.2であり、環状照明が利用され、σIN=0.77であり、σOUT=0.97である。
プロセスの次のステップ(ステップ12)は、フラグメンテーションポイント35(断片とも称される)をターゲットパターンO(x,y)内の特徴32,33のエッジに適用することである。フラグメンテーションポイント35は、特徴32,33のエッジの周りで間隔を置いており、複数のより小さなエッジを定めるように機能する。所与の例では、図3に示されているように、フラグメンテーションポイント35は各特徴のエッジの周囲に等間隔を置くように配置されるが、フラグメンテーションポイントを置くための異なる方式を利用することができる。
図4を参照する。フラグメンテーションポイント35が定められると、次のステップ(ステップ14)で、断片化されたエッジは複数の多角形34を形成するようにグループ分けされる。換言すれば、ターゲットパターンと、これに含まれる特徴とは、複数のより小さな多角形34により分割される(すなわち表される)。図4は、ターゲットパターンの特徴32,33の各々が複数のより小さな多角形34によってどの様に表されるかを示す。隣り合う多角形同士は共通のエッジを共有することができる。該多角形は方程式P(x,y)によって表され、ここでx及びyはターゲットパターン内の場所を表す。始めに、該多角形の各々に透過率1と位相0°とが割り当てられる。以下で更に詳しく説明されるように、所与の多角形に割り当てられた位相(例えば0°又は180°)は、所与の多角形P(x,y)が第1露光で結像されるのか、それとも第2露光で結像されるのかを示すために利用される。位相を割り当てることは、所与の多角形がどの露光に割り当てられるかを示すための1つの手法に過ぎない。例えば、所与の多角形の各々に色指定を割り当てることも可能であり、この場合、その色指定は、どの露光がその多角形に割り当てられるかを示す。ゼロ以外のスレショルドを固有関数に適用することによって色を割り当てることもでき、或いは微分のような線形演算子を固有関数に適用することによって色を割り当てることができる。
プロセスの次のステップ(ステップ16)において、ステップ10で定められた照明設定値を利用して各多角形について(好ましくは共有されない多角形エッジの中心で)イメージログスロープ(ILS)が計算される。一般に知られているように、ILSは
として計算され得る。好ましい実施態様では、このステップ中、ターゲットパターン全体が同時に考慮されるわけではない。関心の対象としての第1ジオメトリ領域についてコヒーレンス半径が定められ、そのコヒーレンス半径の中の全ての多角形についてILSが計算される。これが行われると、関心の対象である次のジオメトリ領域についてコヒーレンス半径の中の全ての多角形についてILSが計算される。例えばマスク設計者が重要と考える領域であり得る全ての関心の対象である領域又は単にターゲットパターン全体が処理され、その中に含まれる各多角形についてのILSが処理されるまで、このプロセスは続行される。好ましい実施態様では、コヒーレンス半径は4λ/NAとして定義される。所与の多角形についてILSが計算されるとき、その多角形はコヒーレンス半径範囲の中の中心に置かれる。
プロセスの次のステップ(ステップ18)は、最小のILSを有する多角形を特定し、その単一多角形を含むマスクM(x,y)を生成することを必要とする。ステップ16と同様に、最小のILSを有する多角形を判定するとき、所与のコヒーレンス半径の中の多角形だけが考慮される。関心の対象である領域の全てが処理され終わるまで該プロセスは反復される。上記の“gds”のような標準的データフォーマットを利用してマスクM(x,y)が定められる。図5は、ステップ18により生成された代表的マスクを示す。図示されているように、該マスクは単一の多角形52だけを含む。
始めに分析されるパターンは幾つかの方法で選択されうる。一例では、ユーザは、最も興味のあるパターンを指定することができる。該パターン内の各フラグメンテーションポイントについてILSの値が求められ、コヒーレンス半径の中心が最低ILSを有するフラグメンテーションポイントに置かれる。他の例として、フルチップラン(full-chip run)について、ユーザは始めにパターンの規則ベースの分解を用いることを試みることができる。しかし、しばしば、規則ベースの方法はチップ全体を首尾よく分解することに失敗し、それらの失敗の領域を分解するために(すなわち、分割するために)ユーザの介入が必要とされる。ユーザ介入を必要とする代わりに、失敗の領域は本発明のモデルベースの方法で処理され得る。失敗の領域が2つ以上あれば、最低のILS値を有する領域の値が始めに求められる。下から2番目のILSを有する次の失敗領域の値が次に求められる。コヒーレンス半径は、下から2番目に小さいILSを有する失敗領域の周りに置かれる。
続けて、プロセスの次のステップ(ステップ20)は、第1の(すなわち初期)干渉マップを生成するように、ステップ18で定められたマスクM(x,y)を、照明システムを定める伝送交差係数(TCC)の第1固有関数(すなわち、最高値固有関数Φ(x,y))とコンボルブ(convolve)することである。一般に知られているよう、伝送交差係数TCCは、例えばM. Born、E. Wolfらによる Principles of Optics 530 (6th ed., Pergamon Press)に記載されているように、照明瞳の射影瞳との自己相関であり、これは参照により本書に組み込まれる。換言すれば、TCCは光学システムの画像伝達関数を表す。TCCは、1セットの固有関数に直交化され、それらも照明システムを表す。上記のように、マスクM(x,y)は、最高の固有値を有する固有関数とコンボルブされる。その結果は初期干渉マップF(x,y)である。F(x,y)は、式(1)
として定義され得る。
干渉マップは良く知られていて、例えば米国特許出願第10/756,830号に記載されており、これは参照により本書に組み込まれる。これに記載されているように、干渉マップは、画像平面における電界(e-field)に対応し、正負両方のエネルギーを示すことができる。
図6は、上記照明システムについて、図5のマスクM(x,y)についての最高固有値Φ(x,y)との前記コンボリューションの結果(すなわち、干渉マップ)を示す。図6と、これに隣接する目盛りとを参照すると、該干渉マップは、マスクパターンのどの部分が正の値を有し、どの部分が負の値を有するかを示す。正の領域(すなわち、建設的干渉の領域)に存する任意の多角形セグメント34に第1位相(例えば、0°)が割り当てられ、負の領域(すなわち、破壊的干渉の領域)に存する任意の多角形セグメント34に第2位相(例えば、180°)が割り当てられる。以下で更に説明されるように、所与の多角形セグメントの位相値は着色プロセスの過程で変化する可能性がある。しかし、該プロセスが完了したならば、該プロセス完了時に所与の多角形セグメントに割り当てられている位相は、所与の多角形セグメントが複数の照明のうちのどれにさらされるかを定めるために利用される。例えば、特徴が第1及び第2のレチクルに分解されるならば、所与の多角形に割り当てられている位相は、その所与の多角形セグメントがどのレチクルに形成されるかを定める。
前記プロセスは、着色プロセス(ステップ22)において各多角形セグメント34について質量中心、すなわちP(x,y)におけるP(x,y)を見出してそれらの点を(xci,yci)として定義することによって達成される。そして、もし干渉マップのF(xci,yci)が負であれば、多角形セグメントP(x,y)に180°の位相が割り当てられ、干渉マップのF(xci,yci)が正又はゼロであれば、多角形セグメントP(x,y)に0°の位相が割り当てられる。所与の実施態様では、位相は、所与の多角形が干渉マップの正の領域にあるのかそれとも負の領域にあるのかを識別し、その後に所与の多角形セグメント34が多重露光プロセス中にどの露光にさらされるべきなのかを識別するために利用される。
図7は、唯一の反復(すなわち、図5に示されている単一の多角形セグメント34だけの考慮)の後に得られたマスクレイアウトP(x、y)を示す。図7を参照すると、比較的に明るい領域36は干渉マップF(x,y)が正である領域を示し、比較的に暗い領域38は干渉マップF(x,y)が負である領域を示す。本発明の方法に従って、比較的に明るい領域36は第1露光で結像され、比較的に暗い領域38は第2露光で結像される。しかし、初期干渉マップは唯一の多角形セグメント34を考慮しただけである。コヒーレンス半径範囲の中の全ての多角形セグメント34を効果的に考慮するために、反復プロセスが実行されなければならない。
換言すれば、前記ステップ(ステップ10−22)は初期干渉マップF(x,y)と初期多角形マスクP(x,y)とを生成する。これらのステップを実行した後、着色プロセスの残りの部分は、次の反復プロセスを実行することを必要とする。始めに、P(x,y)における多角形セグメントが費用関数によって格付けされる。適切な費用関数を作るために多くの光学パラメータ又は物理パラメータを選ぶことができる。1つの代表的な費用関数はガウス関数であり、これはILSの関数であると共に最小ILSを有する点
からの距離の関数である。この例で利用されるこのような費用関数は、式(2)
として定義され得る。
費用関数は、フラグメンテーションポイントを最も重要なものから最も重要でないものへと整理する数学的表示である。該アルゴリズムは、始めに最も重要なフラグメンテーションポイント、すなわち最高の費用関数を有するポイント、を評価する。1つの可能な費用関数gは変数ILS及び距離(r−r)を有する。ここで使用される費用関数は
であり、ここでiは該フラグメンテーションポイントのインデックスである。輝度の勾配、露出寛容度(EL)、マスクエラー増強係数(MEEF)、輝度、及び焦点深度(DOF)(これらに限定はされない)のような他の測定基準を費用関数において利用することもできる。
費用関数は、フラグメンテーションポイントを最も重要なものから最も重要でないものへと整理する数学的表示である。該アルゴリズムは、始めに最も重要なフラグメンテーションポイント、すなわち最高の費用関数を有するポイント、を評価する。1つの可能な費用関数gは変数ILS及び距離(r−r)を有する。ここで使用される費用関数は
であり、ここでiは該フラグメンテーションポイントのインデックスである。
次のステップ(ステップ24)において、コヒーレンス半径内の多角形の各フラグメンテーションポイントP(x,y)に費用関数が適用され、最高費用関数を有するフラグメンテーションポイントから最低費用関数を有するものまでを示すようにフラグメンテーションポイントが格付けされる。フラグメンテーションポイントの格付けが高ければ高いほど、そのポイントを印刷することはますます困難になる。フラグメンテーションポイントのILSがゼロより小さければ、そのフラグメンテーションポイントは極めて問題である、すなわち、そのフラグメンテーションポイントは現像時に除去され、さもないとそのフラグメンテーションポイントは他のフラグメンテーションポイントに橋絡(bridge)するであろう。負のILSを有するその様なフラグメンテーションポイントには、より高い費用関数を割り当てることができる。より高い費用関数を有するフラグメンテーションポイントは、最低費用関数を有するフラグメンテーションポイントの前に評価される。これは、問題の領域が複数の露光に分けられて、複数の露光後にパターン忠実度を向上させることを保証する。
費用関数が定められると、ステップ20により決定された初期干渉マップが費用関数により重み付けされる。従って、初期干渉マップは、式(3)
になる。
続けて、プロセスの次のステップ(ステップ26)において、最高の費用関数を有するフラグメンテーションポイントを有する多角形セグメント34が選択され、この単一の多角形に関して、ステップ22で生成された初期干渉マップの結果として定められたこの多角形の位相を用いてマスクM(x,y)が作られる。着色プロセスがこのステップを最初に実行した後、該ステップにおいて利用されるべき多角形の位相は、前の反復で更新された全体干渉マップ(以下で詳述されるステップ30により生成される干渉マップ)により定められる。図8は、代表的ターゲットパターンについてこのステップに従って形成されたマスクを示す。
プロセスの次のステップ(ステップ28)で、ステップ20と同様に、第2干渉マップFi+1(x,y)を生成するために、ステップ26で生成されたマスクM(x,y)が固有関数Φ(x,y)とコンボルブされる。次に、ステップ30において、全体干渉マップ:式(4)
を作るために、ステップ28で生成された干渉マップFi+1(x,y)が、コスト関数
が乗じられた初期干渉マップF(x,y)に加えられる。
次のステップ(ステップ31)において、ステップ22と同様に、P(x,y)における各多角形セグメントP(x,y)について質量中心が決定され、これらの点は(xci,yci)と称される。次に、ステップ22と同様に、もし干渉マップのFtotal(xci,yci)が負であれば、所与の多角形セグメントP(x,y)に180°の位相が割り当てられ、もし干渉マップのFtotal(xci,yci)が正又はゼロであれば、所与の多角形セグメントP(x,y)に0°の位相が割り当てられる。図9は第2反復後の結果としての干渉マップを示し、図10は図9の干渉マップにより定められる、対応するマスクレイアウトP(x,y)を示す。
その後、ステップ26で選択されたフラグメンテーションポイントは格付けされたフラグメンテーションポイントのリストから除去され、プロセスはステップ22に戻り、新たに選択されたフラグメンテーションポイントについてステップ22−32が再び実行される。この反復プロセスは、全てのフラグメンテーションポイントが考慮され処理されるまで続行される。
図10は、前記プロセスの2回の反復から生じたマスクを示す。図7及び図10を比較すれば分かるように、これら2つの得られたマスクパターンの間には小さな差異がある。しかし、図11は、全ての反復が完了した後に本発明のプロセスにより生成された干渉マップを示す。図11を参照すると、所与の例について得られた干渉マップは、どの特徴、及び特徴の部分、が正の値を示し(参照番号110を参照)、どの特徴、及び特徴の部分、が負の値を示す(参照番号112を参照)かを明らかに示している。その結果として、着色プロセスは、どの特徴が第1露光で結像されるべきか(正の値を示す特徴)、そしてどの特徴が第2露光で結像されるべきか(負の値を示す特徴)を容易に且つ自動的に判定することができる。該プロセスは、単一の特徴を複数のセグメントに分解することを可能にする。図11を参照すると、特徴33の一部分が1つの露光で結像され、同じ特徴の他の一部分が第2露光で結像されることが明らかである。図12は、図11の干渉マップから生じるマスクレイアウト割り当てを示す。図示されているように、所与の例では、明るい領域は第1マスクに割り当てられて第1露光にさらされ、暗い領域は第2マスクに割り当てられて第2露光にさらされる。
<0.25で特徴を印刷するためには、結像媒体への非線形転写が必要である。これは非線形フォトレジストで達成され得、或いはこれは第1露光後のエッチングで達成され得る。k≧0.25で特徴を印刷するために2つのマスクを利用することが可能である。k≧0.25で特徴を印刷するためには、結像媒体への非線形転写は不要である。一実施態様では、ウェーハは始めに第1マスクで露光され、そのウェーハはウェーハチャック上に残される。その後、レチクルホルダーが第2マスクに切り替えられ、ウェーハは第2マスクで露光される。
図13は、本発明の分解プロセスにさらされた他の代表的ターゲットパターンを示す。図14は、該ターゲットパターンについて該プロセスにより生成された最終干渉マップを示す。再び、干渉マップの対応する領域の値に基いて特徴又は特徴の部分を2つの露光のうちの一方に割り当てることによって、該ターゲットパターンは本発明のプロセスによって第1及び第2の露光領域に容易に分解される。図14の干渉パターンに関係するマスクレイアウトが図15に示されている。
前記のプロセスの変化形も可能である。例えば、多角形を3つ以上のカテゴリーに分け/割り当てて、結果として得られたマスクレイアウトを結像するために3つ以上の露光を利用することが可能である。本発明の手法は暗視野マスク又は明視野マスクに利用され得る。本発明の手法は、交代する移相マスクのためのモデルベースの着色アルゴリズムとしても利用され得る。更に、本発明の手法は、ASMLの前に開示された照明最適化手法又はASMLの照明及び光源最適化手法と関連しても利用され得る。
上記のように、本発明は従来技術と比べて重要な利点を提供する。最も重要なことに、本発明はターゲットパターンの特徴を、例えば複数のマスクを利用することによって別々に結像され得る別々のセグメントに分解するためのモデルベースの着色プロセスを提供する。更に、本発明のモデルベースの着色プロセスは、ターゲットパターンの単一の特徴を、別々に結像され得る複数のセグメントに自動的に分離/分割することを可能にする。更に、本発明の方法は、有利なことに、k=0.177を有する2Dパターンを2つの露光に分解することができる。3つ以上の露光が使用されるならば、本発明はk<0.177を有する2Dパターンを分解することができる。最後に、所与の露光マスクにおける特徴/セグメント間の距離は、2kλ/NAの最小分解可能ピッチより大きい方がよい。
図16は、上で説明された照明最適化を実行することのできるコンピュータシステム100を示すブロック図である。コンピュータシステム100は、情報を伝達するためのバス102又は他の通信メカニズムと、情報を処理するためにバス102に結合されたプロセッサ104とを含む。コンピュータシステム100は、情報とプロセッサ104により実行されるべき命令とを格納するためにバス102に結合されたランダムアクセスメモリー(RAM)又は他の動的記憶装置のような主記憶装置106も含む。主記憶装置106は、プロセッサ104により実行されるべき命令の実行中、一時的変数又は他の中間情報を記憶するためにも使用され得る。コンピュータシステム100は、更に、プロセッサ104のための静的情報及び命令を記憶するためにバス102に結合された読み出し専用メモリー(ROM)108又は他の静的記憶装置を含む。情報及び命令を記憶するために磁気ディスク又は光ディスクのような記憶装置110が設けられてバス102に結合されている。
コンピュータシステム100は、情報をコンピュータユーザに表示するためにバス102を介してCRT又はフラットパネル又はタッチパネル表示装置のような表示装置112に結合され得る。情報及びコマンド選択をプロセッサ104に伝えるために、英数字キー及び他のキーを含む入力装置114がバス102に結合されている。他のタイプのユーザ入力装置は、方向情報及びコマンド選択をプロセッサ104に伝え、表示装置112上のカーソルの移動を制御するためのマウス、トラックボール、又はカーソル方向キーのようなカーソル制御装置116である。この入力装置は、通常、該装置が平面内の位置を指定することを可能にする2つの軸、すなわち第1軸(例えば、x)及び第2軸(例えば、y)、における2つの自由度を有する。入力装置としてタッチパネル(スクリーン)表示装置を用いることもできる。
本発明の一実施態様では、該着色プロセスは、主記憶装置106に含まれている1つ以上の命令の1つ以上のシーケンスを実行するプロセッサ104に応答してコンピュータシステム100により実行され得る。その様な命令は、記憶装置110のような他のコンピュータ読取可能媒体から主記憶装置106に読み込まれ得る。主記憶装置106に含まれる命令のシーケンスの実行は、プロセッサ104に本書記載のプロセスステップを実行させる。主記憶装置106に含まれている命令のシーケンスを実行するために多重処理構成の1つ以上のプロセッサが使用されても良い。代替実施態様では、本発明を実行するソフトウェア命令の代わりに又はそれと組み合わせてハードワイヤード回路を使用することができる。本発明の実施態様は、ハードウェア回路及びソフトウェアの特定の組み合わせには限定されない。
本書で使われる“コンピュータ読取可能媒体“という用語は、命令をプロセッサ104に供給して実行させることに関与する任意の媒体をさす。その様な媒体は、不揮発性媒体、揮発性媒体、及び伝送媒体を含むがこれらに限定はされない多くの形をとることができる。不揮発性媒体は、例えば、記憶装置110のような光ディスク又は磁気ディスクを含むことができる。揮発性媒体は、主記憶装置106のような動的記憶装置を含む。伝送媒体は、バス102を構成するワイヤを含む、同軸ケーブル、銅線及びファイバーオプティクスを含む。伝送媒体は、無線周波数(RF)及び赤外線(IR)データ通信のときに生成されるものなどの、音波又は光波の形をとることもできる。コンピュータ読取可能媒体の一般的な形は、例えば、フロッピーディスク(登録商標)、フレキシブルディスク、ハードディスク、磁気テープ、他の任意の磁性媒体、CD−ROM、DVD、他の任意の光学媒体、パンチカード、紙テープ、穴のパターンを有する他の任意の物理的媒体、RAM、PROM、及びEPROM、フラッシュEPROM、他の任意のメモリーチップ又はカートリッジ、後述される搬送波、又は、それからコンピュータが読み出すことのできる他の任意の媒体を含む。
1つ以上の命令の1つ以上のシーケンスをプロセッサ104に実行させるべく伝えることにいろいろな形のコンピュータ読取可能媒体が関与し得る。例えば、命令は、始めはリモートコンピュータの磁気ディスクに担持され得る。該リモートコンピュータは、その命令を自分の動的記憶装置にロードし、その命令をモデムを用いて電話回線で送ることができる。コンピュータシステム100のモデムは、電話回線でデータを受け取り、赤外線送信装置を使って該データを赤外線信号に変換することができる。バス102に結合されている赤外線検出器は、その赤外線信号に担持されているデータを受け取って該データをバス102上に置くことができる。バス102はそのデータを主記憶装置106に伝え、ここからプロセッサ104は該命令を検索して実行する。主記憶装置106により受け取られた命令は、随意的に、プロセッサ104により実行される前或いはその後に、記憶装置110に格納され得る。
コンピュータシステム100は、好ましくは、バス102に結合された通信インターフェース118も含む。通信インターフェース118は、ローカルネットワーク122に接続されているネットワークリンク120に結合する双方向データ通信を提供する。例えば、通信インターフェース118は、対応するタイプの電話回線へのデータ通信接続を提供する統合サービスデジタルネットワーク(ISDN)カード又はモデムであり得る。他の例として、通信インターフェース118は、互換性のあるLANへのデータ通信接続を提供するローカルエリアネットワーク(LAN)カードであり得る。無線リンクも実現され得る。任意のその様な実現態様において、通信インターフェース118は、種々のタイプの情報を現すデジタルデータストリームを伝える電気信号、電磁信号又は光信号を送信し受信する。
ネットワークリンク120は、通常、1つ以上のネットワークを通しての他のデータ装置へのデータ通信を提供する。例えば、ネットワークリンク120は、ローカルネットワーク122を通してホストコンピュータ124への、又はインターネットサービスプロバイダ(ISP)126により操作されるデータ装置への接続を提供することができる。ISP126は、今日一般に“インターネット”128と称されている世界的なパケットデータ通信ネットワークを通してデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128は、両方とも、デジタルデータストリームを伝える電気、電磁又は光信号を使用する。種々のネットワークを通る信号と、ネットワーク120上の、通信インターフェース118を通る信号とは、デジタルデータをコンピュータシステム100に伝え、またデジタルデータをコンピュータシステム100から伝えるものであって、情報を運ぶ搬送波の代表的な形である。
コンピュータシステム100は、ネットワーク、ネットワークリンク120、及び通信インターフェース118を通してメッセージを送り、またプログラムコードを含むデータを受け取ることができる。インターネット例では、サーバー130は、アプリケーションプログラムのための要求されたコードをインターネット128、ISP126、ローカルネットワーク122及び通信インターフェース118を通して送信することができる。本発明によれば、1つのその様なダウンロードされるアプリケーションは、例えば、該実施態様の照明最適化を提供する。受け取られたコードは、受け取られるとプロセッサ104により実行され、且つ/又は、後に実行されるべく記憶装置110又は他の不揮発性記憶装置に格納される。この様に、コンピュータシステム100は搬送波の形でアプリケーションコードを得ることができる。
図17は本発明を利用することにより設計されたマスクに用いるのに適するリソグラフィ投影装置を概略的に示す。この装置は下記のものを含む:
− 放射の投影ビームPBを供給するための放射システムEx,IL。この場合、放射システムは放射源LAも含む;
− マスクMA(例えば、レチクル)を保持するためのマスクホルダーを備え、該マスクをアイテムPLに関して正確に位置決めするための第1位置決め手段に結合された第1オブジェクトテーブル(マスクテーブル)MT;
− 基板W(例えば、レジストコーティングされたシリコンウェーハ)を保持するための基板ホルダーを備え、該基板をアイテムPLに関して正確に位置決めするための第2位置決め手段に結合された第2オブジェクトテーブル(基板テーブル)WT;
− マスクMAの照射された部分を基板Wのターゲット部分C(例えば、1つ以上のダイを含む)に結像させるための投影システム(“レンズ”)PL(例えば、屈折、反射又は反射屈折光学システム)。
ここに描かれているように、該装置は透過型である(すなわち、透過性マスクを有する)。しかし、一般に、それは例えば反射型(反射型マスクを有する)であっても良い。或いは、該装置は、マスクを用いる代わりに他の種類のパターニング手段を使用しても良い。その例は、プログラマブルミラーアレイ又はLCDマトリックスを含む。
放射原LA(例えば、水銀ランプ又はエキシマーレーザー)は放射のビームを生じさせる。このビームは、直接に、又は例えばビームエキスパンダーExのような調整手段を通過した後に、照明システム(イルミネータ)ILに送り込まれる。イルミネータILは、ビームにおける強度分布の外側及び/又は内側半径方向限度(一般に、各々σ-outer及びσ-innerと称される)をセットするための調整手段AMを含むことができる。更に、それは一般にインテグレータIN及びコンデンサCOのような他の種々のコンポーネントを含む。この様にして、マスクMAに当たるビームPBの断面は所望の均一性及び強度分布を有する。
図17に関して、放射源LAは、リソグラフィ投影装置のハウジングの中にあっても良いが(例えば放射源LAが水銀ランプである場合には良くそうである)、放射源はリソグラフィ投影装置から遠く離れていて、これが生じさせた放射ビームが(例えば適切な指向ミラーを利用することにより)該装置に導入されるようになっていても良い。この後者のシナリオは放射源LAが(例えば、KrF,ArF又はFレーザー発振に基く)エキシマーレーザーである場合に良く採用される。本発明は、これらのシナリオの両方を含む。
ビームPBはその後に、マスクテーブルMT上に保持されているマスクMAを遮る。マスクMAを通過すると、ビームPBはレンズPLを通過し、これはビームPBを基板Wのターゲット部分C上に収束させる。第2位置決め手段(及び干渉測定手段IF)を利用することにより、例えばいろいろなターゲット部分CをビームPBの経路に位置させるように、基板テーブルWTは正確に動かされ得る。同様に、第1位置決め手段は、例えばマスクMAをマスクライブラリから機械的に取り出した後に、又はスキャン中に、マスクMAをビームPBの経路に関して正確に位置決めするために使用され得る。一般に、オブジェクトテーブルMT,WTの動きは、ロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を利用することにより実現されるが、これらは図17に明示的には描かれていない。しかし、ウェーハステッパの場合には(ステップアンドスキャンツールとは対照的に)、マスクテーブルMTは単にショートストロークアクチュエータに結合されてもよく、又は固定されてもよい。
図示されているツールは2つの異なるモードで使用され得る:
− すなわち、ステップモードでは、マスクテーブルMTは本質的に不動に保たれ、マスク像全体が1操作で(例えば、1“フラッシュ(flash)”で)ターゲット部分C上に投影される。基板テーブルWTは、その後、ビームPBによって異なるターゲット部分Cが照射され得るように、x及び/又はy方向にシフトされる;
− スキャンモードでは、所与のターゲット部分Cが単一の“フラッシュ”では露光されないことを除いて、ほぼ同じシナリオが当てはまる。マスクテーブルMTは所与の方向(いわゆる“スキャン方向、例えばy方向)に速度νで移動可能であり、投影ビームPBはマスク像上をスキャンさせられる。同時に、基板テーブルWTは同じ又は反対の方向に速度V=Mνで動かされ、このMはレンズPLの倍率である(通常、M=1/4又は1/5)。この様にして、解像度に関して妥協をせずに、割合に大きなターゲット部分Cを露光することができる。
更に、ソフトウェアが、開示された思想を実施し又はその実行を援助することができる。コンピュータシステムのソフトウェア機能性は実行可能なコードを含むプログラミングを伴ない、上記結像モデルを実行するために使用され得る。ソフトウェアコードは汎用コンピュータによって実行可能である。動作時には、該コード、及び場合によっては、関連するデータレコードは、汎用コンピュータプラットホーム内に格納される。しかし、他の時には、該ソフトウェアは、他の場所に格納され且つ/又は適切な汎用コンピュータシステムにロードされるように移送されても良い。従って、上記の実施態様は、少なくとも1つの機械読取可能媒体により担持されるコードの1つ以上のモジュールの形の1つ以上のソフトウェア製品を必要とする。コンピュータシステムのプロセッサによるその様なコードの実行は、該プラットホームがカタログ及び/又はソフトウェアダウンロード機能を、本質的に、本書で論じられ図示された実施態様で実行される仕方で実行することを可能にする。
本書で使用されている、コンピュータ又は機械“読取可能媒体”という用語は、命令をプロセッサに実行されるように供給することに関与する任意の媒体をさす。その様な媒体は、不揮発性媒体、揮発性媒体、及び伝送媒体を含むがこれらに限定はされない多くの形をとることができる。不揮発性媒体は、例えば、前述されたサーバープラットホームのうちの1つとして動作する任意のコンピュータの任意の記憶装置のような光ディスク又は磁気ディスクを含む。揮発性媒体は、その様なコンピュータプラットホームの主記憶装置のような動的記憶装置を含む。物理的伝送媒体は、コンピュータシステム内のバスを構成するワイヤを含む、同軸ケーブル、銅線及びファイバーオプティクスを含む。搬送波伝送媒体は、電気又は電磁信号、又は、無線周波数(RF)及び赤外線(IR)データ通信のときに生成されるものなどの、音波又は光波の形をとることができる。従って、コンピュータ読取可能媒体の一般的な形は、例えば、フロッピーディスク(登録商標)、フレキシブルディスク、ハードディスク、磁気テープ、他の任意の磁性媒体、CD−ROM、DVD、他の任意の光学媒体、パンチカード、紙テープ、穴のパターンを有する他の任意の物理的媒体のような余り広くは使われない媒体、RAM、PROM、及びEPROM、フラッシュEPROM、他の任意のメモリーチップ又はカートリッジ、データ又は命令を運ぶ搬送波、その様な搬送波を運ぶケーブル又はリンク、又は、それからコンピュータがプログラミングコード及び/又はデータを読み出すことのできる他の任意の媒体を含む。これらの形のコンピュータ読取可能媒体の多くは、1つ以上の命令の1つ以上のシーケンスをプロセッサに実行させるべく該プロセッサに伝えることに関与し得る。
本発明は詳しく記載され図示されたが、それは図解及び例示をするものであって限定の意味に介されるべきではなく、本発明の範囲は、添付されている請求項の表現のみによって限定されるということが明瞭に理解されなければならない。
本発明のモデルベースの着色プロセスを示す代表的フローチャートであり、これはターゲットパターンを複数のセグメントに分解するために利用され、それらは多重照明プロセスに利用される。 本発明の着色プロセスを利用して複数のセグメントに分解される代表的ターゲットパターンを示す。 本発明の着色プロセスのステップ12を示し、ここでフラグメンテーションポイントが定められる。 着色プロセスのステップ14を示しており、ここで、ステップ12で定められたフラグメンテーションポイントに基いて複数の多角形が定められる。 着色プロセスのステップ18を示し、ここで、最低ILSを有する単一の多角形を含むマスクが定められる。 着色プロセスのステップ20を示し、ここで、ステップ18で定められた単一の多角形について干渉マップが定められる。 図6に示されている干渉マップに基いてターゲットマスクの領域がどの様にして別々の露光に定められるかを示す。 着色プロセスのステップ26を示し、ここで所定コヒーレンス半径内の2番目に高い費用関数を有する多角形を含むマスクが定められる。 着色プロセスのステップ30を示し、ここで、最低のILSを有する単一多角形とステップ26で選択された多角形との組み合わせを表す干渉マップが定められる。 図9に示されている干渉マップに基いてターゲットマスクの領域がどのようにして別々の露光に定められるかを示す。 全ての多角形が処理された後の全体干渉マップを示す。 図11に示されている干渉マップに基いてターゲットマスクの領域がどのようにして別々の露光に定められるかを示す。 第2の代表的なターゲットパターンを示す。 図13のターゲットパターンに対応する、本発明のプロセスにより生成された干渉を示す。 図14に示されている干渉マップに基いてターゲットマスクの領域がどのようにして別々の露光に定められるかを示す。 本発明の実施態様に従って照明最適化を実行することのできるコンピュータシステムを示すブロック図である。 開示された思想を利用して設計されたマスクでの使用に適する代表的リソグラフィ投影装置を概略的に示す。

Claims (25)

  1. 基板上に結像されるべき特徴を有するターゲットパターンを、前記特徴が多重露光プロセスで結像され得るように分解する方法であって:
    (a)複数の前記特徴を複数の多角形に分割するステップと;
    (b)前記複数の多角形の各々についてイメージログスロープ(ILS)値を決定するステップと;
    (c)最小のILS値を有する多角形を判定し、前記最小のILS値を有する多角形を含むマスクを定めるステップと;
    (d)第1干渉マップを生成するために、ステップ(c)で定められた前記マスクを伝送交差係数の固有関数とコンボルブするステップであって、前記伝送交差係数が照明システムを定めるステップと;
    (e)前記多角形に各々対応する場所における前記第1干渉マップの値に基いて前記多角形に位相を割り当てるステップであって、前記多重露光プロセス中のどの露光が前記多角形に割り当てられるかを前記位相が定めるステップと;を含む、方法。
  2. 更に:
    (f)別の多角形を選択し、前記多角形を含むマスクを定めるステップと;
    (g)第2干渉マップを生成するように、ステップ(f)で定められた前記マスクを前記伝送交差係数の前記固有関数とコンボルブするステップと;
    (h)前記第1干渉マップと前記第2干渉マップとを組み合わせることによって全体干渉マップを生成するステップと;
    (i)前記多角形に各々対応する場所における前記全体干渉マップの値に基いて前記多角形に位相を割り当てるステップであって、前記多重露光プロセス中のどの露光が前記多角形に割り当てられるかを前記位相が定めるステップと;を含んでおり、
    全ての多角形が処理されるまで前記ステップ(f)−(i)が反復される、請求項1に記載のターゲットパターンを分解する方法。
  3. ステップ(f)は、費用関数を利用して前記多角形を格付けすることを含み、最も高いの費用関数を有する多角形が処理される次の多角形として選択される、請求項2に記載のターゲットパターンを分解する方法。
  4. 前記多角形に第1位相又は第2位相のいずれかが割り当てられ、前記第1位相が割り当てられた前記多角形は第1露光プロセスで結像され、前記第2位相が割り当てられた前記多角形は第2露光プロセスで結像される、請求項2に記載のターゲットパターンを分解する方法。
  5. 前記全体干渉マップの対応する場所において正の値を有する各多角形には前記第1位相が割り当てられ、前記全体干渉マップの対応する場所において負の値を有する各多角形には前記第2位相が割り当てられる、請求項4に記載のターゲットパターンを分解する方法。
  6. 前記ターゲットパターンに含まれる単一の特徴は、異なる位相を割り当てられ、異なる露光プロセスで結像されるべき複数のセグメントに分解され得る、請求項2に記載のターゲットパターンを分解する方法。
  7. コンピュータによって読取可能な記録媒体と、基板上に結像されるべき特徴を有するターゲットパターンを、前記特徴が多重露光プロセスで結像され得るように分解するべく前記コンピュータに指令するために前記記録媒体に記録された手段とを含むコンピュータを制御するためのコンピュータプログラムであって、前記プロセスは:
    (a)複数の前記特徴を複数の多角形に分割するステップと;
    (b)前記複数の多角形の各々についてイメージログスロープ(ILS)値を決定するステップと;
    (c)最小のILS値を有する多角形を判定し、前記最小のILS値を有する多角形を含むマスクを定めるステップと;
    (d)第1干渉マップを生成するために、ステップ(c)で定められた前記マスクを伝送交差係数の固有関数とコンボルブするステップであって、前記伝送交差係数が照明システムを定めるステップと;
    (e)前記多角形に各々対応する場所における前記第1干渉マップの値に基いて前記多角形に位相を割り当てるステップであって、前記多重露光プロセス中のどの露光が前記多角形に割り当てられるかを前記位相が定めるステップと;を含む、コンピュータプログラム
  8. 前記プロセスは、更に:
    (f)別の多角形を選択し、前記多角形を含むマスクを定めるステップと;
    (g)第2干渉マップを生成するように、ステップ(f)で定められた前記マスクを前記伝送交差係数の前記固有関数とコンボルブするステップと;
    (h)前記第1干渉マップと前記第2干渉マップとを組み合わせることによって全体干渉マップを生成するステップと;
    (i)前記多角形に各々対応する場所における前記全体干渉マップの値に基いて前記多角形に位相を割り当てるステップであって、前記多重露光プロセス中のどの露光が前記多角形に割り当てられるかを前記位相が定めるステップと;を含んでおり、
    全ての多角形が処理されるまで前記ステップ(f)−(i)が反復される、請求項7に記載のコンピュータプログラム
  9. ステップ(f)は、費用関数を利用して前記多角形を格付けすることを含み、最も高い費用関数を有する多角形が処理される次の多角形として選択される、請求項8に記載のコンピュータプログラム
  10. 前記多角形に第1位相又は第2位相のいずれかが割り当てられ、前記第1位相が割り当てられた前記多角形は第1露光プロセスで結像され、前記第2位相が割り当てられた前記多角形は第2露光プロセスで結像される、請求項8に記載のコンピュータプログラム
  11. 前記全体干渉マップの対応する場所において正の値を有する各多角形には前記第1位相が割り当てられ、前記全体干渉マップの対応する場所において負の値を有する各多角形には前記第2位相が割り当てられる、請求項10に記載のコンピュータプログラム
  12. 前記ターゲットパターンに含まれる単一の特徴は、異なる位相を割り当てられ、異なる露光プロセスで結像されるべき複数のセグメントに分解され得る、請求項8に記載のコンピュータプログラム
  13. 装置製造方法であって、この方法は:
    (a)放射感応性材料の層によって少なくとも部分的に覆われている基板を設けるステップと;
    (b)結像システムを用いて放射の投影ビームを供給するステップと;
    (c)マスク上のパターンを用いて、前記投影ビームの断面にパターンを付与するステップと;
    (d)前記パターンが付与された放射ビームを前記放射感応性材料層のターゲット部分に投影するステップと;を含んでおり、
    ここでステップ(c)において、前記マスクが:
    (e)複数の前記特徴を複数の多角形に分割するステップと;
    (f)前記複数の多角形の各々についてイメージログスロープ(ILS)値を決定するステップと;
    (g)最小のILS値を有する多角形を判定し、前記最小のILS値を有する多角形を含むマスクを定めるステップと;
    (h)第1干渉マップを生成するために、ステップ(g)で定められた前記マスクを伝送交差係数の固有関数とコンボルブするステップであって、前記伝送交差係数が照明システムを定めるステップと;
    (i)前記多角形に各々対応する場所における前記第1干渉マップの値に基いて前記多角形に位相を割り当てるステップであって、前記多重露光プロセス中のどの露光が前記多角形に割り当てられるかを前記位相が定めるステップと;を含む方法によって形成される、装置製造方法。
  14. 基板上に結像されるべき特徴を有するターゲットパターンを、前記特徴が多重露光プロセスで結像され得るように分解する方法であって、前記方法は:
    (a)複数の前記特徴を複数の多角形に分割するステップと;
    (b)前記多角形に各々対応する場所における干渉マップの値に基いて前記多角形に位相又は色を割り当てるステップとを含み、前記多重露光プロセス中のどの露光が前記多角形に割り当てられるかを前記位相又は色が定める、方法。
  15. 前記干渉マップは、前記多角形を表すマスクを伝送交差係数の固有関数とコンボルブすることによって生成され、前記伝送交差係数が照明システムを定める、請求項14に記載の方法。
  16. 基板上に結像されるべき特徴を有するターゲットパターンを、前記特徴が多重露光プロセスで結像され得るように分解する方法であって、前記方法は:
    (a)複数の前記特徴を複数の多角形に分割するステップと;
    (b)前記多角形を表すマスクの、伝送交差係数の固有関数とのコンボリューションの結果に基いて前記多角形に位相又は色を割り当てるステップとを含み、前記伝送交差係数が照明システムを定める、方法。
  17. 請求項1の方法を利用して形成されたマスク。
  18. 請求項14の方法を利用して形成されたマスク。
  19. 請求項16の方法を利用して形成されたマスク。
  20. ウェーハを結像する方法であって、この方法は:
    (a)結像されるべき複数の特徴を複数の多角形に分割するステップと;
    (b)前記多角形に各々対応する場所における干渉マップの値に基いて前記多角形に位相又は色を割り当てるステップとを含み、前記多重露光プロセス中のどの露光が前記多角形に割り当てられるかを前記位相又は色が定める、方法。
  21. 前記干渉マップは、前記多角形を表すマスクを伝送交差係数の固有関数とコンボルブすることによって生成され、前記伝送交差係数が照明システムを定める、請求項20に記載の方法。
  22. ウェーハを結像する方法であって、この方法は:
    (a)結像されるべき複数の特徴を複数の多角形に分割するステップと;
    (b)前記多角形を表すマスクの、伝送交差係数の固有関数とのコンボルブの結果に基いて前記多角形に位相又は色を割り当てるステップとを含み、前記伝送交差係数が照明システムを定める、方法。
  23. コンピュータによって読取可能な記録媒体と、基板上に結像されるべき特徴を有するターゲットパターンを、前記特徴が多重露光プロセスで結像され得るように分解するべく前記コンピュータに指令するために前記記録媒体に記録された手段とを含むコンピュータを制御するためのコンピュータプログラムであって、前記プロセスは:
    (a)複数の前記特徴を複数の多角形に分割するステップと;
    (b)前記多角形に各々対応する場所における干渉マップの値に基いて前記多角形に位相又は色を割り当てるステップとを含み、前記多重露光プロセス中のどの露光が前記多角形に割り当てられるかを前記位相又は色が定める、コンピュータプログラム。
  24. 前記干渉マップは、前記多角形を表すマスクを伝送交差係数の固有関数とコンボルブすることによって生成され、前記伝送交差係数が照明システムを定める、請求項23に記載のコンピュータプログラム。
  25. コンピュータによって読み取られ得る記録媒体と、基板上に結像されるべき特徴を有するターゲットパターンを、前記特徴が多重露光プロセスで結像され得るように分解するべく前記コンピュータに指令するために前記記録媒体に記録された手段とを含むコンピュータを制御するためのコンピュータプログラムであって、前記プロセスは:
    (a)複数の前記特徴を複数の多角形に分割するステップと;
    (b)前記多角形を表すマスクの、伝送交差係数の固有関数とのコンボリューションの結果に基いて前記多角形に位相又は色を割り当てるステップとを含み、前記伝送交差係数が照明システムを定める、コンピュータプログラム。
JP2006349292A 2005-12-29 2006-12-26 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置 Expired - Fee Related JP4602962B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US75431205P 2005-12-29 2005-12-29
US77619906P 2006-02-24 2006-02-24
US11/496,742 US7493589B2 (en) 2005-12-29 2006-08-01 Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process

Publications (2)

Publication Number Publication Date
JP2007183630A JP2007183630A (ja) 2007-07-19
JP4602962B2 true JP4602962B2 (ja) 2010-12-22

Family

ID=37963501

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006349292A Expired - Fee Related JP4602962B2 (ja) 2005-12-29 2006-12-26 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置

Country Status (6)

Country Link
US (3) US7493589B2 (ja)
EP (1) EP1804123A3 (ja)
JP (1) JP4602962B2 (ja)
KR (1) KR100860088B1 (ja)
SG (1) SG133587A1 (ja)
TW (1) TWI360021B (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2867588B1 (fr) * 2004-03-12 2006-04-28 Commissariat Energie Atomique Procede de caracterisation geometrique de structures et dispositif pour la mise en oeuvre dudit procede
JP4945367B2 (ja) * 2006-08-14 2012-06-06 エーエスエムエル マスクツールズ ビー.ブイ. 回路パターンを複数の回路パターンに分離する装置および方法
JP5032948B2 (ja) * 2006-11-14 2012-09-26 エーエスエムエル マスクツールズ ビー.ブイ. Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
US7934177B2 (en) * 2007-02-06 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for a pattern layout split
JP4779003B2 (ja) * 2007-11-13 2011-09-21 エーエスエムエル ネザーランズ ビー.ブイ. フルチップ設計のパターン分解を行うための方法
US7861196B2 (en) * 2008-01-31 2010-12-28 Cadence Design Systems, Inc. System and method for multi-exposure pattern decomposition
US8224061B2 (en) * 2008-07-28 2012-07-17 Asml Netherlands B.V. Method, program product, and apparatus for performing a model based coloring process for pattern decomposition for use in a multiple exposure process
US8340394B2 (en) * 2008-07-28 2012-12-25 Asml Netherlands B.V. Method, program product and apparatus for performing a model based coloring process for geometry decomposition for use in a multiple exposure process
US8069423B2 (en) * 2008-08-11 2011-11-29 Cadence Design Systems, Inc. System and method for model based multi-patterning optimization
US8209656B1 (en) 2008-10-14 2012-06-26 Cadence Design Systems, Inc. Pattern decomposition method
NL2003707A (en) * 2008-11-11 2010-05-12 Asml Netherlands Bv A method, program product, and apparatus for performing a model based coloring process for pattern decomposition for use in a multiple exposure process.
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
US8321818B2 (en) * 2009-06-26 2012-11-27 International Business Machines Corporation Model-based retargeting of layout patterns for sub-wavelength photolithography
JP5665398B2 (ja) * 2009-08-10 2015-02-04 キヤノン株式会社 生成方法、作成方法、露光方法、デバイスの製造方法及びプログラム
US8146026B2 (en) 2009-11-17 2012-03-27 International Business Machines Corporation Simultaneous photolithographic mask and target optimization
US8230372B2 (en) 2009-12-03 2012-07-24 International Business Machines Corporation Retargeting for electrical yield enhancement
US8331646B2 (en) 2009-12-23 2012-12-11 International Business Machines Corporation Optical proximity correction for transistors using harmonic mean of gate length
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
US8372565B2 (en) 2010-08-31 2013-02-12 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
NL2007306A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Source polarization optimization.
US8516402B1 (en) 2011-08-22 2013-08-20 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8473874B1 (en) 2011-08-22 2013-06-25 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
JP2015508513A (ja) * 2012-01-13 2015-03-19 ケーエルエー−テンカー コーポレイション データベース支援再適格性レチクル検査の方法および装置
KR101991380B1 (ko) * 2012-07-26 2019-06-20 삼성전자주식회사 반도체 소자의 레이아웃 생성 방법
JP6140954B2 (ja) 2012-09-06 2017-06-07 キヤノン株式会社 マスクデータ作成方法、それを実行するプログラムおよび情報処理装置
JP6598421B2 (ja) 2013-02-22 2019-10-30 キヤノン株式会社 マスクパターンの決定方法、プログラム、情報処理装置
CN104570586B (zh) * 2013-10-23 2019-03-29 中芯国际集成电路制造(北京)有限公司 光学邻近修正模型的获取方法
KR102257031B1 (ko) * 2015-03-13 2021-05-27 삼성전자주식회사 반도체 집적 회로 설계 방법
CN106652029B (zh) * 2016-12-29 2020-07-07 徐工集团工程机械有限公司 三维装配模型自动分解方法和装置
EP3588190A1 (en) * 2018-06-25 2020-01-01 ASML Netherlands B.V. Method for performing a manufacturing process and associated apparatuses

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004221594A (ja) * 2003-01-14 2004-08-05 Asml Masktools Bv コンタクト・ホール・マスクの光学的近接補正設計の方法
JP2004312027A (ja) * 2003-03-31 2004-11-04 Asml Masktools Bv ソースおよびマスクの最適化
JP2005276852A (ja) * 2004-03-22 2005-10-06 Nec Electronics Corp 微細ホールパターンの形成方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0236738A3 (en) 1986-02-05 1988-12-21 OMRON Corporation Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus
JPH03174716A (ja) 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
JP3426647B2 (ja) 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
US5536603A (en) * 1993-12-21 1996-07-16 Kabushiki Kaisha Toshiba Phase shift mask and method of fabricating the same
JP3409493B2 (ja) 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US5795688A (en) 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6081658A (en) 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6081859A (en) * 1998-03-12 2000-06-27 Vlsi Technology, Inc. Address dependent retry system to program the retry latency of an initiator PCI agent
JP3347670B2 (ja) * 1998-07-06 2002-11-20 キヤノン株式会社 マスク及びそれを用いた露光方法
JP2000105452A (ja) 1998-09-29 2000-04-11 Toppan Printing Co Ltd データ変換装置及びデータ変換方法
US6518180B1 (en) * 1998-10-23 2003-02-11 Hitachi, Ltd. Method for fabricating semiconductor device and method for forming mask suitable therefor
JP3275863B2 (ja) * 1999-01-08 2002-04-22 日本電気株式会社 フォトマスク
US6492066B1 (en) * 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6856572B2 (en) * 2000-04-28 2005-02-15 Matrix Semiconductor, Inc. Multi-headed decoder structure utilizing memory array line driver with dual purpose driver device
US7083879B2 (en) * 2001-06-08 2006-08-01 Synopsys, Inc. Phase conflict resolution for photolithographic masks
DE10051134B4 (de) * 2000-10-16 2005-05-25 Infineon Technologies Ag Verfahren zur Feststellung und automatischen Behebung von Phasenkonflikten auf alternierenden Phasenmasken
US6553562B2 (en) * 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
EP1329771B1 (en) 2001-10-09 2006-09-06 ASML MaskTools B.V. Method of two dimensional feature model calibration and optimization
US6753115B2 (en) * 2001-12-20 2004-06-22 Numerical Technologies, Inc. Facilitating minimum spacing and/or width control optical proximity correction
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US7093228B2 (en) * 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
CN100468196C (zh) * 2003-01-14 2009-03-11 Asml蒙片工具有限公司 为用于深的亚波长光刻的掩模原版图案提供光学逼近特征的方法和装置
DE602004022141D1 (de) * 2003-02-27 2009-09-03 Univ Hong Kong Mehrfachbelichtungsverfahren zur schaltungsleistungsverbesserung und maskenset
US7550235B2 (en) * 2003-09-05 2009-06-23 Asml Masktools B.V. Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
US7155689B2 (en) * 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
US6968532B2 (en) * 2003-10-08 2005-11-22 Intel Corporation Multiple exposure technique to pattern tight contact geometries
EP1530083A3 (en) * 2003-11-05 2006-03-01 ASML MaskTools B.V. OPC based on decomposition into eigen-functions
US7135692B2 (en) 2003-12-04 2006-11-14 Asml Netherlands B.V. Lithographic apparatus, illumination system and method for providing a projection beam of EUV radiation
US7106415B2 (en) 2003-12-09 2006-09-12 Anvik Corporation Illumination compensator for curved surface lithography
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7046339B2 (en) 2004-03-05 2006-05-16 Micron Technology, Inc. Optimized optical lithography illumination source for use during the manufacture of a semiconductor device
WO2005098686A2 (en) * 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US7065738B1 (en) * 2004-05-04 2006-06-20 Advanced Micro Devices, Inc. Method of verifying an optical proximity correction (OPC) model
US7549119B2 (en) * 2004-11-18 2009-06-16 Neopets, Inc. Method and system for filtering website content
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
CN101258498B (zh) * 2005-08-08 2011-04-13 Asml荷兰有限公司 用于形成光刻工艺的焦点曝光模型的系统和方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004221594A (ja) * 2003-01-14 2004-08-05 Asml Masktools Bv コンタクト・ホール・マスクの光学的近接補正設計の方法
JP2004312027A (ja) * 2003-03-31 2004-11-04 Asml Masktools Bv ソースおよびマスクの最適化
JP2005276852A (ja) * 2004-03-22 2005-10-06 Nec Electronics Corp 微細ホールパターンの形成方法

Also Published As

Publication number Publication date
TWI360021B (en) 2012-03-11
US20090148783A1 (en) 2009-06-11
EP1804123A3 (en) 2009-04-22
SG133587A1 (en) 2007-07-30
US7493589B2 (en) 2009-02-17
TW200731026A (en) 2007-08-16
US20070157154A1 (en) 2007-07-05
KR100860088B1 (ko) 2008-09-25
US8060842B2 (en) 2011-11-15
US20120077114A1 (en) 2012-03-29
KR20070072420A (ko) 2007-07-04
JP2007183630A (ja) 2007-07-19
EP1804123A2 (en) 2007-07-04
US8640058B2 (en) 2014-01-28

Similar Documents

Publication Publication Date Title
JP4602962B2 (ja) 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置
EP1901122B1 (en) Method and apparatus for performing model-based OPC for pattern decomposed feature
US8122391B2 (en) Method, program product and apparatus for performing double exposure lithography
KR101226646B1 (ko) 회절 시그너처 분석에 기초한 설계 레이아웃에서의 최적의 패턴들의 선택
US8132130B2 (en) Method, program product and apparatus for performing mask feature pitch decomposition for use in a multiple exposure process
US7970198B2 (en) Method for performing pattern decomposition based on feature pitch
JP5032948B2 (ja) Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
US7617476B2 (en) Method for performing pattern pitch-split decomposition utilizing anchoring features
JP4580912B2 (ja) 改良型マスク、改良型マスクを作製するための方法およびプログラム

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100104

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100507

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100803

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100902

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100930

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131008

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4602962

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees