JP2004221594A - コンタクト・ホール・マスクの光学的近接補正設計の方法 - Google Patents

コンタクト・ホール・マスクの光学的近接補正設計の方法 Download PDF

Info

Publication number
JP2004221594A
JP2004221594A JP2004007029A JP2004007029A JP2004221594A JP 2004221594 A JP2004221594 A JP 2004221594A JP 2004007029 A JP2004007029 A JP 2004007029A JP 2004007029 A JP2004007029 A JP 2004007029A JP 2004221594 A JP2004221594 A JP 2004221594A
Authority
JP
Japan
Prior art keywords
interference
substrate
mask
resolvable
function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004007029A
Other languages
English (en)
Other versions
JP3992688B2 (ja
Inventor
Robert John Socha
ジョン ソチャ ロバート
Xuelong Shi
シー シュエロン
Den Broke Douglas Van
ヴァン デン ブロエケ ダグラス
Jang Fung Chen
フン チェン ジャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML MaskTools Netherlands BV
Original Assignee
ASML MaskTools Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML MaskTools Netherlands BV filed Critical ASML MaskTools Netherlands BV
Publication of JP2004221594A publication Critical patent/JP2004221594A/ja
Application granted granted Critical
Publication of JP3992688B2 publication Critical patent/JP3992688B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Microscoopes, Condenser (AREA)

Abstract

【課題】基板表面に形成すべきパターンの照度分布を最適化する方法を提供すること。
【解決手段】照明装置に対応して照明ひとみおよび投影ひとみに従って決定される透過交差係数(「TCC」)関数を定義し、基板に印刷すべきマスクの少なくとも1つの解像可能な特徴を少なくとも1つのインパルス関数で表し、さらに少なくとも1つのインパルス関数およびTCC関数に基づいて所定の次数の干渉マップを作ることで、照度を最適化する。ここで、この干渉マップは、基板に印刷すべき少なくとも1つの解像可能な特徴および弱め合う干渉領域を表す。
【選択図】図7

Description

本発明は、「A Method of Optical Proximity Correction Design & Optimization for Deep Sub−wavelength Contact Hole Mask」と題する米国仮特許出願第60/439,808号および「Extending Interference Mapping to Improve the Depth of Focus and Exposure Latitude」と題する2003年12月9日に出願した番号未定の米国仮特許出願による優先権を主張する。
本発明の分野は、一般的に、基板表面に形成されるパターンの照度分布を最適化するマイクロリソグラフィの方法およびプログラム製品に関する。
リソグラフィ装置は、例えば、集積回路(IC)の製造に使用することができる。そのような場合、マスクはICの個々の層に対応する回路パターンを含むことができ、このパターンの像は、放射感応材料(レジスト)の層で覆われた基板(シリコン・ウエーハ)上の目標部分(例えば、1つまたは複数のダイを含む)に形成することができる。一般に、単一ウエーハは全体として網の目のような隣接する目標部分を含み、この隣接する目標部分が、投影システムにより、一度に1つずつ、連続的に放射を照射される。一つの種類のリソグラフィ投影装置では、全マスク・パターンを一括して目標部分に露光することで、各目標部分が放射を照射される。そのような装置は、通常、ウエーハ・ステッパと呼ばれる。走査ステップ式装置と通常呼ばれる別の装置では、投影ビームの下でマスク・パターンを特定の基準方向(「走査」方向)に走査し、同時に、同期して、この方向に対して平行または逆平行に基板テーブルを走査することで、各目標部分が放射を照射される。一般に、投影システムは、拡大率M(一般に、M<1)を持つので、基板テーブルが走査される速度Vは、マスク・テーブルが走査される速度の係数M倍となる。ここで説明したようなリソグラフィ装置に関して、例えば、米国特許第6,046,792号から、もっと多くの情報を収集することができる。この特許は、参照して本明細書に援用する。
リソグラフィ投影装置を使用する製造プロセスでは、放射感応材料(レジスト)の層で少なくとも部分的に覆われた基板に、マスク・パターンの像が形成される。この像形成段階の前に、基板は、下塗り、レジスト被覆、そしてソフト・ベークのような様々な手順を経るかもしれない。露光後に、基板は、露光後ベーク(PEB)、現像、ハード・ベーク、および形成された像の特徴の測定/検査のような他の手順を受けるかもしれない。この手順の配列は、デバイス例えばICの個々の層をパターン形成する基礎として使用される。次に、そのようなパターン形成層は、エッチング、イオン打込み(ドーピング)、メタライゼーション、酸化、化学機械研磨などのような、すべて個々の層を仕上げるために意図された、様々なプロセスを経る可能性がある。いくつかの層が必要な場合には、この全手順またはその変形を、新しい層ごとに繰り返さなければならない。最終的に、デバイスの配列が基板(ウエーハ)上に存在するようになる。次に、ダイシングまたは鋸引きのような方法で、これらのデバイスを互いに分離し、それから、個々のデバイスをピンに接続されたキャリアなどに取り付けることができる。そのようなプロセスに関するさらに他の情報は、例えば、「Microchip Fabrication:A practical Guide to Semiconductor Processing(マイクロチップの製造:半導体処理への実用的入門書)」、Third Edition、by Peter van Zant、McGraw Hill Publishing Co.、1997、ISBN0−07−067250−4の本から得ることができる。この本を参照して本明細書に援用する。
簡単にするために、投影システムを以下で「レンズ」と呼ぶことがある。しかし、この用語は、例えば、屈折光学システム、反射光学システム、およびカタディオプトリック・システムなどの様々な種類の投影システムを包含するものとして広く解釈すべきである。また、放射システムは、放射の投影ビームを方向付け、整形し、または制御するためにこれらの設計方式のどれかに従って動作する部品を含むことができる。さらに、そのような部品もまた、以下で一括してまたは単独で、「レンズ」と呼ぶことができる。さらに、リソグラフィ装置は、2以上の基板テーブル(および/または2以上のマスク・テーブル)を有する種類のものであるかもしれない。そのような「マルチ・ステージ」の装置では、追加のテーブルは、並列に使用することができ、または、他の1つまたは複数のテーブルを露光に使用しながら、1つまたは複数のテーブルで準備ステップを行うことができる。ツイン・ステージ・リソグラフィ装置は、例えば、米国特許第5,969,441号および国際公開WO98/40791に記載されている。この両方を参照して、本明細書に援用する。
先に言及したフォトリソグラフィ・マスクは、シリコン・ウエーハに集積化すべき回路部品に対応する幾何学的パターンを含む。そのようなマスクを作るために使用されるパターンは、CAD(コンピュータ支援設計)プログラムを使用して生成され、このプロセスは、しばしばEDA(電子的設計自動化)と呼ばれる。大抵のCADプログラムは、機能的なマスクを作るために一組の所定の設計ルールに従う。これらのルールは、処理および設計の制限によって定められる。例えば、設計ルールは、回路デバイスまたは線が望ましくないやり方で互いに相互作用しないことを保証するように、回路デバイス(ゲート、コンデンサなど)の間または相互接続線の間のスペース許容範囲を定義する。設計ルール制限は、一般に、「クリティカルな寸法」(CD)と呼ばれる。回路のクリティカルな寸法は、線または穴の最小幅または、2本の線または2個の穴の間の最小スペースとして定義することができる。したがって、CDで、設計回路の全体的な大きさおよび密度が決まる。
マスクの「アシスト特徴」を使用してレジストに投影される像を改善することができ、また最終的には開発されたデバイスを改善することができる。アシスト特徴は、レジストに生じるパターンに現れるようにするつもりのものではないが、回折効果を利用するようにマスクに用意される特徴であり、その結果、現像された像は、所望の回路パターンに一層厳密に似るようになる。アシスト特徴は、一般に、アシスト特徴がウエーハに実際に解像されるマスクの最小特徴よりも少なくとも一次元で小さいことを意味する「準解像度」または「深い準解像度」である。アシスト特徴は、クリティカル寸法の何分の1として定義される寸法を有することができる。言い換えると、マスク・パターンは一般に1未満例えば1/4または1/5の倍率で投影されるので、マスク上のアシスト特徴は、ウエーハ上の最小特徴よりも大きな物理的寸法を持つことができる。
少なくとも2つの型のアシスト特徴を使用することができる。散乱バーは、パターンが高密度に詰まった領域で起こる模擬近接効果に合わせて、孤立導体の片側または両側に配置された準解像度幅の線である。セリフは、望み通りに線の端または角をより直角または円に近くするために、導体線の角および端または長方形の特徴の角に配置された様々な形の追加の領域である(このような背景で、一般に「ハンマーの頭」と呼ばれるアシスト特徴はセリフの1つの形であると見なされることに留意されたい)。散乱バーおよびセリフの使用についての更なる情報は、例えば米国特許第5,242,770号および第5,707,765号に見出すことができる。これらの特許は、参照して本明細書に援用する。
もちろん、集積回路製造の目的の1つは、元の回路設計をウエーハ(マスクを介して)に忠実に再現することであり、これはアシスト特徴を使用することで改善される。このアシスト特徴の配置は、一般に、予め定められたルールの組に従う。この方法にしたがって、設計者は、例えば線にどのようにバイアスを加えるかを決定し、そして、一組の所定のルールに従ってアシスト特徴の配置が決定される。このルールの組を作るときに、様々な照度設定およびNA設定に対して試験マスクを露光し、これを繰り返す。試験マスクの組に基づいて、アシスト特徴配置についての一組のルールが作られる。図11は、基板に形成される解像可能な特徴112および所定の組のルールに従ってマスクに配置されたアシスト特徴114を含んだマスク110を示す。
アシスト特徴の最適位置をマスク中に決定する最適化方法およびモデル方法はまだ作られていない。したがって、アシスト特徴を戦略的かつ適切に配置する方法が必要とされている。
開示する概念は、基板表面に形成するべきパターンの照度分布を最適化する方法を含む。この方法を使用して、透過交差係数(transmission cross coefficient)(「TCC」)関数が決定され、このTCC関数は照明ひとみおよび投影レンズひとみに基づいている。また、基板に印刷すべきマスクの少なくとも1つの解像可能な特徴を、少なくとも1つのインパルス関数で表すことができる。インパルス関数とTCC関数の両方に基づいて、所定の次数の干渉マップを生成することができ、このマップは、基板に印刷すべき少なくとも1つの解像可能な特徴を表す。さらに、所定のレベルの強度を有する干渉マップの領域に対応して、マスクにアシスト特徴を最適に位置付けすることができる。明視野マスクでは、この強度は、一般に、弱め合う干渉を引き起こす光強度、または同様に弱め合う干渉を引き起こすかもしれない強度変化の大きさを表す。暗視野マスクでは、この強度は、一般に、強め合う干渉を引き起こす光強度、または同様に強め合う干渉を引き起こすかもしれない強度変化の大きさを表す。
さらに他の開示した概念は、基板表面に形成すべき解像可能な特徴のパターンの照度分布を最適化する方法を含む。この方法は、少なくとも2つの軸を有するデカルト座標干渉マップを作ることを含む。このマップは、基板に形成すべき解像可能な特徴のパターンを表すインパルス関数および透過交差係数関数に従って作られる。干渉マップは、基板に形成すべき解像可能な特徴のパターンおよび少なくとも1つの干渉領域を表す。形成すべきパターンの中心に対応する原点を有し、かつ干渉マップの対応する軸に対して平行である少なくとも2つの軸に対して、この干渉領域は傾いている。このマップに基づいて、干渉領域に対応するマスクの領域に、アシスト特徴を配置することができる。
本発明の上述および他の特徴、態様、そして利点は、添付の図面に関連して解釈されるとき本発明の次の詳細な説明からより明らかになるであろう。
アシスト特徴(AF)の配置を予測する新規なモデル化方法を使用する、波長以下のコンタクト・ホールのマスクの光学的近接補正(OPC)設計方法を、ここで説明する。有限照明源の空中像は、アシスト特徴の最適位置付けを決定するのに有用である。そのようなイメージは、形成すべき特徴例えばコンタクト・ホールおよび干渉領域を表すことができる。この干渉領域に対応してマスクにアシスト特徴を戦略的に配置することで、形成すべき特徴に対応して基板表面に光強度を有利に集束させることができる。留意されたいことであるが、本発明の方法は、コンタクト・ホールのパターンの形成に限定されない。
有限照明源の空中像を計算する2つの主要な方法があり、米国特許出願公表第2002/0152452A1号に開示されている。この出願を参照して本明細書に援用する。これに記載されている1つの方法は、ホプキン(Hopkin)の式である。それにもかかわらず、両方法は大量の計算を必要とする。
例えば、ホプキンの式では、像強度を表すために4次元の透過交差係数(TCC)が使用される。より詳細には、TCCは式1で数学的に表される。この式1は、照明ひとみ(J(α,β))に投影ひとみ(K(α,β))を掛けたものの自己相関である。式1に従って、また図1に示されるように、一番左の円10は照明ひとみ(J(α,β))を表し、中心の円12は(−m/PNA,−n/PNA)に中心がある投影ひとみ(K(α,β))を表し、一番に右の円14は(p/PNA,q/PNA)に中心がある投影ひとみ(K(α,β))を表す。TCCは、円10、12、14が重なる領域16で表される。
Figure 2004221594
式1において、m、n、pおよびqは、別個の回折次数を表す。すなわち、TCCは、4次元関数である。x方向の回折次数はmおよびpで表され、y方向の回折次数はnおよびqで表される。
開示する新規な概念に従って、式1は、4−Dマトリックスの対角化を含む特異値分解(SVD)としてよく知られている数学的操作を使用して、2つの2−D関数の組に分解することができる。TCCから、スカラすなわち固有値λを掛けた固有ベクトルΦ(m,n)、Φ(p,q)のマトリックスが形成される。したがって、式2で示すように、各固有値λは、対応するいわゆる右固有ベクトルΦ(m,n)および対応左固有ベクトルΦ(p,q)と組み合わせられる。
Figure 2004221594
図2は、クエーサ(quasar)、環状および従来の照明に対応する固有値λのマトリックスのグラフを示す。照明装置は有限の半径を持つので、無限の小さな半径の核を有する理想的な照明装置と比較して、1つより多い固有値が生じる。しかし、図2のグラフは、また、各照明装置の固有値が急速にどのように減衰するかを示している。式2およびその後の計算の複雑さを軽減するために、TCCを良好に近似するために第1の固有値20を代入することができる。しかし、精度をより高めるために、1つより多い固有値を使用することができる。コヒーレント和の総計(SOCS)操作を使用して、複数固有値λを考慮するときの空中像を計算することができ、この操作を図3の流れ図に示す。
図4(a)〜(d)は、それぞれ、第1の固有値λだけを考慮に入れたクエーサ(Quasar)、シーカッド(Cquad)、環状および従来の照明のTCCのプロットを示す。従来の照明(図4(d))を使用すると、コンタクト・ホール410の外側に重要な干渉がある。しかし、図4(a)〜(c)での比較で、光は主にコンタクト・ホール410に集束されているが、別個の干渉領域412がコンタクト・ホール410の外側に現れる。使用される照明装置に依存して、これらの干渉領域(「サイドローブ」とも呼ばれる)は、コンタクト・ホール410に対して異なった形および位置をとる。これらの干渉領域は、暗視野マスクではいわゆる可能な強め合う干渉の領域に対応する。すなわち、形成すべき特徴を表す光強度と強め合って干渉する。図4(a)において、サイドローブ412は、コンタクト・ホール410に対して横および縦に形成されている。図4(b)では、サイドローブ412は、コンタクト・ホール410に対して対角線的に形成される。図4(c)では、サイドローブ412は、コンタクト・ホール410を丸で囲むドーナツ形を形成する。干渉サイドローブの強め合う効果を増すために、アシスト特徴は、光強度をコンタクト・ホール410に集束させるために各サイドローブに対応してマスクに配置されるかもしれない。
コンタクト・ホールのような基板に形成すべき解像可能な特徴の空中像を生成するために、式2をコンタクト・ホールおよび対応する照明装置と関連づけなければならない。インパルス・デルタ関数を使用して、コンタクト・ホールを表すことができる。この関数は、式3でも表され、図5で示す。
Figure 2004221594
例えば図4(a)〜(d)の照明のどれかを使用してコンタクト・ホールのパターンによって生じる干渉を決定するために、式4で示すように、固有ベクトルΦ(m,n)の逆フーリエ変換を、コンタクト・ホールのパターンを表すインパルス・デルタ関数でたたみ込むことができる。
Figure 2004221594
しかし、式5で表されるように、フーリエ変換を行ってインパルス・デルタ関数O(x,y)を最初に周波数ドメインに変換することで、式4の計算を簡単化することができる。式6は、結果として得られる周波数ドメインの式を表す。
Figure 2004221594
Figure 2004221594
空中像を求めるために、式6の逆フーリエ変換を行わなければならない。これを式7で示す。式7は空中像を表し、また図6によって視覚的に表示される。
Figure 2004221594
最適アシスト特徴の配置を行うために、異なる型の空中像を使用することができる。例えば、式8で表されるように、式6の第2導関数を取り、さらに式9で表されるように逆フーリエ変換を行うことで、例えば図8に示すように、強度レベルの変化の程度(すなわち、勾配)を示す空中像が作られる。変化の程度を示す空中像は、アシスト特徴配置に役立つ。
Figure 2004221594
Figure 2004221594
クロムのない位相リソグラフィ(CPL)・コンタクト・マスク、高パーセンテージ透過減衰位相シフト・マスク(PSM)、および他の型のPSMは、0(レチクルを透過する光がない)、+1(100%透過、位相シフトなし)、および−1(100%透過、180度の位相シフト)の3つの可能な透過条件を有する。空中像を生成するとき、このことを考慮すべきである。特徴透過レベルを説明するために、透過条件の変数Aを追加して式3を修正することができる。
Figure 2004221594
したがって、式11で表される空中像は、固有ベクトルΦ(m,n)の逆フーリエ変換を式10のインパルス関数OCPL(x,y)でたたみ込むことで決定される。
Figure 2004221594
有利なことに、各コンタクト・ホールでの透過条件Aは、式12に示されるように、インパルス関数位置(x,y)で最小ピーク強度を最大にすることで決定される。
Figure 2004221594
留意されたいことであるが、以下の議論は、暗視野マスクの型を使用して本発明の実施例を述べるが、ここで述べる新規な概念は明視野マスクの型で使用することができることに留意されたい。図7は、干渉マップの生成に従って光学的近接補正技術をマスク・パターンに適用する方法を示す例示のフローチャートである。また留意されたいことであるが、多くの方法を使用して干渉マップを生成することができる。例えば、同時継続出願番号(未定)で開示されるような従来の空中像シミュレータ(例えば、MaskTool,Inc.が販売しているLithocruiserまたはMask Weaverシミュレーション製品)を使用して、または本出願のように領域像を数学的にモデル化して、干渉マップを生成することができる。
開示した概念は、図7のフローチャートで示すように、一連のステップで表すことができる。ステップ710で、少なくとも1つのコンタクト・ホールを含んだマスク・モデルを作成する。また、照明装置の型および対応するパラメータも選ぶ。ステップ712で、インパルス関数(例えば、式3、式10)を作り、それによって、マスクの型(例えば、位相シフト・マスク、普通のマスク)に応じてインパルス・デルタ関数でマスクの各コンタクト・ホールを表す。それと同時に、ステップ714で、照明および投影レンズのモデルに基づいてTCC(式1)を生成する。そして、ステップ716で、SVDを使用して対角化して複素TCC関数を簡単化し、固有ベクトルおよび固有値の関数(式2)をもたらす。ステップ718で、固有ベクトルをステップ712で作ったデルタ関数に取り替えて、簡単化されたTCC関数を修正する(式4〜6)。ステップ720で、TCCを近似するために、少なくとも1つの固有値を選ぶ。1つより多い値が選ばれた場合、ステップ722で、選ばれた各固有値について逆フーリエ変換(式9)を計算し、その結果を、ステップ724のように、SOCに従って合計する(図3を参照されたい)。他方で、たった1つの固有値が選ばれた場合、ステップ726で、選ばれた値の逆フーリエ変換(式9)を計算する。ステップ724か726のどちらかの結果が、基板上の照度に対応する空中像または干渉マップを表す。ステップ728で、干渉領域を干渉マップ上で識別し、ステップ730で、干渉領域に対応してアシスト特徴をマスクに最適に位置付けする。
実施例
新規なモデル化方法は、戦略的なアシスト特徴の配置を決定するために干渉パターンを正確かつ簡単に予測する。7個のコンタクト・ホールのマスク、0.75の開口数を有するシーカッド照明装置、および193nmの波長λを有する光源を想定する。最初に、式2で表され図5で図示したように、コンタクト・ホールをインパルス・デルタ関数に取り替える。
シーカッド照明の固有ベクトルΦ(m,n)でたたみ込んだとき、図6の空中像が得られる。そこに、サイドローブ干渉の多数の領域60が示されている。したがって、サイドローブの印刷を制限するために、これらの干渉領域に対応してマスクにアシスト特徴を位置付けすることができる。
図9は、新規な像形成方法および本明細書で説明した実施例に従って作られたマスクを示す。マスク90は、コンタクト・ホール92のような解像可能な特徴92および、図6の空中像で示される干渉領域60に対応して位置付けされた複数のアシスト特徴94を含む。
図10は、クエーサ照明装置を使用した7つのコンタクト・ホール・パターンの空中像を示す。図6および9との比較で、干渉領域は、予想されるようにシーカッド照明とクエーサ照明で異なる。また、シーカッド照明は、クエーサ照明に比べてより大きな光強度を生成する。したがって、想定されたパラメータを使用して、シーカッド照明を使用することで優れた結果が得られる。
上述のモデル化方法の利点は、マスク設計のために複数のアシスト特徴配置を永久に試験し解析する必要がなくなることである。このモデル化方法は、特定の照明装置に従って現れるかもしれない干渉すなわちサイドローブのその型を予測する。したがって、アシスト特徴は、最適かつ戦略的に配置することができる。
図11は、本発明を使って設計されたマスクで使用するのに適したリソグラフィ投影装置を概略的に示す。本装置は、
−この特別な場合には放射源LAも備える、放射の投影ビームPBを供給するための放射システムEx、ILと、
−マスクMA(例えば、レチクル)を保持するためのマスク・ホルダを備え、かつ要素PLに対してマスクを正確に位置付けするための第1の位置付け手段に接続された第1の物体テーブル(マスク・テーブル)MTと、
−基板W(例えば、レジスト被覆シリコン・ウエーハ)を保持するための基板ホルダを備え、かつ要素PLに対して基板を正確に位置付けするための第2の位置付け手段に接続された第2の物体テーブル(基板テーブル)WTと、
−マスクMAの照射部分の像を基板Wの目標部分C(例えば、1つまたは複数のダイを含む)に形成するための投影システム(「レンズ」)PL(例えば、屈折式、反射式、カタディオプトリック式の光学システム)とを備える。
ここに示すように、この装置は透過型(すなわち、透過マスクを有する)である。しかし、一般には、例えば、(反射マスクを有する)反射型であることもできる。もしくは、この装置は、マスクを使用する代わりとして、例えばプログラム可能ミラー・アレイまたはLCDマトリックスを含む別の種類のパターン形成手段を使用することができる。
放射源LA(例えば、水銀ランプまたはエキシマ・レーザ)で、放射のビームを生成する。このビームは、直接か、または、例えばビーム拡大器Exなどのコンディショニング手段を通り抜けた後かいずれかで、照明システム(照明装置)ILに送られる。照明装置ILは、ビーム内の強度分布の外側半径範囲および/または内側半径範囲(通常、それぞれ、σ−outerおよびσ−innerと呼ばれる)を設定するための調整手段AMを備えることができる。さらに、照明装置ILは、一般に、積分器IN、集光器COなどの様々な他の部品を備える。このようにして、マスクMAに当たるビームPBは、その断面内に所望の一様性および強度分布を持つようになる。
図11に関して留意すべきことであるが、放射源LAは、リソグラフィ投影装置のハウジング内にあるかもしれないが(例えば、放射源LAが水銀ランプの場合、そうであることが多い)、また、放射源LAがリソグラフィ投影装置から遠く離れており、それの生成する放射ビームが装置の中に導かれるかもしれない(例えば、適切な方向付けミラーを使用して)。この後者のシナリオは、放射源LAがエキシマ・レーザ(例えば、KrF、ArFまたはFレーザに基づいた)である場合に多い。本発明は、少なくともこれらのシナリオの両方を含む。
ビームPBは、その後、マスク・テーブルMTに保持されているマスクMAと交差する。マスクMAを通ったビームPBは、レンズPLを通過する。このレンズPLは、ビームPBを基板Wの目標部分Cに収束させる。第2の位置付け手段(および干渉測定手段IF)を使って、例えば、ビームPBの経路内に異なった目標部分Cを位置付けするように、基板テーブルWTを正確に移動させることができる。同様に、第1の位置付け手段を使用して、例えばマスク・ライブラリからマスクMAを機械的に取り出した後で、または走査中に、マスクMAをビームPBの経路に対して正確に位置付けすることができる。一般に、物体テーブルMT、WTの移動は、長行程モジュール(粗い位置決め)と短行程モジュール(精密位置決め)を使って行われる。これらのモジュールは、図11にはっきりと示さない。しかし、ウエーハ・ステッパ(走査ステップ式装置に対立するものとして)の場合は、マスク・テーブルMTは、短行程用アクチュエータに接続するだけでよく、または、固定してもよい。
図示の装置は、2つの異なるモードで使用することができる。
・ステップ・モードでは、マスク・テーブルMTは基本的に静止したままであり、全マスク像が一括して(すなわち、単一「フラッシュ」で)目標部分Cに投影される。次に、異なる目標部分CがビームPBで照射されるように、基板テーブルWTがxおよび/またはy方向に移動される。
・走査モードでは、基本的に同じシナリオが当てはまるが、ただ、特定の目標部分Cが単一「フラッシュ」で露出されないことが異なる。代わりに、マスク・テーブルMTが、特定の方向(いわゆる「走査方向」、例えば、y方向)に速度vで移動可能であり、その結果、投影ビームPBはマスク像全体を走査するようになる。これと並行して、基板テーブルWTが、速度V=Mvで、同じ方向または反対方向に同時に移動する。ここで、MはレンズPLの拡大率である(一般に、M=1/4または1/5)。このようにして、分解能で妥協する必要なく、比較的大きな目標部分Cを露光することができる。
本明細書で開示する概念は、波長以下の特徴の像を形成する任意の一般的な像形成システムをシミュレートし、または数学的にモデル化することができる。また、本概念は、ますます短くなる大きさの波長を生成することができる登場してくる像形成技術に関して、特に有用であるかもしれない。すでに使用中の登場してくる技術には、ArFレーザを使用して193nm波長を生成し、さらにフッ素レーザを使用して157nm波長さえも生成することができるEUV(極端紫外線)リソグラフィがある。さらに、EUVリソグラフィでは、20〜5nmの範囲内の光子を生成するためにシンクロトロンを使用して、または材料(固体かプラズマかどちらかの)を高エネルギー電子でたたいて、この範囲内の波長を生成することができる。大抵の材料はこの範囲で吸収性であるので、モリブデンと珪素の多重層を有する反射ミラーで照明を作ることができる。多重層ミラーはモリブデンと珪素の40層対を有する。ここで各層の厚さは4分の1波長である。X線リソグラフィを使用して、さらに短い波長を生成することができる。一般に、シンクロトロンは、X線波長を生成するために使用される。大抵の材料はX線波長で吸収性であるので、吸収材料の薄片で特徴がどこに印刷されるか(ポジ型レジスト)または印刷されないか(ネガ型レジスト)が画定される。
本明細書で開示する概念は、シリコン・ウエーハのような基板に像を形成するために使用することができるが、開示する概念は任意の型のリソグラフィ像形成システムで使用することができる。例えば、シリコン・ウエーハ以外の基板に像を形成するために使用することができる。
コンピュータ・システムのソフトウェア機能は、実行可能なコードを含んだプログラミングを含み、上述の像形成モデルを実施するように使用することができる。ソフトウェア・コードは、汎用コンピュータで実行可能である。動作中に、コードおよびことによると関連データ記録は、汎用コンピュータ・プラットフォーム内に格納される。しかし、その他のときは、ソフトウェアは、他の場所に格納され、および/または適切な汎用コンピュータ・システムにロードするために移送されるかもしれない。したがって、先に述べた実施例は、少なくとも1つの機械可読媒体で保持されるコードの1つまたは複数のモジュールの形で、1つまたは複数のソフトウェア製品を含む。コンピュータ・システムのプロセッサによるそのようなコードの実行によって、プラットフォームは、本明細書で述べかつ示した実施例で行われるやり方で基本的に、カタログおよび/またはソフトウェア・ダウンロード機能を実施することができる。
本明細書で使用したように、コンピュータまたは機械「可読媒体」のような用語は、実行のためにプロセッサに命令を与えることに関係する任意の媒体を意味する。そのような媒体は、不揮発性媒体、揮発性媒体および伝送媒体を含むがこれらに限定されない多くの形をとることができる。不揮発性媒体には、上で論じたように、例えば、サーバ・プラットフォームの1つとして動作する任意のコンピュータの記憶デバイスのどれかのような光ディスクまたは磁気ディスクがある。揮発媒体には、そのようなコンピュータ・プラットフォームの主メモリのようなダイナミック・メモリがある。物理的伝送媒体には、コンピュータ・システム内のバスを含む線を含んで、同軸ケーブル、銅線およびファイバ・オプティクスがある。搬送波伝送媒体は、無線周波数(RF)および赤外線(IR)データ通信中に生成されるもののような電気信号または電磁気信号、または音響波または光波の形をとることができる。したがって、コンピュータ可読媒体の一般的な形には、例えば、フロッピ・ディスク、フレキシブル・ディスク、ハード・ディスク、磁気テープ、任意の他の磁気媒体、CD−ROM、DVD、任意の他の光媒体、またパンチ・カード、紙テープ、穴のパターンを有する任意の他の物理媒体のような余り一般的に使用されない媒体、RAM、PROM、およびEPROM、FLASH−EPROM、任意の他のメモリ・チップまたはカートリッジ、搬送波伝送データまたは命令、そのような搬送波を伝送するケーブルまたはリンク、またはコンピュータがプログラミング・コードおよび/またはデータを読み取ることができる任意の他の媒体がある。コンピュータ可読媒体のこれらの形の多くは、1つまたは複数の命令の1つまたは複数のシーケンスを実行用のプロセッサに伝える際に必要とされるかもしれない。
本発明を詳細に説明し図示したが、これはただ例示および例としてだけであり、制限するものとして解釈すべきでなく、本発明の範囲は添付の特許請求の範囲によってのみ制限される。
一般化された像形成システムについて例示の透過交差係数(TCC)関数を示す図である。 異なる型の照明についてTCCを特異値分解で対角化した後の固有値を示すグラフである。 コヒーレント和の総計を示す図である。 クエーサ照明装置について、第1のTCC固有関数の照度を図式化して示す図である。 シーカッド照明装置について、第1のTCC固有関数の照度を図式化して示す図である。 環状照明装置について、第1のTCC固有関数の照度を図式化して示す図である。 従来照明装置について、第1のTCC固有関数の照度を図式化して示す図である。 形成すべきパターンのコンタクト・ホールをインパルス・デルタ関数に取り替えた式1を図式化して示す図である。 図4(b)および5で示す照度のたたみ込みに対応する式5を図式化して示す図である。 本明細書で開示された新規なモデル化方法を示すフローチャートである。 干渉関数の第2導関数に対応する照度を示す図である。 図6の照度に対応したアシスト特徴の最適配置を示す図である。 図4(a)のクエーサ照明装置を使用して式5および対応する照明強度を図示化して示す図である。 本発明を使って設計されたマスクを使用するのに適したリソグラフィ投影装置を模式的に示す図である。 所定の組のルールに従ったアシスト特徴配置を示す図である。
符号の説明
10 照明ひとみ
12 投影ひとみ
14 投影ひとみ
16 透過交差係数(TCC)
20 第1の固有値20
410 コンタクト・ホール

Claims (18)

  1. 基板表面に形成されるパターンの照度分布を最適化する方法であって、
    照明装置に対応して照明ひとみおよび投影ひとみに従って決定される透過交差係数(transmission cross coefficient)(「TCC」)関数を定める段階と、
    前記基板に印刷すべきマスクの少なくとも1つの解像可能な特徴を少なくとも1つのインパルス関数で表す段階と、
    前記少なくとも1つのインパルス関数および前記TCC関数に基づいて、所定の次数の干渉マップを作る段階とを備え、前記干渉マップが、前記基板に印刷すべき前記少なくとも1つの解像可能な特徴および弱め合う干渉の領域を表す、照度分布を最適化する方法。
  2. 弱め合う干渉マップの前記領域に対応して前記マスクにアシスト特徴を配置する、請求項1に記載の照度分布を最適化する方法。
  3. 前記アシスト特徴が解像可能でない、請求項2に記載の照度分布を最適化する方法。
  4. 前記干渉マップが、前記基板に入射する光強度をモデル化する、請求項1に記載の照度分布を最適化する方法。
  5. さらに、弱め合う干渉の前記領域に対応して、所定のレベルの光強度を有する前記干渉マップの領域に対応する前記マスクの領域に少なくとも1つのアシスト特徴を配置する段階を備える、請求項4に記載の照度分布を最適化する方法。
  6. 前記所定のレベルが、解像可能な光強度に対応する、請求項6に記載の照度分布を最適化する方法。
  7. 前記干渉マップが、前記基板に入射する光強度の変化を表す、請求項1に記載の照度分布を最適化する方法。
  8. 基板表面に形成される解像可能な特徴のパターンの照度分布を最適化する方法であって、
    前記基板に形成される解像可能な特徴の前記パターンを表すインパルス関数および透過交差係数関数に従って、少なくとも2つの軸を有するデカルト座標干渉マップを作る段階であって、前記干渉マップが、形成される解像可能な特徴の前記パターンおよび少なくとも1つの干渉領域を表し、さらに、前記少なくとも1つの干渉領域が、形成される前記パターンの中心に原点を有する少なくとも2つの軸に対して傾き、かつ前記干渉マップの前記少なくとも2つの軸に対して平行なものである段階と、
    前記マップに基づいて、前記少なくとも1つの干渉領域に対応する前記マスクの領域に、アシスト特徴を配置する段階とを備える、照度分布を最適化する方法。
  9. 前記アシスト特徴が、解像可能でない、請求項2に記載の照度分布を最適化する方法。
  10. 少なくとも1つの機械可読媒体で移送可能な実行可能コードを備え、少なくとも1つのプログラム可能なコンピュータによる前記コードの実行によって、前記少なくとも1つのプログラム可能なコンピュータが、基板表面に形成されるパターンの照度分布を最適化するための一連の段階を実行することができるようになるプログラム製品であって、
    照明装置に対応して照明ひとみおよび投影ひとみに従って決定される透過交差係数(「TCC」)関数を定めること、
    少なくとも1つのインパルス関数で、前記基板に印刷すべきマスクの少なくとも1つの解像可能な特徴を表すこと、そして、
    前記少なくとも1つのインパルス関数および前記TCC関数に基づいて所定の次数の干渉マップを生成することを備え、前記干渉マップが、前記基板に印刷すべき前記少なくとも1つの解像可能な特徴および弱め合う干渉の領域を表すものであるプログラム製品。
  11. 前記干渉マップで表される弱め合う干渉の前記領域に対応して前記マスクにアシスト特徴配置を画定する、請求項10に記載のプログラム製品。
  12. 波長以下のコンタクト・ホールの像を形成する方法であって、
    照明装置に対応して照明ひとみおよび投影ひとみに従って決定される透過交差係数(「TCC」)関数を定める段階と、
    基板に印刷すべきマスクの少なくとも1つのコンタクト・ホールを少なくとも1つのインパルス関数で表す段階と、
    前記少なくとも1つのインパルス関数および前記TCC関数に基づいて、所定の次数の干渉マップを作る段階とを備え、前記干渉マップが、前記基板に印刷すべき前記少なくとも1つのコンタクト・ホールおよび弱め合う干渉の領域を表す方法。
  13. 弱め合う干渉マップの前記領域に対応して前記マスクにアシスト特徴を配置する、請求項12に記載の方法。
  14. 前記アシスト特徴が解像可能でない、請求項13に記載の方法。
  15. 前記干渉マップが、前記基板に入射する光強度をモデル化する、請求項12に記載の方法。
  16. さらに、弱め合う干渉の前記領域に対応して所定のレベルの光強度を有する前記干渉マップの領域に対応する前記マスクの領域に少なくとも1つのアシスト特徴を配置する段階を備える、請求項15に記載の方法。
  17. 前記所定のレベルが、解像可能な光強度に対応する、請求項15に記載の方法。
  18. 前記干渉マップが、前記基板に入射する光強度の変化を表す、請求項12に記載の方法。
JP2004007029A 2003-01-14 2004-01-14 コンタクト・ホール・マスクの光学的近接補正設計の方法 Expired - Fee Related JP3992688B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US43980803P 2003-01-14 2003-01-14
US53065603P 2003-12-19 2003-12-19

Publications (2)

Publication Number Publication Date
JP2004221594A true JP2004221594A (ja) 2004-08-05
JP3992688B2 JP3992688B2 (ja) 2007-10-17

Family

ID=33422985

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004007029A Expired - Fee Related JP3992688B2 (ja) 2003-01-14 2004-01-14 コンタクト・ホール・マスクの光学的近接補正設計の方法

Country Status (7)

Country Link
US (1) US7594199B2 (ja)
EP (1) EP1439420A1 (ja)
JP (1) JP3992688B2 (ja)
KR (1) KR100719154B1 (ja)
CN (1) CN1573554A (ja)
SG (1) SG139530A1 (ja)
TW (1) TWI277827B (ja)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006189845A (ja) * 2005-01-03 2006-07-20 Synopsys Inc アシストフィーチャを配置するための方法および装置
JP2007079101A (ja) * 2005-09-14 2007-03-29 Toppan Printing Co Ltd 位相シフトマスクおよび半導体集積回路の製造方法
JP2007183630A (ja) * 2005-12-29 2007-07-19 Asml Masktools Bv 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置
JP2008040470A (ja) * 2006-07-12 2008-02-21 Canon Inc 原版データ作成方法及び原版データ作成プログラム
EP1903389A1 (en) 2006-09-20 2008-03-26 Canon Kabushiki Kaisha Mask data generation program, mask data generation method, mask fabrication method, exposure method, and device manufacturing method
EP2019332A1 (en) 2007-07-24 2009-01-28 Canon Kabushiki Kaisha Photomask data generation method, photomask generation method, exposure method, and device manufacturing method
JP2009093138A (ja) * 2007-09-19 2009-04-30 Canon Inc 原版データの生成方法、原版作成方法、露光方法、デバイス製造方法及び原版データを作成するためのプログラム
JP2009251518A (ja) * 2008-04-10 2009-10-29 Canon Inc 原版データ生成プログラム、原版データ生成方法、照明条件決定プログラム、照明条件決定方法およびデバイス製造方法
KR100927455B1 (ko) * 2003-12-19 2009-11-19 에이에스엠엘 마스크툴즈 비.브이. 간섭 매핑 리소그래피를 이용한 피처 최적화 방법
JP2010021586A (ja) * 2009-10-26 2010-01-28 Canon Inc プログラム及び算出方法
US7657865B2 (en) 2006-09-20 2010-02-02 Canon Kabushiki Kaisha Computer-readable recording medium recording a mask data generation program, mask data generation method, mask fabrication method, exposure method, and device manufacturing method
JP2010027693A (ja) * 2008-07-15 2010-02-04 Canon Inc 算出方法、プログラム及び露光方法
JP2010531463A (ja) * 2007-06-04 2010-09-24 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのリソグラフィ誘導レイアウト設計を実行するための方法
JP2011175111A (ja) * 2010-02-24 2011-09-08 Toshiba Corp マスクレイアウト作成方法、マスクレイアウト作成装置、リソグラフィ用マスクの製造方法、半導体装置の製造方法、およびコンピュータが実行可能なプログラム
US8059262B2 (en) 2007-10-03 2011-11-15 Canon Kabushiki Kaisha Calculation program, and exposure method for calculating light intensity distribution formed on image plane
JP2011248223A (ja) * 2010-05-28 2011-12-08 Toshiba Corp 補助パターン配置方法、プログラムおよびデバイス製造方法
US8144967B2 (en) 2007-09-19 2012-03-27 Canon Kabushiki Kaisha Mask data generation method, mask fabrication method, exposure method, device fabrication method, and storage medium
US8163448B2 (en) 2009-01-15 2012-04-24 Canon Kabushiki Kaisha Determination method, exposure method, device fabrication method, and storage medium
US8234600B2 (en) 2009-01-09 2012-07-31 Canon Kabushiki Kaisha Computer readable storage medium storing program for generating reticle data, and method of generating reticle data
US8247141B2 (en) 2009-01-19 2012-08-21 Canon Kabushiki Kaisha Method of generating reticle data, memory medium storing program for generating reticle data and method of producing reticle
US8352892B2 (en) 2010-07-08 2013-01-08 Canon Kabushiki Kaisha Method, computer-readable storage medium, and apparatus for generating a mask data and fabricating process
US8365106B2 (en) 2008-07-11 2013-01-29 Canon Kabushiki Kaisha Method for optimization of light effective source while target pattern is changed
CN104238261A (zh) * 2013-06-11 2014-12-24 佳能株式会社 掩模图案生成方法、记录介质和信息处理装置
US9678441B2 (en) 2013-11-12 2017-06-13 Canon Kabushiki Kaisha Mask pattern generation method and optical image calculation method
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US9857676B2 (en) 2013-05-27 2018-01-02 International Business Machines Corporation Method and program product for designing source and mask for lithography

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7480889B2 (en) * 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
JP4563746B2 (ja) * 2003-06-30 2010-10-13 エーエスエムエル マスクツールズ ビー.ブイ. イメージ・フィールド・マップを利用して補助フィーチャを生成するための、方法、プログラム製品及び装置
US7231629B2 (en) * 2003-10-31 2007-06-12 Asml Masktools B.V. Feature optimization using enhanced interference mapping lithography
JP4524174B2 (ja) 2003-11-05 2010-08-11 エーエスエムエル マスクツールズ ビー.ブイ. 固有分解に基づくopcモデル
US7506299B2 (en) 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography
JP2007534166A (ja) * 2004-04-14 2007-11-22 ライテル・インストルメンツ 射出瞳透過率を計測する方法および装置
US6977715B2 (en) * 2004-05-19 2005-12-20 Nanya Technology Corp. Method for optimizing NILS of exposed lines
US7620930B2 (en) * 2004-08-24 2009-11-17 Asml Masktools B.V. Method, program product and apparatus for model based scattering bar placement for enhanced depth of focus in quarter-wavelength lithography
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7271907B2 (en) * 2004-12-23 2007-09-18 Asml Netherlands B.V. Lithographic apparatus with two-dimensional alignment measurement arrangement and two-dimensional alignment measurement method
SG125232A1 (en) 2005-02-23 2006-09-29 Asml Masktools Bv Method, program product and apparatus for optimizing illumination for full-chip layer
US7317506B2 (en) * 2005-03-29 2008-01-08 Asml Netherlands B.V. Variable illumination source
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7424699B2 (en) * 2005-06-10 2008-09-09 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques
WO2007018464A2 (en) * 2005-08-08 2007-02-15 Micronic Laser Systems Ab Method and apparatus for projection printing
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US20070048669A1 (en) * 2005-08-26 2007-03-01 Te-Hung Wu Method of forming the photo resist feature
US20070046917A1 (en) 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP5405109B2 (ja) * 2005-09-13 2014-02-05 ルミネセント テクノロジーズ インコーポレイテッド フォトリソグラフィのためのシステム、マスク、及び方法
WO2007041602A2 (en) 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Lithography verification using guard bands
US7921385B2 (en) 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
WO2007041701A2 (en) 2005-10-04 2007-04-12 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
WO2007044557A2 (en) 2005-10-06 2007-04-19 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
KR20080106293A (ko) * 2006-02-24 2008-12-04 마이크로닉 레이저 시스템즈 에이비 광학적 근접도 교정 방법
US7617475B2 (en) 2006-11-13 2009-11-10 United Microelectronics Corp. Method of manufacturing photomask and method of repairing optical proximity correction
US7882480B2 (en) * 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US8028252B2 (en) * 2007-09-14 2011-09-27 Luminescent Technologies Inc. Technique for determining mask patterns and write patterns
JP2009194107A (ja) * 2008-02-13 2009-08-27 Canon Inc 有効光源形状のデータベースの生成方法、光学像の算出方法、プログラム、露光方法及びデバイス製造方法
JP5159501B2 (ja) * 2008-08-06 2013-03-06 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイス製造方法
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
CN101957555B (zh) * 2009-07-16 2012-10-03 中芯国际集成电路制造(上海)有限公司 掩模版图修正方法、掩模版制作方法和光学邻近校正方法
JP2011028098A (ja) * 2009-07-28 2011-02-10 Toshiba Corp パターン評価方法、パターン作成方法およびパターン評価プログラム
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
JP2011059513A (ja) * 2009-09-11 2011-03-24 Toshiba Corp パターン作成方法、マスクの製造方法および半導体デバイスの製造方法
JP5185235B2 (ja) * 2009-09-18 2013-04-17 株式会社東芝 フォトマスクの設計方法およびフォトマスクの設計プログラム
US8797721B2 (en) 2010-02-02 2014-08-05 Apple Inc. Portable electronic device housing with outer glass surfaces
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
CN102193306B (zh) * 2010-03-11 2012-09-05 中芯国际集成电路制造(上海)有限公司 设计光掩膜版的方法
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
JP5811491B2 (ja) * 2011-04-12 2015-11-11 株式会社ニコン 顕微鏡及びそのプログラム
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8846273B2 (en) 2012-06-04 2014-09-30 Micron Technology, Inc. Photomasks, methods of forming a photomask, and methods of photolithographically patterning a substrate
KR102335186B1 (ko) * 2014-12-24 2021-12-03 삼성전자주식회사 렌즈 조립체, 이를 이용한 장애물 감지유닛, 및 이를 구비한 이동로봇
CN205556762U (zh) * 2016-05-05 2016-09-07 鄂尔多斯市源盛光电有限责任公司 掩膜板、母板、掩膜板制造设备和显示基板蒸镀系统
CN108153115A (zh) * 2017-12-19 2018-06-12 上海集成电路研发中心有限公司 极紫外光刻掩模、其制作方法及生成掩模图案的方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04216548A (ja) 1990-12-18 1992-08-06 Mitsubishi Electric Corp フォトマスク
US5242770A (en) * 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
US5446521A (en) * 1993-06-30 1995-08-29 Intel Corporation Phase-shifted opaquing ring
KR960002536A (ja) * 1994-06-29 1996-01-26
US5532090A (en) * 1995-03-01 1996-07-02 Intel Corporation Method and apparatus for enhanced contact and via lithography
US5682323A (en) * 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5595843A (en) * 1995-03-30 1997-01-21 Intel Corporation Layout methodology, mask set, and patterning method for phase-shifting lithography
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
EP0824722B1 (en) * 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US5707765A (en) * 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
DE69717975T2 (de) * 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
USRE40043E1 (en) 1997-03-10 2008-02-05 Asml Netherlands B.V. Positioning device having two object holders
US6223139B1 (en) 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
JP3275863B2 (ja) 1999-01-08 2002-04-22 日本電気株式会社 フォトマスク
US6263299B1 (en) * 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US6214497B1 (en) 1999-06-29 2001-04-10 Micron Technology, Inc. Method to eliminate side lobe printing of attenuated phase shift masks
JP4590146B2 (ja) * 2000-02-14 2010-12-01 エーエスエムエル マスクツールズ ビー.ブイ. フォトマスクの幾何形状を改良する方法
US6303253B1 (en) 2000-03-16 2001-10-16 International Business Machines Corporation Hierarchy and domain-balancing method and algorithm for serif mask design in microlithography
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6777141B2 (en) 2000-07-05 2004-08-17 Numerical Technologies, Inc. Phase shift mask including sub-resolution assist features for isolated spaces
US6503666B1 (en) 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
TW479157B (en) * 2000-07-21 2002-03-11 Asm Lithography Bv Mask for use in a lithographic projection apparatus and method of making the same
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6901575B2 (en) 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US6519760B2 (en) 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4266082B2 (ja) * 2001-04-26 2009-05-20 株式会社東芝 露光用マスクパターンの検査方法
US7030997B2 (en) * 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
JP3592666B2 (ja) * 2001-12-04 2004-11-24 株式会社東芝 露光用マスクパターンの補正方法、プログラム、マスクパターン形成方法、及び半導体装置の製造方法
US6749970B2 (en) 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
US7023528B2 (en) 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
US6807662B2 (en) 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
US7266480B2 (en) 2002-10-01 2007-09-04 The Regents Of The University Of California Rapid scattering simulation of objects in imaging using edge domain decomposition

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100927455B1 (ko) * 2003-12-19 2009-11-19 에이에스엠엘 마스크툴즈 비.브이. 간섭 매핑 리소그래피를 이용한 피처 최적화 방법
JP2006189845A (ja) * 2005-01-03 2006-07-20 Synopsys Inc アシストフィーチャを配置するための方法および装置
JP2007079101A (ja) * 2005-09-14 2007-03-29 Toppan Printing Co Ltd 位相シフトマスクおよび半導体集積回路の製造方法
US8060842B2 (en) 2005-12-29 2011-11-15 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
JP2007183630A (ja) * 2005-12-29 2007-07-19 Asml Masktools Bv 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置
JP4602962B2 (ja) * 2005-12-29 2010-12-22 エーエスエムエル マスクツールズ ビー.ブイ. 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置
US8640058B2 (en) 2005-12-29 2014-01-28 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US8365104B2 (en) 2006-07-12 2013-01-29 Canon Kabushiki Kaisha Original data producing method and original data producing program
JP2008040470A (ja) * 2006-07-12 2008-02-21 Canon Inc 原版データ作成方法及び原版データ作成プログラム
JP2008076682A (ja) * 2006-09-20 2008-04-03 Canon Inc 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
US7657865B2 (en) 2006-09-20 2010-02-02 Canon Kabushiki Kaisha Computer-readable recording medium recording a mask data generation program, mask data generation method, mask fabrication method, exposure method, and device manufacturing method
EP1903389A1 (en) 2006-09-20 2008-03-26 Canon Kabushiki Kaisha Mask data generation program, mask data generation method, mask fabrication method, exposure method, and device manufacturing method
US7761840B2 (en) 2006-09-20 2010-07-20 Canon Kabushiki Kaisha Mask data generation including a main pattern and an auxiliary pattern
JP2013190828A (ja) * 2007-06-04 2013-09-26 Asml Netherlands Bv モデルベースのリソグラフィ誘導レイアウト設計を実行するための方法
JP2010531463A (ja) * 2007-06-04 2010-09-24 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのリソグラフィ誘導レイアウト設計を実行するための方法
US8732625B2 (en) 2007-06-04 2014-05-20 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
EP2019332A1 (en) 2007-07-24 2009-01-28 Canon Kabushiki Kaisha Photomask data generation method, photomask generation method, exposure method, and device manufacturing method
US8239787B2 (en) 2007-07-24 2012-08-07 Canon Kabushiki Kaisha Method of generating original plate data by repeatedly calculating approximate aerial image
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
JP2009093138A (ja) * 2007-09-19 2009-04-30 Canon Inc 原版データの生成方法、原版作成方法、露光方法、デバイス製造方法及び原版データを作成するためのプログラム
US8144967B2 (en) 2007-09-19 2012-03-27 Canon Kabushiki Kaisha Mask data generation method, mask fabrication method, exposure method, device fabrication method, and storage medium
JP2013011898A (ja) * 2007-09-19 2013-01-17 Canon Inc 原版データの生成方法、原版作成方法、原版データを作成するためのプログラム及び処理装置
US8059262B2 (en) 2007-10-03 2011-11-15 Canon Kabushiki Kaisha Calculation program, and exposure method for calculating light intensity distribution formed on image plane
US7927773B2 (en) 2008-04-10 2011-04-19 Canon Kabushiki Kaisha Memory medium storing original data generation program, memory medium storing illumination condition determination program, original data generation method, illumination condition determination method, and device manufacturing method
JP2009251518A (ja) * 2008-04-10 2009-10-29 Canon Inc 原版データ生成プログラム、原版データ生成方法、照明条件決定プログラム、照明条件決定方法およびデバイス製造方法
US8365106B2 (en) 2008-07-11 2013-01-29 Canon Kabushiki Kaisha Method for optimization of light effective source while target pattern is changed
JP2010027693A (ja) * 2008-07-15 2010-02-04 Canon Inc 算出方法、プログラム及び露光方法
US8411253B2 (en) 2008-07-15 2013-04-02 Canon Kabushiki Kaisha Computer readable medium and exposure method
US8234600B2 (en) 2009-01-09 2012-07-31 Canon Kabushiki Kaisha Computer readable storage medium storing program for generating reticle data, and method of generating reticle data
US8163448B2 (en) 2009-01-15 2012-04-24 Canon Kabushiki Kaisha Determination method, exposure method, device fabrication method, and storage medium
US8247141B2 (en) 2009-01-19 2012-08-21 Canon Kabushiki Kaisha Method of generating reticle data, memory medium storing program for generating reticle data and method of producing reticle
JP2010021586A (ja) * 2009-10-26 2010-01-28 Canon Inc プログラム及び算出方法
JP2011175111A (ja) * 2010-02-24 2011-09-08 Toshiba Corp マスクレイアウト作成方法、マスクレイアウト作成装置、リソグラフィ用マスクの製造方法、半導体装置の製造方法、およびコンピュータが実行可能なプログラム
JP2011248223A (ja) * 2010-05-28 2011-12-08 Toshiba Corp 補助パターン配置方法、プログラムおよびデバイス製造方法
US8809072B2 (en) 2010-05-28 2014-08-19 Kabushiki Kaisha Toshiba Sub-resolution assist feature arranging method and computer program product and manufacturing method of semiconductor device
US8352892B2 (en) 2010-07-08 2013-01-08 Canon Kabushiki Kaisha Method, computer-readable storage medium, and apparatus for generating a mask data and fabricating process
US9857676B2 (en) 2013-05-27 2018-01-02 International Business Machines Corporation Method and program product for designing source and mask for lithography
CN104238261A (zh) * 2013-06-11 2014-12-24 佳能株式会社 掩模图案生成方法、记录介质和信息处理装置
JP2014240899A (ja) * 2013-06-11 2014-12-25 キヤノン株式会社 マスクパターンの作成方法、プログラムおよび情報処理装置
US9507253B2 (en) 2013-06-11 2016-11-29 Canon Kabushiki Kaisha Mask pattern generating method, recording medium, and information processing apparatus
US9678441B2 (en) 2013-11-12 2017-06-13 Canon Kabushiki Kaisha Mask pattern generation method and optical image calculation method

Also Published As

Publication number Publication date
US20040229133A1 (en) 2004-11-18
KR20040065185A (ko) 2004-07-21
US7594199B2 (en) 2009-09-22
JP3992688B2 (ja) 2007-10-17
CN1573554A (zh) 2005-02-02
KR100719154B1 (ko) 2007-05-17
TW200502705A (en) 2005-01-16
TWI277827B (en) 2007-04-01
EP1439420A1 (en) 2004-07-21
SG139530A1 (en) 2008-02-29

Similar Documents

Publication Publication Date Title
JP3992688B2 (ja) コンタクト・ホール・マスクの光学的近接補正設計の方法
JP5121117B2 (ja) 強度プロフィールを最適化する方法及びプログラム
JP4427518B2 (ja) 最小寸法の計算で用いるレジスト・モデルの較正を改善する方法、プログラム製品、及び装置
US7349066B2 (en) Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
JP4938242B2 (ja) 較正固有分解モデルを使用した製造信頼性検査及びリソグラフィ・プロセス検証方法
JP4731830B2 (ja) ソースおよびマスクの最適化
EP1560073B1 (en) Method of predicting and minimizing model opc deviation due to mix/match of exposure tools using a calibrated eigen decomposition model
KR100579604B1 (ko) 특정 마스크패턴을 위한 조명 최적화방법 및 장치
KR100860088B1 (ko) 다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반기하학적 분해를 위한 방법, 프로그램물 및 장치
KR100865768B1 (ko) 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치
JP4707701B2 (ja) 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
KR101527496B1 (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
EP1630601A2 (en) A mask manufacturing method, a method for optical proximity correction, a device manufacturing method, a computer program and a computer readable storage medium
EP1544679B1 (en) Optimized polarization illumination
JP2005183981A (ja) インターフェレンス・マッピング・リソグラフィを使用した画像構造の最適化
US7376930B2 (en) Method, program product and apparatus for generating assist features utilizing an image field map

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070517

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070627

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070724

R150 Certificate of patent or registration of utility model

Ref document number: 3992688

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100803

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130803

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees