JP4427518B2 - 最小寸法の計算で用いるレジスト・モデルの較正を改善する方法、プログラム製品、及び装置 - Google Patents

最小寸法の計算で用いるレジスト・モデルの較正を改善する方法、プログラム製品、及び装置 Download PDF

Info

Publication number
JP4427518B2
JP4427518B2 JP2006047438A JP2006047438A JP4427518B2 JP 4427518 B2 JP4427518 B2 JP 4427518B2 JP 2006047438 A JP2006047438 A JP 2006047438A JP 2006047438 A JP2006047438 A JP 2006047438A JP 4427518 B2 JP4427518 B2 JP 4427518B2
Authority
JP
Japan
Prior art keywords
resist
dose function
convolution kernel
directions
weights
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006047438A
Other languages
English (en)
Other versions
JP2006210936A (ja
Inventor
フン チェン チャン
ベルガー ガブリエル
コスクン テイマー
パーク サンボン
チェン ティン
Original Assignee
エーエスエムエル マスクツールズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル マスクツールズ ビー.ブイ. filed Critical エーエスエムエル マスクツールズ ビー.ブイ.
Publication of JP2006210936A publication Critical patent/JP2006210936A/ja
Application granted granted Critical
Publication of JP4427518B2 publication Critical patent/JP4427518B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

本特許出願及び本出願から派生する特許は、2005年1月28日出願の米国仮特許出願第60/647433号の優先権を主張する。参照によりこれ全体を本明細書に援用する。
本開示は、一般に、例えばシミュレーション用の方法及びプログラムにおける最小寸法(クリティカル・ディメンジョン)の計算に用いるレジスト・モデルの較正に関するものであり、とりわけ、異なる方向に異なる拡散長を有するガウシアン・カーネルを包含するレジスト較正モデルを用いる較正に係るものである。
リソグラフィ装置は、例えば、集積回路(IC)の製作に使用できる。この場合、マスクは、ICの個々の層に対応する回路パターンを含むことができる。このパターンは、放射感受性材料(レジスト)の層で被覆された基板(シリコン・ウエハ)の(例えば、1つ又は複数のダイを含む)目標部分に結像できる。一般に、1枚のウエハは、投影系を介して1度に1つずつ次々に照射される隣接した網目状の目標部分全体を含む。1つのタイプのリソグラフィ投影装置では、1回でマスク・パターン全体を目標部分に露光することによって各目標部分を照射する。このような装置を一般に、ウエハ・ステッパと称する。一般にステップ・アンド・スキャン装置と称する代替装置では、投影ビーム下で所与の基準方向(「走査」方向)にマスク・パターンを順次走査し、この方向と平行又は逆平行に基板テーブルを同期走査することによって各目標部分を照射する。一般に、投影系は(一般に1よりも大きい)倍率Mを有するので、基板テーブルを走査する速度Vは、マスク・テーブルを走査する速度のM倍になる。ここで説明したリソグラフィ装置に関するより多くの情報は、例えば米国特許第6046792号から入手することができる。参照によりこれを本明細書に援用する。
リソグラフィ投影装置を使用する製作工程では、少なくとも部分的に放射感受性材料(レジスト)の層で覆われた基板にマスク・パターンを結像させる。この結像工程の前に、基板は、プライミング、レジスト被覆、及びソフト・ベークなど、様々な手順を経ることがある。露光後、この基板を、露光後ベーク(PEB)、現像、ハード・ベーク、及び結像させたフィーチャの測定/検査など、他の手順にかけることができる。この一連の手順を基本として用いて、例えばICなどのデバイスの個々の層にパターンを形成する。次いで、このようなパターン形成された層を、エッチング、イオン注入(ドープ)、金属化処理、酸化、化学機械研磨など、様々な処理にかけることができる。これらはすべて、個々の層を完成させるためのものである。いくつかの層が必要とされる場合には、それぞれの新しい層ごとにこの手順全体又はその変形を繰り返さなければならない。最終的に、一連のデバイスが基板(ウエハ)上に得られる。次いで、これらのデバイスを、ダイシング又はソーイングなどの技術によって互いに分離し、その後、個々のデバイスの担体上への実装、ピンへの接続などを行うことができる。
簡単にするため、以下では、投影系を「光学系」と称することがある。ただしこの用語は、例えば、屈折光学系、反射光学系、及び反射屈折光学系を含めて、様々なタイプの投影系を包含すると広く解釈すべきである。放射系も、放射投影ビームを方向づけ、整形し、または制御するための、上記設計タイプのいずれかに従って動作する部材を含むことができる。下記では、このような部材も総称して或いは単独で「レンズ」と称することがある。さらに、リソグラフィ装置は、2つ以上の基板テーブル(及び/又は2つ以上のマスク・テーブル)を有するタイプのものとできる。このような「複数ステージ」型の装置では、これら追加のテーブルを並列で使用できる。即ち、1つ又は複数のテーブル上で準備工程を実施しながら、1つ又は複数の他のテーブルを使用して露光を行うことができる。2ステージ型のリソグラフィ装置が、例えば、米国特許第5969441号に記載されている。参照によりこれを本明細書に援用する。
上記で言及したフォトリソグラフィ用のマスクは、シリコン・ウエハ上に集積される回路構成部材に対応する幾何学的なパターンを含む。このようなマスクを形成するために使用されるこれらのパターンは、CAD(コンピュータ支援設計)プログラムを利用して作成される。このプロセスをしばしば、EDA(電子設計自動化)という。ほとんどのCADプログラムは、1組の所定の設計ルールに従って、機能できるマスクを生成する。これらのルールは、処理及び設計の制限によって設定される。例えば、設計ルールは、(ゲート、コンデンサなどの)回路デバイス間、又は相互接続線間の間隔公差を規定して、これらの回路デバイス又は線の望ましくない相互作用が生じないようにする。回路の最小寸法(クリティカル・ディメンション、CD)は、線又はホールの最小幅、或いは2本の線又は2つのホールの最小間隔と定義できる。そのため、CDにより、設計される回路の全体的なサイズ及び密度が決まる。
=0.5×(フィーチャ・ピッチ)×(開口数)/(露光波長)により定義されるkが0.35未満である、kの極めて小さいリソグラフィ結像では、これは、露光波長(λ)の1/2〜1/3未満でのパターンIC設計と等価である。λ=193nmのArF露光源の場合、90nm〜65nmのICフィーチャをパターン形成するリソグラフィ・プロセスが必要とされる。
現在、実用的なリソグラフィ・レジスト・プロセスを開発するには、通常、最初にリソグラフィ・シミュレーション・ツールを利用してプロセスを最適化する。その後、実際にウエハを印刷して検証する。こうすると、シミュレーションによって、開発サイクルを速め、コストを削減し、よりロバスト性があり良好に制御された最適化を実施できる助けとなる。シミュレーション・ツールを利用する際の挑戦課題は、十分な精度でレジストCDを確実に予測する方法である。レジスト・モデルの較正は、シミュレーション・プロセスにおける極めて重要な主要要因の1つであり、このシミュレーション・モデルのロバスト性が、もう1つの重要な要因である。
典型的なリソグラフィ・シミュレーションには、3つの基本的な段階がある。第1段階は、対象とするフィーチャの空間像を計算することである。空間像の計算は、露光ツールの光学的な設定に基づくものである。これには、例えば、開口数、露光波長などが含まれる。空間像の計算は、MaskToolsのLithoCruiser(商標)などの周知のシミュレーション・ツールを利用して実施できる。
第2段階は、露光後ベーク(PEB)工程を実施することである。化学増幅型フォトレジストを使用する実際のウエハ印刷では、この工程は極めて重要である。この工程は、(1)加熱により光反応速度を化学増幅させ、(2)定在波効果によって生じるレジストCDの振れを最小限に抑えるという2つの機能を実現する。これは、露光中に生成される光酸を拡散させることによって実施される。拡散の長さ又は範囲は、0〜50nm以上の範囲とできる。
第3段階は、この拡散空間像に基づいてレジスト・パターンを構成することである。
第2及び第3段階に関しては、リソグラフィ・シミュレーション用に基本的に2つの手法が確立されている。1つは、第1原理PEBモデルを用い、次いで、別の第1原理レジスト現像モデルを用いることである。第1原理モデルは、1975年にDill他によって最初に提案された。1980年代中頃に、LinとMackは、別の形式のレジスト現像モデル、即ち、集中パラメータ・モデル(LPM)を別々に提案している。この手法は、集中パラメータ系としてフォトレジストのパターン形成をモデル化するものである。LPMでは、レジストCDは、コントラスト(γ)、厚さ、像閾値、及び現像速度などのフォトレジストの特性に基づいて計算される。シミュレーションによる予測の場合、LPMレジスト現像モデルは一般に、簡略化された拡散関数又はカーネルでコンボリューション(畳み込み)を行う。これは、本質的には、PEBの効果をエミュレートすることである。
第1原理に基づくフォトレジスト・シミュレーション・モデルは一般に、計算の点ではるかにその負荷が大きい。しかし、このようなモデルを用いても、PEB及びレジスト現像の工程中に生じることを厳密に完全に説明することは依然として難しい。最近のレジスト系では、汎用モデルを用いて、様々なフォトレジスト組成についての化学反応の振る舞いを厳密に表すことは極めて難しい。kの極めて小さい結像の場合、第1原理モデルには、レジスト最小寸法(CD)の予測があまり満足なものにならず、式がより複雑であるために計算時間が長くなるという欠点がある。
レジストCDを予測するためのLPMは、処理時間に関して極めて高速にできる。なぜなら、計算に必要とされる集中パラメータの数がより少ないからである。従来、この業界では、集中化され、且つしばしばはるかに簡略化されたパラメータを用いるために、レジストCDの予測があまり正確にならないという印象があった。しかし、最近では、レジスト組成に固有の物理モデルを、時宜を逸することなく構築することが難しいので、精度を改善するためにLPMにより多くの注目が集まっている。1つの要諦は、高度な線形拡散関数又はカーネルでLPMをコンボリューションすることである。従来、線形拡散カーネルは、所与の幅を有する単一ガウス関数であった。
Brunner他は、ナノメートル未満の精度でCDを予測することを提案している。これは、T.Brunner他の「Impact of resist blur on MEF,OPC and CD control(MEF、OPC及びCDの制御へのレジストぼけの影響」(2004年SPIE会議、2004年)の論文の物理レジスト・モデルに匹敵するか、或いはそれよりも良好なものである。この論文全体を参照により本明細書に援用する。Brunnerの研究では、異なる方向の拡散長が同じである複数のガウシアン拡散カーネル(3次元で考えると「円形」又は「球形」、図4参照)の使用を、「ぼけ」関数又は変調伝達関数(MTF)として考える。Brunnerが説明しているように、較正手段として、各ガウシアン・カーネルごとの長さ、又はそれぞれのMTFを調整すると、後続のLPMと合わせて、正確なレジストCDを予測できる。
しかし、Brunner他の論文では、シミュレーション・プロセスで用いるガウシアン拡散カーネルはすべて、異なる方向に同じ拡散長(「円形」又は「球形」)を有する。以下でさらに説明するように、こうすると、レジストCDの較正に制限が生じる。本発明の1つの目的は、これらの制限をなくすシミュレーション・プロセスを提供することである。
本開示は、最小寸法(CD)の計算で用いるレジスト・モデルを較正する方法、コンピュータ製品、及び装置に関するものである。本発明のシミュレーション・プロセスによれば、ウエハ上でレジストを形成するために使用される光学ツールに基づいて、レジスト中のエネルギー量を示す用量(ドーズ)関数が得られる。次いで、この用量関数をコンボリューション・カーネルでコンボリューション(畳み込み)すると、修正用量関数が得られる。重要なのは、このコンボリューション・カーネルは、x、y、及びzの各方向に可変拡散長を含み得ることである。
この修正用量関数をCD値に変換し、次いで、これを目標値と比較できる。この比較結果に基づいて、必要な場合にはカーネルの拡散長を調節でき、それによって、修正用量関数に基づいて得られたCDシミュレーション値が、ある所定の誤差基準内で、実際の像の結果に対応する。
このコンボリューション・カーネルは、それぞれ異なる方向に可変拡散長を有する複数のガウシアン・カーネルを含むことができる。例えば、このシミュレーション・プロセスで使用するカーネルは、以下の式で表される8つのガウシアン・カーネルを含む。
WzW1 G(Lx1,Ly1,Lz1;x,y,z)
+WzW2 G(Lx2,Ly1,Lz1;x,y,z)
+WzW3 G(Lx1,Ly2,Lz1;x,y,z)
+Wz(1−W1−W2−W3)G(Lx2,Ly2,Lz1;x,y,z)
+(1−Wz)W1 G(Lx1,Ly1,Lz2;x,y,z)
+(1−Wz)W2 G(Lx2,Ly1,Lz2;x,y,z)
+(1−Wz)W3 G(Lx1,Ly2,Lz2;x,y,z)
+(1−Wz)(1−W1−W2−W3)G(Lx2,Ly2,Lz2;x,y,z)
ここで、Lx1、Ly1、及びLz1は、それぞれx、y、及びzの方向の第1の組の拡散長であり、Lx2、Ly2、及びLz2は、それぞれx、y、及びzの方向の第2の組の拡散長、W1、W2、W3、及びWzは重みである。重みW1、W2、W3、及びWzは、負でない数とすることができ、重みW1、W2、及びW3は1が限界値であり、重みWzも1が限界値である。重要なのは、上記のように、拡散長x、y、及びzは、所与のガウシアン・カーネルにおいて、また、各ガウシアン・カーネルにおいて、互いに異なるものとできることである。
各ガウシアン・カーネルは異なる方向に可変拡散長を有するので、特に、kの極めて小さい結像条件下で2次元又は3次元のフィーチャ/レジスト・パターンを予測する際に、CDの予測度を高めることができる。X、Y、及びZの方向についてガウシアン長を調整したものを、独立に、ただし正規化して合計することが可能である。その結果、レジストCDの較正に関して柔軟性が得られる。
本明細書では、ICの製作で本発明を利用することを具体的に参照することがあるが、本発明は、他の多くの応用が可能であることを明確に理解されたい。例えば、集積光学系、磁気ドメイン・メモリ用の誘導/検出パターン、液晶ディスプレイ・パネル、薄膜磁気ヘッドなどの製作で本発明を利用することができる。このような代替応用例の状況では、本明細書で用いる「レチクル」、「ウエハ」、又は「ダイ」という用語は、それぞれより一般の用語である「マスク」、「基板」、及び「目標部分」によって置き換えられると考えるべきであることが当業者には理解されよう。
本発明自体は、さらなる目的及び利点と合わせて、以下の詳細な説明及び添付の図面を参照することによって、よりよく理解できる。
上記に述べたように、本発明のシミュレーション・プロセスによれば、それぞれ異なる方向に異なる拡散長を有するガウシアン・カーネルの和からなるコンボリューション・カーネルを使用してレジストの性能を計算することにより、レジストCDの較正が高められる。さらに、複数のガウシアン・カーネルを使用することにより、精度が改善され、較正がより良好になり得る。
図1は、本発明の実施例による最小寸法(CD)の計算で使用するレジスト較正基本ステップを示す流れ図の例である。このプロセスの第1ステップであるステップ10では、用量関数を作成する。用量関数は、MaskToolsのLithoCruiser(商標)などの周知のリソグラフィ・シミュレーション技術/プログラムを利用して作成できる。よく知られているように、用量関数は、用いられる照明系及びプロセスの関数である。用量関数は、レジスト内でのポイント毎のエネルギー量を表す。
このシミュレーション・プロセスの第2ステップであるステップ12では、コンボリューション・カーネルでこの用量関数をコンボリューションすることによって修正用量関数を得る。次のステップであるステップ14では、この修正用量関数に基づいて、レジスト・パターンのシミュレーション結果、例えばCD値或いは2次元又は3次元の輪郭を得る。ステップ14は、MaskToolsのLithoCruiser(商標)などの周知の現像シミュレーション・ツールを利用して実施することができる。ステップ14を終了すると、レジストCD又は輪郭のシミュレーション結果が得られる。最初にシミュレーション・ツールを較正して、使用すべきカーネルを決定する場合には、ステップ14の結果と、シミュレーション・プロセスで用いるのと同じ系、プロセス、及び目標フィーチャを用いることによって実施される実際の結像結果とを比較する。シミュレーション結果が、ある所定の誤差基準内で実際の結果と一致する場合、このシミュレーション・プロセスが完了する。しかし、シミュレーション結果が許容できる誤差範囲から外れる場合には、拡散長及び重みを調節し、新しい修正用量関数を計算する。この新しい用量関数を用いて、得られるシミュレーション結果パターンを計算し、実際の結像プロセスとの比較を再度実施する。許容できる改変用量関数が求まるまで、このプロセスを継続する。このプロセスを図1のステップ16、ステップ18、及びステップ20に示す。より詳細には、ステップ16で、シミュレーションされたレジスト・パターンと実際のレジスト・パターンを比較する。ステップ18で、シミュレーションされたレジスト・パターンが所定の公差に入らない場合、このプロセスはステップ20に進み、ガウシアン・カーネルの拡散長、重み、又は他のレジスト現像パラメータを調節する。シミュレーション結果パターンが所定の誤差許容範囲に入るまで、ステップ12〜ステップ18を繰り返す。このステップの比較プロセスは、サンプルCD測定値及び/又はレジスト・プロフィールの2次元又は3次元の輪郭を用いて実施できることに留意されたい。
図2は、図1のステップ10において用量関数をシミュレーションするプロセスを示す流れ図の例である。用いられる照明系、プロセス、及び目標パターンが定義される(ステップ21)。次いで、定義された系及びプロセスを用いて、目標パターンの結像がシミュレーションされ(ステップ22)、このレジストについての用量関数が求められる(ステップ24)。この用量関数は、レジスト内の各ポイントにおけるレジスト内でのエネルギー強度(即ち、用量)を表す。
ステップ21〜ステップ24のプロセスは、当業者には周知のものである。ステップ21〜ステップ24で実施されるシミュレーション・プロセスでは、物理的なモデル及び実験的なモデルをともに利用できることに留意されたい。
図3は、図1のステップ12において修正用量関数を得るプロセスを示す流れ図の例である。一般に、ステップ30〜ステップ34で、修正用量関数を作成し、この修正用量関数から、レジストCD値のシミュレーション結果が得られる。具体的には、ステップ30で、ステップ24から用量関数を得る。例えば、この用量関数は、別のシミュレータ又はコンピュータから取得することもできる。次いで、この用量関数をガウシアン・カーネルでコンボリューションし(ステップ32)、修正用量関数を得る(ステップ34)。
次に、用量関数とともにコンボリューションされるガウシアン・カーネルを説明する。x方向に一定の拡散長Lを有する1次元ガウシアン・コンボリューション・カーネルは、以下の式によって表される。
3次元ガウシアン・コンボリューション・カーネルは、以下のように表される。
G(L,M,N;x,y,z)=G(L;x)G(M;y)G(N;z)
L、M、及びNは、それぞれx、y、及びzの方向に対応する拡散長を示す。3次元ガウシアン・コンボリューション・カーネルによれば、x、y、及びzの方向の拡散長は、レジストCDの較正が最適化された後は同じである必要はない。
上記で論じたように、用量関数は、レジスト内でのエネルギー強度を表す。ガウシアン・カーネルによる用量関数のコンボリューションは、レジスト内でのエネルギー強度を平滑化するように動作する。拡散長は、エネルギー強度の平滑化を制御するガウシアン・カーネルの数学的な特性である。即ち、拡散長が長いほど、レジスト内でのエネルギー強度が平滑化される。先行技術では、x、y、及びz方向で平滑化されるエネルギー強度の量は同じである(図4B参照)。一方、この実施例では、x、y、及びz方向でそれぞれ平滑化されるエネルギー強度の量は異なるものにできる(図4A参照)。具体的には、ガウシアン・カーネルで用量関数をコンボリューションすると、レジスト内でのエネルギー強度が平均化される。即ち、あるポイントにおける用量及びその近傍の用量が平均化される。カーネルの拡散長は、レジスト内の各ポイントにおけるエネルギー強度が、それぞれの方向にどのくらい平滑化されるかを表す。重要なのは、先に述べたように、所与の実施例において、3つの変数x、y、及びzがそれぞれ、異なる拡散長を有し得ることである。
図4Aは、本発明の実施例によるx、y、及びz方向の拡散長を例示的に示す概略図である。これに対して、図4Bには、x、y、及びzについての拡散長が等しい様子を示す。これは、先行技術が採用しているものである。これらの拡散長は、この実施例では(異なる方向に拡散長を設定できるので)、楕円形状になるように設定できるが、Brunnerの研究に関して説明した先行技術では、(異なる方向に同じ拡散長を用いるので)円形にしか設定することができない。
さらに、本発明の所与の実施例では、2つ以上のガウシアン・カーネルを使用することができる。例えば、2つ以上のガウシアン・カーネルにより、大きく平滑化することと、あまり平滑化しないことを同時に可能にできる。即ち、あまり平滑化されないように、拡散長が短いガウシアン・カーネルで用量関数をコンボリューションすることができる。同時に、大きく平滑化されるように、拡散長が長い別のガウシアン・カーネルで用量関数をコンボリューションすることもできる。これら2種類のコンボリューションの相対的な影響は、必要に応じて変更できる。
所与の実施例では、8つのガウシアンを重み付けして合計したものである以下のガウシアン・カーネルを用いる。コンボリューションは、MaskToolsのLithoCruiser(商標)などのシミュレータによって実施することができる。
WzW1 G(Lx1,Ly1,Lz1,x,y,z)
+WzW2 G(Lx2,Ly1,Lz1,x,y,z)
+WzW3 G(Lx1,Ly2,Lz1,x,y,z)
+Wz(1−W1−W2−W3)G(Lx2,Ly2,Lz1,x,y,z)
+(1−Wz)W1 G(Lx1,Ly1,Lz2,x,y,z)
+(1−Wz)W2 G(Lx2,Ly1,Lz2,x,y,z)
+(1−Wz)W3 G(Lx1,Ly2,Lz2,x,y,z)
+(1−Wz)(1−W1−W2−W3)G(Lx2,Ly2,Lz2,x,y,z)
上記のガウシアン・カーネルには、6つの拡散長Lx1、Lx2、Ly1、Ly2、Lz1、及びLz2(この実施例では、x、y、及びzの方向にはそれぞれ、2つの拡散長がある(各方向ごとに2つの拡散長に限定されるものではない))と、4つの負でない重みW1、W2、W3、及びWzとがある。最初の3つの重みの和は限界値が1であり、Wzはz方向の相対的な重みであり、これも限界値が1である。これらのパラメータは、較正プロセス中に求められ、最適化される(図1のステップ20参照)。
上記に述べたように、x、y、及びz方向の拡散長は、プログラムによって最初に選択することもできるし、或いは、例えば、リソグラフィ技術者が許容できる結果をもたらすと予想できるある初期値に予め設定することもできる。しかし、較正プロセスが開始されると、このプロセスは、上記方法で拡散長の最適値を求めるように動作する。
既に述べたように、カーネルで用量関数をコンボリューションすることにより、修正用量関数が得られる。修正用量関数は、レジスト内での平滑化されたエネルギー強度を表す。修正用量関数が最適化されると、この修正用量関数を用いて、所与の照明系及びプロセスを用いる様々なマスク・パターンを正確にシミュレーションできることに留意されたい。
ガウシアンで関数をコンボリューションするために、すべて実数の集合について、この関数を定義しなければならないことに留意されたい。これら実数の有限部分集合についてのみこの関数を定義する場合、これらの定義は、元の部分集合を越えて拡張する必要がある。
境界条件を考慮するために、1)周期的拡張と、2)定数拡張という2つの標準的な手法がある。
周期的拡張は、フーリエ変換によりコンボリューションが乗算に変えられ、実空間におけるガウシアンがフーリエ(周波数)空間における類似のガウシアンに変えられるので、フーリエ空間で効率よく実施できる。定数拡張では、最も左の関数値及び最も右の関数値が無限に拡張されると仮定する。定数拡張は、概念的には簡単であるが効率的ではない。水平方向の拡散に関しては、マスクは周期的であると仮定されるので、レジスト内の各水平面も周期的である。そのため、この面は、レジスト像の同一複製物に対して傾いていることがある。垂直方向の拡散では、レジストの周期的拡張を、レジスト上に反転した複製物が配置された状態で利用できる。
図5は、図1のステップ14におけるレジスト現像シミュレーションのステップを示す流れ図の例である。このレジスト現像シミュレーションでは、修正用量関数を取得し(ステップ50)、それをCD値又は輪郭に変換する(ステップ52)。このプロセスは、MaskToolsのLithoCruiser(商標)などの周知のリソグラフィ・シミュレータ・ツールを利用して実施できる。
図1に戻ると、先に述べたように、取得したCD値(又は輪郭)と目標値を比較する(ステップ16)。この目標値は、実際のウエハを測定することによって得られる。測定する実際のウエハは、用量関数を得るために使用した光学ツールを使用することによって準備する。このCD値が公差内に入る場合、即ち、目標値と等しいか、それに近い場合(ステップ18が「ハイ」)、CDの較正が完了する。最良の拡散長が選択されると、これらの長さを用いて、その後のシミュレーションを行うことができる。一方、このCD値が公差内に入らないとき、カーネルの拡散長を調節する(ステップ20)。上記のように、x、y、及びz方向の拡散長は、シミュレーションされたCD値と実際の目標値との比較結果に基づいてプログラムによって決定できる。
次に、性能検証の実施例を説明する。実施例#1の条件は以下のとおりである。
スルー・ピッチ・ライン/スペース、
設計上のCD 120nm(実際には約70nm)、
NA=0.80、
ArF用レジスト 180nm、
BARC 60nm、
シリコン・ウエハ、
クェーサ(Quasar)照明(0.8/0.56)、
非偏光照明及びMT1(LPMのタイプ)とともにベクトル高NA空間像モデルを使用。
図6に、スルー・ピッチCD較正性能比較の実施例を示し、図7に、この較正モデルを用いて、フォーカス及び露光を変化させてレジストCDを予測する実施例(FEM)を示す。図6及び図7に示すように、CDを極めて良好に予測できる。
2次元ブリック・ウォール(レンガ壁)パターンである実施例#2の条件は、以下のとおりである。
Dark 6% AttPSM、
NA=0.80、
KrF、
ArF用のレジスト 180nm、
BARC 60nm、
シリコン・ウエハ、
カスタマイズされたc−quad(40X@1、10Y@0.5、0.97/0.72)、
レジスト 220nm(フィットさせると222nm)、
BARC 40nm(フィットさせると16nm)、
非偏光照明及びMT1(LPMのタイプ)とともにベクトル高NA空間像モデルを使用。
図8は、2つのCD位置がa及びbであるブリック・ウォール・パターンの実施例である。このレジストCD較正では、12個のこのようなブリック・ウォール・パターンを用いた。各ブリック・ウォール・パターンごとに、位置a及びbに対応する「描画された」CDはわずかに異なる。図9A及び図9Bに、較正結果を示す。図9Aは、この実施例に従って得られた較正結果の例であり、図9Bは、先行技術(従来方式の球形ガウシアン)によって得られた結果の例である。この実施例による結果は、はるかに良好に目標に一致していることがわかる。垂直軸はCDであり、水平軸は、12個のブリック・ウォール・パターンごとの箇所a及びbを指す。
図10は、上記で説明したCD較正を実施できるコンピュータ・システム100を示すブロック図である。コンピュータ・システム100は、情報を通信するためのバス102またはその他の通信機構と、バス102に接続された情報処理用プロセッサ104とを含む。コンピュータ・システム100は、ランダム・アクセス・メモリ(RAM)その他のダイナミック型記憶装置などの主メモリ106も含む。主メモリ106は、プロセッサ104が実行する情報及び命令を記憶するためにバス102に接続される。主メモリ106を使用して、プロセッサ104によって実行される命令の実行中に、一時的な変数その他の中間情報を記憶することもできる。コンピュータ・システム100はさらに、読取り専用メモリ(ROM)108またはその他の静的記憶装置を含む。読取り専用メモリ108は、プロセッサ104用の情報及び命令を記憶するためにバス102に接続される。磁気ディスク又は光ディスクなどの記憶装置110が設けられ、情報及び命令を記憶するためにバス102に接続される。
コンピュータ・システム100を、バス102を介して、陰極線管(CRT)或いはフラット・パネル又はタッチ・パネル式のディスプレイなどのディスプレイ112に接続して、コンピュータの使用者に情報を表示することができる。英数字およびその他のキーを含む入力装置114は、情報及びコマンドの選択をプロセッサ104と通信するためにバス102に接続される。別のタイプのユーザ入力装置は、プロセッサ104と方向情報及びコマンド選択を通信し、ディスプレイ112上でカーネルの動きを制御するマウス、トラックボール、又はカーソル方向キーなどのカーソル制御部116である。このような入力装置は一般に、2つの軸線方向に2つの自由度を有する。即ち、第1軸線(例えばx)及び第2軸線(例えばy)であり、これらによって、この装置が面内で位置を指定することができる。入力装置としてタッチ・パネル(スクリーン)ディスプレイを使用することもできる。
本発明の一実施例によれば、CDの較正は、主メモリ106に格納された1つ又は複数の命令からなる1つ又は複数のシーケンスを実行するプロセッサ104に応答して、コンピュータ・システム100によって実施できる。このような命令は、記憶装置110などの別のコンピュータ可読媒体から主メモリ106に読み出すことができる。主メモリ106に格納された命令シーケンスを実行することにより、プロセッサ104は、本明細書で説明したプロセス・ステップを実施する。多重処理構成で1つ又は複数のプロセッサを使用して、主メモリ106に格納された命令シーケンスを実行することもできる。代替実施例では、ソフトウエア命令の代わりに、又はそれと組み合わせて、配線された回路を使用して、本発明を実施することができる。このように、本発明の実施例は、ハードウエア回路とソフトウエアのいかなる特定の組合せにも限定されるものではない。
本明細書で用いる「コンピュータ可読媒体」という用語は、プロセッサ104の実行する命令を提供することに関わる任意の媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、及び伝達媒体を含めて多くの形態をとることができるが、これらに限定されるものではない。不揮発性媒体には、例えば、記憶装置110などの光ディスク又は磁気ディスクが含まれる。揮発性媒体には、主メモリ106などのダイナミック・メモリが含まれる。伝達媒体には、バス102を構成する導線を含めて、同軸ケーブル、銅線、及び光ファイバが含まれる。伝達媒体は、無線周波数(RF)及び赤外(IR)によるデータ通信中に生成されるものなど、音波又は光波の形態をとることもできる。一般的な形態のコンピュータ可読媒体には、例えば、フロッピー(登録商標)ディスク、フレキシブル・ディスク、ハード・ディスク、磁気テープその他の任意の磁気媒体、CD−ROM、DVDその他の任意の光媒体、パンチ・カード、紙テープ、その他の任意の穴のパターンを伴う物理的な媒体、RAM、PROM及びEPROM、FLASH−EPROMその他の任意のメモリ・チップ又はカートリッジ、以下で説明する搬送波、或いは、コンピュータが読み取ることのできる他の任意の媒体が含まれる。
様々な形態のコンピュータ可読媒体は、プロセッサ104の実行する1つ又は複数の命令からなる1つ又は複数のシーケンスを搬送することに関与できる。例えば、これらの命令は、最初は遠隔コンピュータの磁気ディスクに格納されていることがある。この遠隔コンピュータは、そのダイナミック・メモリにこれらの命令をロードし、モデムを使用して電話線を介してこれらの命令を送信することができる。コンピュータ・システム100にローカルに接続されたモデムは、この電話線上のデータを受け取り、赤外送信機を使用してこのデータを赤外信号に変換できる。バス102に接続された赤外検出器は、赤外信号に担持されたデータを受け取り、このデータをバス102に載せることができる。バス102は、このデータを主メモリ106に搬送し、そこから、プロセッサ104は、これらの命令を取り出し実行する。主メモリ106が受け取る命令は、任意選択で、プロセッサ104による実行の前後で記憶装置110に記憶できる。
好ましくは、コンピュータ・システム100は、バス102に接続された通信インターフェース118も含む。通信インターフェース118は、ローカル・ネットワーク122に接続されたネットワーク・リンク120に接続する双方向データ通信を実現する。例えば、通信インターフェース118は、対応するタイプの電話線へのデータ通信接続部を提供する統合サービス・デジタル網(ISDN)カード又はモデムとできる。別の実施例として、通信インターフェース118は、コンパチブルLANへのデータ通信接続部を提供するローカル・エリア・ネットワーク(LAN)カードとできる。無線リンクも実装することができる。任意のこのような実装形態で、通信インターフェース118は、様々なタイプの情報を表すデジタル・データ・ストリームを担持する電気信号、電磁信号、又は光信号を送受信する。
ネットワーク・リンク120は一般に、1つ又は複数のネットワークを介して他のデータ装置へのデータ通信を実現する。例えば、ネットワーク・リンク120は、ローカル・ネットワーク122を介してホスト・コンピュータ124又はインターネット・サービス・プロバイダ(ISP)126によって運用されるデータ機器への接続部を提供する。ISP126は、現在、一般に「インターネット」128と称する全世界的なパケット・データ通信網を介してデータ通信サービスを提供する。ローカル・ネットワーク122及びインターネット128はともに、デジタル・データ・ストリームを担持する電気信号、電磁信号、又は光信号を利用する。様々なネットワークを介した信号並びにネットワーク・リンク120上の通信インターフェース118を介した信号は、コンピュータ・システム100とデジタル・データをやり取りするものであり、情報を運ぶ搬送波の形態の例である。
コンピュータ・システム100は、1つ(又は複数)のネットワーク、ネットワーク・リンク120、及び通信インターフェース118を介して、メッセージを送信し、プログラム・コードを含めてデータを受信することができる。インターネットの例では、サーバ130は、インターネット128、ISP 126、ローカル・ネットワーク122、及び通信インターフェース118を介して、アプリケーション・プログラムの要求されたコードを送信できる。本発明によれば、このようなダウンロードされた1つのアプリケーションは、例えば、ガウシアン・カーネルで用量関数をコンボリューションする。受け取ったコードは、それが受け取られたときに、プロセッサ104によって実行することもできるし、且つ/又は、記憶装置110またはその他の不揮発性記憶装置に記憶して後で実行することもできる。このようにして、コンピュータ・システム100は、搬送波の形態でアプリケーション・コードを取得できる。
図11に、本発明を用いて設計されたマスクとともに使用するのに適したリソグラフィ投影装置を概略的に示す。この装置は、
−放射投影ビームPBを供給し、この特定の例では放射源LAも含む放射系Ex、ILと、
−マスクMA(例えばレチクル)を保持するマスク・ホルダを備えた第1物体テーブル(マスク・テーブル)MTであって、要素PLに対してマスクを正確に位置決めする第1位置決め手段に連結された第1物体テーブルMTと、
−基板W(例えば、レジストを塗布したシリコン・ウエハ)を保持する基板ホルダを備えた第2物体テーブル(基板テーブル)WTであって、要素PLに対して基板を正確に位置決めする第2位置決め手段に連結された第2物体テーブルWTと、
−基板Wの(例えば、1つ又は複数のダイを含む)目標部分Cに、マスクMAの照射部分を結像する投影系(「レンズ」)PL(例えば、屈折光学系、反射光学系、又は反射屈折光学系)とを備える。
本明細書で示すように、この装置は透過タイプのものである(即ち、透過性マスクを有する)。ただし、この装置は一般に、例えば(反射性マスクを備えた)反射タイプのものとすることもできる。或いは、この装置では、マスクを使用することに代えて、別の種類のパターン化手段を使用できる。この例には、プログラム可能なミラー配列又はLCD行列(マトリックス)が含まれる。
放射源LA(例えば、水銀ランプ又はエキシマ・レーザ)により、放射ビームが発生される。このビームは、直接、或いは、例えばビーム拡大器(エキスパンダ)Exなどの状態調節手段を横切った後で、照明系(照明器)ILに供給される。照明器ILは、ビームの強度分布の外側及び/又は内側の半径方向範囲(一般に、それぞれ外側σ及び内側σと称する)を設定する調整手段AMを含むことができる。さらに、照明器ILは一般に、統合器IN及びコンデンサCOなど、他の様々な部材を備える。このようにして、マスクMAに入射するビームPBの断面に、所望の均一性及び強度分布が得られる。
図11に関して、放射源LAは、(放射源LAが、例えば水銀ランプの場合にはしばしばそうであるが)リソグラフィ投影装置のハウジング内に入れることができるが、リソグラフィ投影装置から放射源を離し、放射源が発生する放射ビームを(例えば、適当な方向づけミラーを使用して)装置内に導入することもできることに留意されたい。後者の状況が生じるのは、しばしば放射源LAが(例えば、KrF、ArF、又はFをレーザ発振させることに基づく)エキシマ・レーザのときである。本発明は、これら両方の状況を包含する。
その後、ビームPBは、マスク・テーブルMT上に保持されたマスクMAに当たる。ビームPBは、マスクMAを横切った後で、レンズPLを通過し、レンズPLによって基板Wの目標部分Cに合焦する。第2位置決め手段(及び干渉計測手段IF)を使用して、基板テーブルWTを正確に移動させて、例えば、ビームPBの経路内に異なる目標部分Cを位置決めすることができる。同様に、第1位置決め手段を使用して、例えば、マスク・ライブラリからマスクMAを機械的に取り出した後で、或いは走査中に、ビームPBの経路に関してマスクMAを正確に位置決めすることができる。一般に、物体テーブルMT、WTの移動は、(粗い位置決め用の)長ストローク・モジュール及び(精密位置決め用の)短ストローク・モジュールを使用して実現することになる。これらのモジュールは、図11に明示的に示していない。ただし、(ステップ・アンド・スキャン装置と異なり)ウエハ・ステッパの場合には、マスク・テーブルMTを短ストローク・アクチュエータだけに連結することもできるし、固定することもできる。
図に示す装置は、以下の2つの異なるモードで使用することができる。
−ステップ・モードでは、マスク・テーブルMTは本質的に固定し、目標部分Cにマスク像全体を1回(即ち、1回の「フラッシュ」)で投影する。次いで、基板テーブルWTをx方向及び/又はy方向に位置を変えて、ビームPBによって異なる目標部分Cを照射できる。
−スキャン・モードでは、所与の目標部分Cが1回の「フラッシュ」で露光されない点を除き、本質的に同じ状況が当てはまる。その代わりに、マスク・テーブルMTは、所与の方向(いわゆる「走査方向」、例えばy方向)に速度vで移動可能であり、それによって投影ビームPBがマスク像の上を走査する。それと並行して、基板テーブルWTが同時に同方向又は反対方向に速度V=Mvで移動する。Mは、レンズPLの倍率(典型的には、M=1/4又は1/5)である。このようにして、分解能を損なわずに比較的大きな目標部分Cを露光できる。
本発明を詳細に説明し例示してきたが、この説明は、単なる例示及び実施例であり、限定的なものとみなすべきではなく、本発明の範囲は、添付の特許請求の範囲の各項によってのみ限定されることを明確に理解されたい。
本発明の実施例による最小寸法(CD)の計算で使用するレジスト較正方法を示す例示的な流れ図。 図1のステップ10において用量プロフィールをシミュレーションするプロセスを示す例示的な流れ図。 図1のステップ12において修正用量関数を取得するプロセスを示す例示的な流れ図。 本発明の実施例によるx、y、及びzの方向の拡散長を例示的に示す概略図。 先行技術のシミュレーションで用いるx、y、及びzの方向の同じ長さの拡散長を例示的に示す概略図。 図1のステップ14におけるレジスト現像シミュレーションを示す例示的な流れ図。 本発明の実施例によるスルー・ピッチCD較正性能比較を示す例示的なグラフ。 本発明の実施例に従って較正モデルを用いてフォーカス及び露光を変化させてレジストCDを予測する(FEM)ところを示す例示的なグラフ。 本発明及び先行技術の実施例による較正結果を得るために使用するブリック・ウォール・パターンの実施例を示す図。 本発明の実施例による較正結果の例を示すグラフ。 先行技術によって得られた較正結果の例を示すグラフ。 本発明の実施例によるCD較正を実施できるコンピュータ・システム100を示すブロック図。 本発明の実施例を用いて設計されたマスクとともに使用するのに適したリソグラフィ投影装置を概略的に示す図。

Claims (15)

  1. 最小寸法(CD)の計算に用いるレジスト・モデルを較正する方法において、
    ウエハ上にレジストを形成するために使用する光学ツールに基づいて、前記レジストのエネルギー量を示す用量関数を得る段階と、
    前記用量関数を、異なる方向に可変拡散長を有するコンボリューション・カーネルでコンボリューションすることにより、修正用量関数を得る段階とを含む、レジスト・モデル較正方法。
  2. 前記修正用量関数をCD値に変換する段階と、
    前記CD値を目標値と比較する段階と、
    前記比較結果に基づいて、前記コンボリューション・カーネルの前記拡散長を調節する段階とをさらに含む、請求項1に記載されたレジスト・モデル較正方法。
  3. 前記コンボリューション・カーネルが、それぞれ異なる方向に可変拡散長を有する複数のガウシアン・カーネルを含む、請求項1に記載されたレジスト・モデル較正方法。
  4. 前記コンボリューション・カーネルが、
    WzW1 G(Lx1,Ly1,Lz1;x,y,z)+
    WzW2 G(Lx2,Ly1,Lz1;x,y,z)+
    WzW3 G(Lx1,Ly2,Lz1;x,y,z)+
    Wz(1−W1−W2−W3)G(Lx2,Ly2,Lz1;x,y,z)+
    (1−Wz)W1 G(Lx1,Ly1,Lz2;x,y,z)+
    (1−Wz)W2 G(Lx2,Ly1,Lz2;x,y,z)+
    (1−Wz)W3 G(Lx1,Ly2,Lz2;x,y,z)+
    (1−Wz)(1−W1−W2−W3)G(Lx2,Ly2,Lz2;x,y,z)
    で表される8つのガウシアン・カーネルを含み、
    ここで、Lx1、Ly1、及びLz1は、それぞれx、y、及びz方向の第1の組の拡散長であり、Lx2、Ly2、及びLz2は、それぞれx、y、及びz方向の第2の組の拡散長であり、W1、W2、W3、及びWzは重みである、請求項3に記載されたレジスト・モデル較正方法。
  5. 重みW1、W2、W3、及びWzは、負でない数であり、
    重みW1、W2、及びW3の限界値は1であり、
    重みWzの限界値は1である、請求項4に記載されたレジスト・モデル較正方法。
  6. 最小寸法(CD)の計算に用いるレジスト・モデルを較正するコンピュータ・プログラムを担持するコンピュータ可読媒体を有するコンピュータ・プログラム製品において、前記コンピュータ・プログラムは、実行されると、コンピュータに、
    ウエハ上にレジストを形成するために使用する光学ツールに基づいて、前記レジストのエネルギー量を示す用量関数を得る段階と、
    前記用量関数を、異なる方向に可変拡散長を有するコンボリューション・カーネルでコンボリューションすることにより、修正用量関数を得る段階とを実施させるようになっている、コンピュータ・プログラム製品。
  7. 前記修正用量関数をCD値に変換する段階と、
    前記CD値を目標値と比較する段階と、
    前記比較結果に基づいて、前記コンボリューション・カーネルの前記拡散長を調節する段階とをさらに含む、請求項6に記載されたコンピュータ・プログラム製品。
  8. 前記コンボリューション・カーネルが、それぞれ異なる方向に可変拡散長を有する複数のガウシアン・カーネルを含む、請求項6に記載されたコンピュータ・プログラム製品。
  9. 前記コンボリューション・カーネルが、
    WzW1 G(Lx1,Ly1,Lz1;x,y,z)+
    WzW2 G(Lx2,Ly1,Lz1;x,y,z)+
    WzW3 G(Lx1,Ly2,Lz1;x,y,z)+
    Wz(1−W1−W2−W3)G(Lx2,Ly2,Lz1;x,y,z)+
    (1−Wz)W1 G(Lx1,Ly1,Lz2;x,y,z)+
    (1−Wz)W2 G(Lx2,Ly1,Lz2;x,y,z)+
    (1−Wz)W3 G(Lx1,Ly2,Lz2;x,y,z)+
    (1−Wz)(1−W1−W2−W3)G(Lx2,Ly2,Lz2;x,y,z)
    で表される8つのガウシアン・カーネルを含み、
    ここで、Lx1、Ly1、及びLz1は、それぞれx、y、及びz方向の第1の組の拡散長であり、Lx2、Ly2、及びLz2は、それぞれx、y、及びz方向の第2の組の拡散長であり、W1、W2、W3、及びWzは重みである、請求項8に記載されたコンピュータ・プログラム製品。
  10. 重みW1、W2、W3、及びWzは、負でない数であり、
    重みW1、W2、及びW3の限界値は1であり、
    重みWzの限界値は1である、請求項9に記載されたコンピュータ・プログラム。
  11. 最小寸法(CD)の計算で用いるレジスト・モデルを較正する装置において、
    ウエハにレジストを形成するために使用する光学ツールに基づいて、前記レジストのエネルギー量を示す用量関数を得るように構成された第1ユニットと、
    前記用量関数を、異なる方向に可変拡散長を有するコンボリューション・カーネルでコンボリューションすることにより、修正用量関数を得るように構成された第2ユニットとを備える、レジスト・モデル較正装置。
  12. 前記修正用量関数をCD値に変換するように構成された第3ユニットと、
    前記CD値を目標値と比較するように構成された第4ユニットと、
    前記比較結果に基づいて、前記コンボリューション・カーネルの前記拡散長を調節するように構成された第5ユニットとをさらに備える、請求項11に記載されたレジスト・モデル較正装置。
  13. 前記コンボリューション・カーネルが、それぞれ異なる方向に可変拡散長を有する複数のガウシアン・カーネルを含む、請求項11に記載されたレジスト・モデル較正装置。
  14. 前記コンボリューション・カーネルは、
    WzW1 G(Lx1,Ly1,Lz1;x,y,z)+
    WzW2 G(Lx2,Ly1,Lz1;x,y,z)+
    WzW3 G(Lx1,Ly2,Lz1;x,y,z)+
    Wz(1−W1−W2−W3)G(Lx2,Ly2,Lz1;x,y,z)+
    (1−Wz)W1 G(Lx1,Ly1,Lz2;x,y,z)+
    (1−Wz)W2 G(Lx2,Ly1,Lz2;x,y,z)+
    (1−Wz)W3 G(Lx1,Ly2,Lz2;x,y,z)+
    (1−Wz)(1−W1−W2−W3)G(Lx2,Ly2,Lz2;x,y,z)
    で表される8つのガウシアン・カーネルを含み、
    ここで、Lx1、Ly1、及びLz1は、それぞれx、y、及びz方向の第1の組の拡散長であり、Lx2、Ly2、及びLz2は、それぞれx、y、及びzの方向の第2の組の拡散長であり、W1、W2、W3、及びWzは重みである、請求項13に記載されたレジスト・モデル較正装置。
  15. 重みW1、W2、W3、及びWzは、負でない数であり、
    重みW1、W2、及びW3の限界値は1であり、
    重みWzの限界値は1である、請求項14に記載されたレジスト・モデル較正装置。
JP2006047438A 2005-01-28 2006-01-27 最小寸法の計算で用いるレジスト・モデルの較正を改善する方法、プログラム製品、及び装置 Expired - Fee Related JP4427518B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US64743305P 2005-01-28 2005-01-28

Publications (2)

Publication Number Publication Date
JP2006210936A JP2006210936A (ja) 2006-08-10
JP4427518B2 true JP4427518B2 (ja) 2010-03-10

Family

ID=36499573

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006047438A Expired - Fee Related JP4427518B2 (ja) 2005-01-28 2006-01-27 最小寸法の計算で用いるレジスト・モデルの較正を改善する方法、プログラム製品、及び装置

Country Status (7)

Country Link
US (1) US7494753B2 (ja)
EP (1) EP1688795A3 (ja)
JP (1) JP4427518B2 (ja)
KR (1) KR20060087446A (ja)
CN (1) CN100576084C (ja)
SG (1) SG124406A1 (ja)
TW (1) TWI334985B (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7472372B1 (en) * 2005-11-08 2008-12-30 Peter J. Fiekowsky Fast image simulation for photolithography
EP1862857B1 (en) * 2006-05-31 2012-08-08 Synopsys, Inc. Method and apparatus for determining a process model for integrated circuit fabrication
US7743357B2 (en) * 2006-05-31 2010-06-22 Synopsys, Inc. Method and apparatus for determining a process model that models the impact of CAR/PEB on the resist profile
US7454739B2 (en) 2006-05-31 2008-11-18 Synopsys, Inc. Method and apparatus for determining an accurate photolithography process model
US7642020B2 (en) * 2006-08-17 2010-01-05 International Business Machines Corporation Method for separating optical and resist effects in process models
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4328811B2 (ja) * 2007-02-27 2009-09-09 キヤノン株式会社 レジストパターン形状予測方法、プログラム及びコンピュータ
JP4843580B2 (ja) * 2007-08-10 2011-12-21 株式会社東芝 光学像強度分布のシミュレーション方法、シミュレーションプログラム及びパターンデータ作成方法
US8181128B2 (en) * 2008-10-13 2012-05-15 Synopsys, Inc. Method and apparatus for determining a photolithography process model which models the influence of topography variations
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003716A (en) * 2008-11-24 2010-05-26 Brion Tech Inc Harmonic resist model for use in a lithographic apparatus and a device manufacturing method.
CN101738874B (zh) * 2008-11-24 2011-11-02 上海华虹Nec电子有限公司 光刻胶显影模拟的方法
CN102033423B (zh) * 2009-09-28 2013-05-29 中芯国际集成电路制造(上海)有限公司 用于校准光刻工具的装置及方法
JP5539148B2 (ja) * 2010-10-19 2014-07-02 キヤノン株式会社 レジストパターンの算出方法及び算出プログラム
CN102608869B (zh) * 2011-01-19 2014-04-16 上海华虹宏力半导体制造有限公司 低k1情况下的光刻方法
WO2013041569A1 (en) 2011-09-19 2013-03-28 Mapper Lithography Ip B.V. Method and apparatus for predicting a growth rate of deposited contaminants
CN102411259A (zh) * 2011-11-28 2012-04-11 上海华力微电子有限公司 对光掩膜设计版图进行光学临近修正的方法和装置
US9349105B2 (en) * 2013-12-18 2016-05-24 International Business Machines Corporation Machine learning with incomplete data sets
TWI512868B (zh) * 2013-12-24 2015-12-11 Huang Tian Xing Image Key Dimension Measurement Calibration Method and System
US10386718B2 (en) * 2014-07-11 2019-08-20 Synopsys, Inc. Method for modeling a photoresist profile
US20160026079A1 (en) * 2014-07-25 2016-01-28 Kabushiki Kaisha Toshiba Mask pattern correcting method
US9841689B1 (en) 2014-08-22 2017-12-12 Kla-Tencor Corporation Approach for model calibration used for focus and dose measurement
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
JP6863057B2 (ja) * 2017-04-28 2021-04-21 横河電機株式会社 校正作業支援装置、校正作業支援方法、校正作業支援プログラム及び記録媒体
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
CN110660696B (zh) * 2019-08-27 2021-09-21 浙江博蓝特半导体科技股份有限公司 一种蓝宝石衬底的制造方法和滴蜡设备
CN115935779B (zh) * 2022-08-01 2023-09-08 先进半导体材料(安徽)有限公司 刻蚀仿真模型的构建方法
CN115408650B (zh) * 2022-08-03 2023-04-28 武汉宇微光学软件有限公司 光刻胶多级串连表征网络的建模、校准、仿真方法和系统
CN117293016B (zh) * 2023-11-24 2024-02-13 青岛华芯晶电科技有限公司 磷化铟晶片自动清洗过程中流动硫酸用量控制的方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US7124153B2 (en) 2002-03-18 2006-10-17 Genesis Microchip Inc. Frequency converter and methods of use thereof
US20060206851A1 (en) 2002-12-30 2006-09-14 Koninklijke Philips Electronics N.V. Determning lithographic parameters to optimise a process window
US6934929B2 (en) 2003-01-13 2005-08-23 Lsi Logic Corporation Method for improving OPC modeling

Also Published As

Publication number Publication date
TWI334985B (en) 2010-12-21
CN100576084C (zh) 2009-12-30
JP2006210936A (ja) 2006-08-10
SG124406A1 (en) 2006-08-30
EP1688795A2 (en) 2006-08-09
CN1869818A (zh) 2006-11-29
KR20060087446A (ko) 2006-08-02
EP1688795A3 (en) 2007-12-12
US7494753B2 (en) 2009-02-24
US20060208205A1 (en) 2006-09-21
TW200723034A (en) 2007-06-16

Similar Documents

Publication Publication Date Title
JP4427518B2 (ja) 最小寸法の計算で用いるレジスト・モデルの較正を改善する方法、プログラム製品、及び装置
KR101527496B1 (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
JP3992688B2 (ja) コンタクト・ホール・マスクの光学的近接補正設計の方法
JP5016585B2 (ja) リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
JP5191975B2 (ja) リソグラフィ較正のための方法
JP4938242B2 (ja) 較正固有分解モデルを使用した製造信頼性検査及びリソグラフィ・プロセス検証方法
KR101484146B1 (ko) 다중 패터닝 공정과 리소그래피 장치 및 마스크 최적화 공정의 통합
JP4707701B2 (ja) 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
JP5666609B2 (ja) 光源及びマスクの最適化のためのパターン選択方法
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
JP5461477B2 (ja) モデルベーススキャナ調整を実行する方法
JP5033860B2 (ja) 高速感度モデル計算のためのデルタtcc
JP5461457B2 (ja) マスクを表す機能と予め規定された光学条件との相関関係に基づくリソグラフィ結像における設計ルールの最適化
JP2005217430A (ja) 較正された固有分解モデルを使用した、露光装置の組み合わせによる、モデルopcの偏差を予測し最小限に抑える方法
KR101394585B1 (ko) 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델
TWI778722B (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
CN110337614A (zh) 通过图案形成装置上的有限厚度的结构确定辐射的散射的方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20061206

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061208

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070517

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091208

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091214

R150 Certificate of patent or registration of utility model

Ref document number: 4427518

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121218

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121218

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131218

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees