JP4731830B2 - ソースおよびマスクの最適化 - Google Patents

ソースおよびマスクの最適化 Download PDF

Info

Publication number
JP4731830B2
JP4731830B2 JP2004132168A JP2004132168A JP4731830B2 JP 4731830 B2 JP4731830 B2 JP 4731830B2 JP 2004132168 A JP2004132168 A JP 2004132168A JP 2004132168 A JP2004132168 A JP 2004132168A JP 4731830 B2 JP4731830 B2 JP 4731830B2
Authority
JP
Japan
Prior art keywords
mask
optimal
illumination
image
minimum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004132168A
Other languages
English (en)
Other versions
JP2004312027A (ja
Inventor
ジョン ソチャ ロバート
Original Assignee
エーエスエムエル マスクツールズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル マスクツールズ ビー.ブイ. filed Critical エーエスエムエル マスクツールズ ビー.ブイ.
Publication of JP2004312027A publication Critical patent/JP2004312027A/ja
Application granted granted Critical
Publication of JP4731830B2 publication Critical patent/JP4731830B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption

Description

本発明の分野は概ね、マイクロリソグラフィの照明源およびマスクフィーチャを最適化する方法およびプログラム製品に関する。
本発明は、2003年3月31日に出願され、「SOURCE AND MASK OPTIMIZATION」と題された米国暫定特許出願第60/458,365号に基づく優先権を主張するものである。2003年3月31日に出願された米国暫定特許出願第60/458,365号には、「Source And Mask Optimization For Memory」と題するパワーポイントディスプレイ、「Illumination Optimization」と題するパワーポイントディスプレイが添付され、およびそれに添付された図1から図29とラベルされたカラー図を含んでいる。
リソグラフィ投影装置は例えば、集積回路(IC)の製造において使用可能である。この場合、フォトリソグラフィマスクはICの個々の層に対応する回路パターンを含むことができ、このパターンを、放射線感光原料(レジスト)の層が塗布された基板(シリコンウェハ)上の目標部分(例えば1つあるいはそれ以上のダイから成る)に描像することが出来る。一般的に、シングルウェハは、投影システムを介して1つずつ順次照射される近接目標部分の全体ネットワークを含んでいる。リソグラフィ投影装置の1タイプでは、全体マスクパターンを目標部分に1回の作動にて露光することによって各目標部分が照射される。こうした装置は一般的にウェハステッパと称されている。走査ステップ式装置と称される別の装置では、所定の基準方向(「走査」方向)にマスクパターンを投影ビームで徐々に走査し、これと同時に基板テーブルをこの方向と平行に、あるいは反平行に走査することにより、各目標部分が照射される。一般的に、投影システムは倍率係数M(一般的に、<1)を有することから、基板テーブルが走査される速度Vは、マスクテーブルが走査される速度の係数M倍となる。ここに記載を行ったリソグラフィデバイスに関する詳細な情報は、例えば、米国特許第US6,046,792号を参照されたい。
リソグラフィ投影装置を使用する製造プロセスにおいて、マスクパターンは少なくとも部分的に放射線感光材(レジスト)の層で覆われた基板上に描像される。この描像ステップに先立ち、基板は、プライミング、レジスト塗布、およびソフトベークといったような各種のプロセスを経る。露光後、基板は、露光後ベーク(PEB)、現像、ハードベーク、および描像フィーチャの測定/検査といったような他の工程を通る。このプロセスの配列は、例えばICといったような素子の個々の層をパターン化するための基準として使用される。このようなパターン形成された層は、それから、全て個々の層を仕上げる目的である、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械的研磨等といった種々のプロセスを経る。数枚の層が必要とされる場合には、全体プロセス、もしくはその変形をそれぞれの新しい層に繰り返す必要がある。最終的に、素子のアレイが基板(ウェハ)上に形成される。次に、これらの素子はダイシングやソーイングといったような技法で相互より分離される。それから個々の素子は、キャリアに装着されたり、ピンに接続されたりし得る。こうしたプロセスに関するさらなる情報は、1997年にマグローヒル出版会社より刊行された、Peter van Zant著、「マイクロチップ製造:半導体処理に対する実用ガイド」という名称の書籍(“Microchip Fabrication:A Pratical Guide to Semiconductor Processing”)の第3版、ISBN0−07−067250−4に記載されており、詳細は当該文献を参照願いたい。
簡潔化の目的で、これより投影システムを「レンズ」と称するものとする。しかし、この用語は、例えば屈折光学システム、反射光学システム、および反射屈折光学システムを含むさまざまなタイプの投影システムを網羅するものとして広義に解釈されるべきである。放射線システムはまた、放射線の投影ビームの誘導、成形、あるいは制御を行う、こうした設計タイプのいずれかに応じて稼動する構成要素も備えることが出来る。こうした構成要素もまた以降において集約的に、あるいは単独的に「レンズ」と称する。さらに、リソグラフィ装置は2つあるいはそれ以上の基板テーブル(および/あるいは2つもしくはそれ以上のマスクテーブル)を有するタイプのものである。このような「多段」デバイスにおいては、追加のテーブルが並列して使用される。もしくは、1つ以上の他のテーブルが露光に使用されている間に予備工程が1つ以上のテーブルにて実行される。例えば、ツインステージリソグラフィ装置の詳細は、米国特許第US5,969,441号および国際特許出願第WO98/40791号を参照されたい。
上記で言及したフォトリソグラフィマスクは、シリコンウェハ上に集積される回路構成要素に対応する幾何学的パターンを備える。このようなマスクの生成に使用するパターンは、CAD(コンピュータ支援設計)プログラムを使用して生成され、このプロセスを往々にしてEDA(電子設計自動化)と呼ぶ。大部分のCADプログラムは、機能的マスクを生成するために、1組の所定の設計規則に従う。この規則は、処理および設計上の制限によって設定される。例えば、設計規則は、回路デバイスまたはラインが望ましくない方法で相互作用しないよう、回路デバイス(ゲート、コンデンサなど)または相互接続ライン間の空間公差を画定する。設計規則の制限は、通常「限界寸法」(CD)と呼ばれる。回路の限界寸法は、線または穴の最小幅、または2本の線または2つの穴間の最小間隔と定義することができる。したがって、CDは設計された回路の全体的サイズおよび密度を決定する。
フォトリソグラフィの照明を最適化するため、様々な技術が存在する。様々なマスク最適化技術も知られている。しかし、現在の照明の最適化とマスクの最適化とは概ね関連付けられていない。Rosenbluth等の米国特許第6,563,566号は、マスク透過の最適化を線形化する一連の計算を通して、照明の最適化とマスクの最適化を実行する方法を開示している。Rosenbluthは、最小NILS(正規化したイメージログ傾斜)を最大にし、計算に使用すべき様々な制約を選択するよう開示している。Rosenbluthは、計算がマスクの対称性に応じて制限され得ることも認識している。しかし、Rosenbluthが使用したマスク透過の線形化は、実際の描画の等式ではなく、計算に幾つかの近似を使用する必要があり、これはマスクを実装して望ましいイメージを形成する際にエラーを生じる。マスク透過の線形化は、多数の変数も使用する必要があり、これには、計算を実行するために多大な計算時間を要する。
フィーチャの論理的サイズが減少するにつれ、最短の計算時間で所望のイメージを精密に形成するマスクのインプリメンテーションを提供する必要がある。
本発明によると、マスク照明用の照明源を最適化する方法は、1つの照明源から複数のソースポイントおよび所定のマスクパターンへ照明を提供するステップと、所定のマスクパターンに提供された照明によって形成されるイメージのイメージ面で断片化ポイントを選択するステップと、各断片化ポイントで照明の輝度およびイメージログ傾斜を決定するステップと、照明源として、選択された断片化ポイントにてイメージログ傾斜を最大にし、所定の範囲内の輝度を有する最適照明源を決定するステップとを含む。
本発明によると、最適マスクを決定する方法は、理想的マスクの最適回折次数を決定するステップと、理想的マスクの最適化した回折次数に基づいて、最適な透過マスクを決定するステップと、最適な透過マスクに基づいて最適なマスクを決定するステップとを含み、理想的マスクの最適回折次数は、ユーザが選択した断片化ポイントにおいて最小照明ログの傾斜を最大にしながら、断片化ポイントにおける照明の輝度を所定の範囲内にするイメージをイメージ面に形成する回折次数の大きさおよび位相を決定することによって決定される。
本発明によると、最適なソースおよび最適なマスクを獲得する方法は、1つの照明源から複数の照明ポイントおよび所定のマスクパターンへ照明を提供するステップと、所定のマスクパターンに提供された照明によって形成されるイメージのイメージ面で断片化ポイントを選択するステップと、各断片化ポイントで照明の輝度およびイメージログ傾斜を決定するステップと、同時に、照明源の輝度および形状、およびマスクの回折次数の大きさおよび位相を変化させて、断片化ポイントでの最小イメージログ傾斜を最大にしながら、断片化ポイントにおいて輝度を所定の輝度範囲内にするイメージをイメージ面に形成するステップとを含む。
本発明によると、マスク上の透過および移相フィーチャの配置を最適化する方法は、マスクの最適回折次数に基づいて最適なマスク透過特性を獲得するステップと、最大透過領域および最小透過領域を位置決めするステップと、基本領域を、最大透過領域または最小透過領域上でセンタリングされた領域として割り当てるステップと、最適回折次数と一致させるため、各基本領域の縁を変化させるステップとを含み、各基本領域は、マスクの最小フィーチャサイズとほぼ等しい最小サイズを有する。
本発明の方法では、最適なマスク透過の特性を獲得するステップは、最適なマスクの水平回折次数を決定するステップを含むことができ、水平回折次数の数は、

によって決定され、ここでmは水平回折次数の数、λは照明源の波長、NAは投影光学機器の開口数、σmaxは照明源からの光線の分布の半径方向範囲である。
本発明の方法では、最適なマスク透過の特性を獲得するステップは、最適なマスクの垂直回折次数を決定するステップを含むことができ、垂直回折次数の数は、

によって決定され、ここでnは垂直回折次数の数、λは照明源の波長、NAは投影光学機器の開口数、σmaxは照明源からの光線の分布の半径方向範囲である。
本発明によると、コンピュータで読み出し可能な媒体は、マスクを照明する照明源を最適化する方法を実行するため、コンピュータへの命令を含むことができ、これは、1つの照明源から複数のソースポイントおよび所定のマスクパターンへ照明を提供するステップと、所定のマスクパターンに提供された照明によって形成されるイメージのイメージ面で断片化ポイントを選択するステップと、各断片化ポイントで照明の輝度およびイメージログ傾斜を決定するステップと、照明源として、選択された断片化ポイントにてイメージログ傾斜を最大にし、所定の範囲内の輝度を有する最適照明源を決定するステップとを含む。
本発明によると、コンピュータで読み出し可能な媒体は、最適なマスクを決定する方法を実行させるため、コンピュータへの命令を含むことができ、これは、理想的マスクの最適回折次数を決定するステップと、理想的マスクの最適化した回折次数に基づいて、最適な透過マスクを取得するステップと、最適な透過マスクに基づいて最適なマスクを決定するステップとを含み、理想的マスクの最適回折次数は、ユーザが選択した断片化ポイントにおいて最小照明ログの傾斜を最大にしながら、断片化ポイントにおける照明の輝度を所定の範囲内にするイメージをイメージ面に形成する回折次数の大きさおよび位相を決定することによって決定される。
本発明によると、コンピュータで読み出し可能な媒体は、最適なソースおよび最適なマスクを獲得する方法を実行させるため、コンピュータへの命令を含むことができ、これは1つの照明源から複数の照明ポイントおよび所定のマスクパターンへ照明を提供するステップと、所定のマスクパターンに提供された照明によって形成されるイメージのイメージ面で断片化ポイントを選択するステップと、各断片化ポイントで照明の輝度およびイメージログ傾斜を決定するステップと、同時に、照明源の輝度および形状、およびマスクの回折次数の大きさおよび位相を変化させて、断片化ポイントでの最小イメージログ傾斜を最大にしながら、断片化ポイントにおいて輝度を所定の輝度範囲内にするイメージをイメージ面に形成するステップとを含む。
本発明によると、コンピュータで読み出し可能な媒体は、マスク上の透過および移相フィーチャの配置を最適化する方法を実行させるため、コンピュータへの命令を含むことができ、これは、マスクの最適回折次数に基づいて最適なマスク透過特性を取得するステップと、最大透過領域および最小透過領域を位置決めするステップと、基本領域を、最大透過領域または最小透過領域上でセンタリングされた領域として割り当てるステップと、最適回折次数と一致させるため、各基本領域の縁を変化させるステップとを含み、各基本領域は、マスクの最小フィーチャサイズとほぼ等しい最小サイズを有する。
本発明によると、コンピュータで読み出し可能な媒体は、マスク上の透過および移相フィーチャの配置を最適化させるため、コンピュータへの命令を含むことができ、最適マスク透過特性を取得するステップと、最小透過領域を位置決めするステップと、基本領域を、最大透過領域上でセンタリングされた領域として割り当てるステップと、最適回折次数と一致させるため、基本領域の縁を変化させるステップとを含み、基本領域は、マスクの最小フィーチャサイズとほぼ等しい最小サイズを有する。
コンピュータで読み出し可能な媒体は、さらに、最大透過領域を位置決めするステップと、透過基本領域を、最大透過領域上でセンタリングされた領域として割り当てるステップと、最適回折次数と一致させるため、透過基本領域の縁を変化させるステップとを実行させるため、コンピュータへの命令を含むことができ、ここで透過基本領域は、マスクの最小フィーチャサイズとほぼ等しい最小サイズを有する。
本発明のコンピュータで読み出し可能な媒体では、最適マスク透過特性を取得するステップは、最適マスクの水平回折次数を決定するステップを含むことができ、水平回折次数の数は、下記式


に従って決定され、ここでmは水平回折次数の数、λは照明源の波長、NAは投影光学機器の開口数、σmaxは照明源からの光線の分布の半径方向範囲である。
本発明のコンピュータで読み出し可能な媒体では、最適マスク透過特性を取得するステップは、最適マスクの垂直回折次数を決定するステップを含むことができ、垂直回折次数の数は、

に従って決定され、ここでnは垂直回折次数の数、λは照明源の波長、NAは投影光学機器の開口数、σmaxは照明源からの光線の分布の半径方向範囲である。
本発明によると、マスク照明の照明源を最適化させる装置は、照明デバイスの特性を入力する入力ユニットと、照明の輝度および形状を変化させて、ユーザが選択した断片化ポイントにおいて最小イメージログ傾斜を最大にするイメージをイメージ面に形成するよう構成された処理ユニットとを含むことができる。
本発明によると、マスクを最適化する装置は、所望のイメージパターンを入力する入力ユニットと、回折次数の大きさおよび位相を変化させて、ユーザの選択した断片化ポイントにおいて最小イメージログ傾斜を最大にしながら、断片化ポイントでの輝度を所定の輝度範囲内にするイメージを、イメージ面に形成するよう構成された処理ユニットとを含むことができる。
本発明によると、最適ソースおよび最適マスクを取得する装置は、ユーザの入力を受け取る入力ユニットと、照明源の輝度および形状と、回折次数の大きさおよび位相とを同時に変化させて、ユーザの選択した断片化ポイントにおいて最小イメージログ傾斜を最大にしながら、断片化ポイントでの輝度を所定の輝度範囲内にするイメージを、イメージ面に形成するよう構成された処理ユニットとを含むことができる。
本発明によると、マスク上の透過および移相フィーチャの配置を最適化する装置は、照明デバイスの特性を入力する入力ユニットと、マスクの最適回折次数に基づいて最適マスク透過特性を取得し、最小透過領域および最大透過領域を位置決めして、基本領域として、最小透過領域または最大透過領域上でセンタリングされた領域として割り当て、最適回折次数と一致させるため、透過基本領域の縁を変化させるよう構成された処理ユニットを備えることができ、透過基本領域は、マスクの最小フィーチャサイズとほぼ等しい最小サイズを有する。
本発明の装置では、最適マスク透過特性は、最適マスクの水平回折次数を含むことができ、水平回折次数の数は、下記式


に従って決定され、ここでmは水平回折次数の数、λは照明源の波長、NAは投影光学機器の開口数、σmaxは照明源からの光線の分布の半径方向範囲である。
本発明の装置では、最適マスク透過特性は、最適マスクの垂直回折次数を含むことができ、垂直回折次数の数は、下記式

に従って決定され、ここでnは垂直回折次数の数、λは照明源の波長、NAは投影光学機器の開口数、σmaxは照明源からの光線の分布の半径方向範囲である。
本発明は、考察されるマスク最適化変数の数を制限することにより、マスクパラメータを高速で計算するという利点を提供する。照明最適化変数の数は、マスクの対称性を使用することにより、減少させることができる。マスク最適化変数の数は、マスク透過で計算を実行するのではなく、マスクの回折次数を最適化することでも減少させることができる。マスク回折次数の最適化は非線形プロセスであり、したがって変数の数が減少すると、計算時間が短縮される。さらに、マスク透過は、回折次数の非線形最適化を実行し、その後に最適回折次数と等しくなるよう定量化したマスク透過の選択を線形に最適化することにより最適化される。
添付図を参照して、本発明の原理を説明する。
イメージ面に所望のパターンを生成するため、照明源およびマスクを最適化する方法を開示する。好ましい実施例では、ユーザが選択した断片化ポイントにて最適化プロセスの最高イメージログ傾斜(ILS)になる状態で、イメージ面にイメージを形成する。イメージは、照明源の輝度および形状を変化させ、回折次数の大きさおよび位相を変化させることにより、最適化することができる。好ましい実施例では、照明源を最初に最適化し、次にマスク回折次数を最適化するが、照明源とマスク回折次数を同時に最適化することができる。
ILSは非線形の量であるので、照明源およびマスクの最適化は非線形最適化である。非線形最適化では、計算時間が変数の数の関数(例えば幾何学的関数)であることが、当業者には理解される。したがって、計算時間を上げるには、変数の数を最少にしなければならない。照明源の最適化では、本発明によると、マスクの対称性を利用することにより、変数の数を減少させることができる。例えば、マスクが垂直軸線および水平軸線に対して対称なら、照明源は垂直軸線および水平軸線に対して対称になり、照明源の1/4を使用して最適化を達成することができる。
本発明によるマスクの最適化では、空間周波数領域で回折次数の最適化を実行することにより、変数の数を減少させることができる。マスクの最適化は、空間領域ではなく空間周波数領域で実行することが好ましい。というのは、レンズ(例えば投影光学機器の入射ひとみ)と照明源が、投影されたイメージの形成に使用する回折次数の数を制限するからである。ユーザが選択した断片化ポイントでILSを最適化することに加え、マスクが形成するイメージの形状は所望のパターンに一致しなければならない。一致は、全ての断片化ポイントにおいて輝度が相互との所定の偏差範囲、例えば±2%の偏差範囲と同じであるか、その範囲内であるという制約を加えることによって実行することが好ましい。マスク回折次数の最適化後、マスク回折次数の逆フーリエ変換をすることにより、最適なマスク透過を計算できることが好ましい。
最適なマスク透過では、電界透過は0から1までの連続的な大きさ、および−180°から+180°の連続的な位相を有する。マスクは限られた数の電界透過を有するので、最適透過は制限された透過数で定量化することが好ましい。この定量化は、定量化したマスクの回折次数が最適回折次数とほぼ等しくなるよう、定量化したマスク透過領域を選択することによって実行することが好ましい。フーリエ変換は線形計算なので、定量化したマスクの回折次数が最適な回折と等しくなるよう定量化したマスク透過領域を選択することは、迅速に計算できる線形プロセスである。
図1Aおよび図1Bは、アッベ描像を使用する照明プロセスを示す。図1Aで示すように、各ソースポイント10は、所望のソースポイント10に照明源(図示せず)を選択的に配置することにより、照明することができる。イメージの合計輝度は、個々のソースポイント10各々からの輝度を合計したものである。照明パターンは(数学的意味で)実数であり、したがって照明は一様な対称性を有していなければならない。ソースポイントは、イメージ面上の断片化ポイントで正規化したイメージログ傾斜(NILS)を強化するよう選択することが好ましい。断片化ポイントは、通常、イメージ面上でλ/2NAより小さいポイントであることが知られている。
図1Bは、典型的なDRAMマスクパターン(「れんが壁」と呼ばれる)での照明源の使用を示す。図7Aは、使用可能の「長いれんが壁パターン」を示す。図1Bでは、投影光学機器の入射ひとみにおける開口数(NA)が0.8の状態にて、λ/800の照明で190nmピッチのマスク上にれんが壁パターンを照明することにより生じたイメージの一部が図示されている。図1Bから分かるように、明るい領域は、NILSを上げるイメージ輝度を表し、暗い領域は、NILSを低下させるイメージ輝度を表す。最も高いNILSを提供するなど、最高の結果を提供する照明ソースポイントを選択し、照明源の形状を最適化することが好ましい。
照明源の最適化を実行する例示的プロセスを図2に示す。このプロセスの照明源の最適化は、非線形の制約がある線形であることが好ましい。マスク透過の大きさおよび位相を、本発明により最適化することが好ましい。
図2のステップS1で示すように、ユーザは、選択されたセル(例えば所望パターン上の領域)と被評価断片化ポイント(x,y)(図9A参照)を選択することが好ましい。ステップS2で示したプロセスでは、マイクロプロセッサが、各照明装置ポイント(α,β)および各断片化ポイント(x,y)で輝度およびNILSを計算することが好ましい。つまり、マイクロプロセッサがI(α,β;x,y)およびNILS(α,β;x,y)を計算する。図2のステップS3でも図示されているように、マイクロプロセッサはツァイスの照明システムなど、照明システムの仕様(図2で「ツァイス仕様」として識別)を使用して、ガウス畳み込みを実行し、最小ひとみ充填率(例えば10%)、最小リング幅(例えば0.2)を決定し、輝度を所定の値にする。最適化プロセスがステップS4に図示され、ここでは断片化ポイント(x,y)で最小NILSを最大にする照明ポイント(α,β)を選択する。ステップS5で示すように、各照明ポイントおよび各断片化ポイントにおける輝度I(α,β;x,y)およびNILS(α,β;x,y)を、各断片化ポイントで最小NILSを最大にする選択照明ポイントによる輝度およびNILSと合計することが好ましい。ステップS6で示すように、所望の輝度の各断片化ポイント(x,y)でNILSを最大にする最適照明源を決定する。

したがって、上記で検討した図2のプロセスの好ましい実施例では、照明の輝度および形状を変更して、ユーザが選択した断片化ポイントにて最小ILSを最大にしながら、断片化ポイントでの輝度を所定の輝度範囲内にするイメージを、イメージ面に形成することができる。
図3は、本発明による例示的なマスク最適化プロセスを示す。ホプキンス描像として知られる技術を使用することができ、NILSを最大にするようひとみを変化させることにより、ログ傾斜の最大化を試みる。アッベ描像も使用できることが、当業者には理解される。アッベ描像では、イメージをポイント毎に生成し、イメージを加算して、最後にソースについて積分することが、当業者には理解される。アッベ描像は一般に、空間的に一貫していないと考えられている。ホプキンス描像では、最初にソースについて積分し、伝達関数を取得することが、当業者には理解される。いったん透過交差係数(TCC)からマスクを最適化して、スキャナおよびステッパ光学機器全体を記述した方が簡単なこともある。マスクを最適化するには、固有値が急速に減少して、幾つかの固有関数を有するTCCを表す。
図3は、ホプキンス描像を使用したTCCの生成も示す。TCCは、照明ひとみと投影ひとみとの自己相関である。図3は、投影ひとみが(mλ/PxNA,nλ/PyNA)でセンタリングし、投影ひとみの複素共役が(−pλ/PxNA,−qλ/PyNA)でセンタリングした状態で、(0,0)にセンタリングされた照明ひとみの自己補正を示し、ここでNAは投影光学機器の開口数を表し、λは照明源の波長を表す。
ホプキンス描像を実施する際は、最初にソース上で積分を実行し、図3のTCC(m,n,p,q)で示す伝達交差係数(TCC)を形成する。TCCは、照明ひとみと投影ひとみとの自己相関であり、4次元(4D)関数である。次のステップは、TCCを対角化して、問題を2次元関数の和に還元することである。この2次元関数は、1組の直交固有関数であり、ここで各固有関数は固有値で重み付けする。つまりより高い固有値を有する固有関数の方が、イメージに対して大きい影響力を有する。この固有関数は、1組のイメージカーネルを形成し、これはオブジェクト面におけるイメージの計算に使用される。この対角化演算は、NTI NanosurferまたはMG Calibreで使用する特異値分解など、既知の関数で実行することができる。校正したMT Kernelも使用できることが当業者には理解される。
図4は、理想的/最適マスクを取得するために本発明によりマスク最適化を実行する例示的プロセスを示す。理想的マスク透過の最適化は非線形であるが、理想的マスク透過からCPLマスク実装への変換は線形プロセスである。図4に示すプロセスでは、最適化が非線形であるので、収束を加速する周波数領域で理想的マスクを最適化する。図4のステップS21で示すように、ユーザは、セル(例えば所望のパターン上の領域)および断片化ポイント(x,y)を選択して、NILSを最大にし、輝度を最大および最小にする。次に、マイクロプロセッサがTCC(m,n,p,q)を計算し(ステップS22)、TCCを対角化してN個のカーネルλΦ(m,n)にし(ステップS23)、各カーネルiのイメージ輝度を計算する(ステップS24)。各カーネルiのイメージ輝度の計算は、式1に従い実行することができる。


好ましい実施形態では、CPLマスク最適化に対してマスク透過範囲を選択する。低域フィルタリング後のイメージ再構成のギブス現象により、透過は1より上または−1より下で可能である。マスク透過範囲は、減衰移相マスク(PSM)に合わせてさらに改造することができる。減衰するPSM(AttPSM)の場合、マスク透過製造可能範囲は、

になり、ここでTは移相マスクの透過率である。
マイクロプロセッサは、ステップS27で示すように、イメージ面の輝度を所定の値にするか、所定値より低い輝度を最小にする、所定値より高い輝度を最大にする、またはマスク製造性の制約に適合するため使用できる最適化の制約も受け取る。所定値は、最高イメージログ傾斜(ILS)を提供する輝度として選択することが好ましい。マスク透過を製造可能な範囲に制限する最適化の例示的制約を、式2で示す。
マイクロプロセッサは、マスクO(m,n)の回折次数を変化させ、例えば回折次数の大きさおよび位相を変化させることにより、ステップS25で示すように断片化ポイント(x,y)にてNILSを最大にして、マスク透過の最適化を実行することが好ましい。ステップS25の最適化の結果を、ステップS26で示すように、各カーネルiの計算したイメージ輝度と合計すると、ステップS28で示すように理想的な最適マスク回折次数O(m,n)が提供される。次に、逆フーリエ変換を実行して、ステップS29で示すように計算を周波数領域から空間領域へ変換し、ステップS30で示すように空間領域で最適透過マスクo(x,y)を取得する。
図5Aおよび図5Bで示すように、マスクを最適化する際には、追加のマスクの制約を考察してもよい。回折次数は、実数成分と虚数成分によって評価し、式3で表すことができる。
式3は、マスクが数学的意味で実であることを保証する。実数マスクは0°と180°の透過位相を有する。
実数回折次数の数xは、式4で特徴付けることができる。

虚数回折次数の数yは、式5で特徴付けることができる。
しかし、マスクは実数でなければならず、このことは最適化する次数をx+yに限定し、投影光学機器の入射ひとみは、最高回折次数をブロックすることにより使用できる回折次数の数を制限する。したがって、使用できる水平回折次数の最大数mは、式6で表すことができる。

ここでmは水平回折次数の数、σmaxは照明源からの光線の分布の半径方向範囲、λは照明源の波長、Pxは反復セルのx方向でのピッチ、NAは投影光学機器の開口数である。
使用できる垂直回折次数の数nは、式7で表すことができる。

ここでnは垂直回折次数の数、σmaxは照明源からの光線の分布の半径方向範囲、λは照明源の波長、Pyは反復セルのy方向でのピッチ、NAは投影光学機器の開口数である。
好ましい実施例では、ピッチPのxおよびyの定義は、x軸がy軸と直交するデカルト座標系の軸線である。しかし、座標系は、原点で交差する任意の2本の線g1およびg2が線形座標系を描く、つまりg1およびg2が必ずしも直交する必要がない任意の線形座標系でよい。このような非直交座標系では、Pxが軸線g1に沿ったピッチを描き、Pyが軸線g2に沿ったピッチを描く。
したがって、図4で示すプロセスの好ましい実施例では、上記で検討したように、回折次数の大きさおよび位相を変化させて、ユーザの選択した断片化ポイントにおいて最小ILSを最大にしながら、断片化ポイントでの輝度を所定の輝度範囲内にするイメージを、イメージ面に形成することができる。
図2に示す照明源の最適化は、図4に示すマスクの最適化と同時に実行してよい。したがって、照明の輝度および形状と回折次数の大きさおよび位相を同時に変化させ、ユーザの選択した断片化ポイントにおいて最小ILSを最大にしながら、断片化ポイントでの輝度を所定の輝度範囲内にするイメージを、イメージ面に形成することができる。
図4の例示的プロセスで決定した理想的な最適透過マスクは、図6で示すプロセスで示したように、CPLマスクなどの実際のマスクで実施するよう変換することができる。図6のステップS31で示すように、図4に示したプロセスに従い決定できる理想的な最適透過マスクを、変換するため設ける。プロセスでは、暗いフィールドのマスクを使用して、ステップS32で示すように開始することが好ましい。最小透過領域を位置決めして、−1に割り当て(ステップS33)、最大透過領域も位置決めして、+1に割り当てる(ステップS34)。好ましくはマスクマーカの最小フィーチャサイズに設定されたサイズを有する基本的な長方形を、位置決めした最小透過領域に割り当て、所望の位置でセンタリングする(ステップS35)。同様に、基本的な長方形を、最大透過の位置決め領域に割り当て、センタリングする(ステップS36)。割り当てた−1および+1の値で、最適化に必要な回折次数は−1*floor{[Px(σmax+1)NA]/λ}で開始し、+1*floor{[Px(σmax+1)NA]/λ}で終了する。したがって、使用できる水平回折次数の最大数mは、

で表すことができ、

は、使用できる垂直回折次数の最大数nを表すことができる。ステップS37で示すように、−1および+1の長方形の縁を変更して、最適回折次数O(m,n)に一致させることができる。最適CPLマスクOCPL(x,y)は、ステップS38で示すように形成することができる。
図6に示すプロセスでは、マスク変換は線形である。しかし、摂動モデルにより回折次数を修正することにより、CPLの縁効果も考慮に入れることができる。摂動モデルでは、マスクの縁を、0、+1または−1ではない透過を有する局所領域で置換する。これらの領域により、スカラーマスクがCPLマスクからのベクトル縁効果をエミュレートすることができる。J.Tirapu-Azpiroz、E.Yablonovitchの「Fast evaluation of Photomask, Near-Fields in Sub-Wavelength 193nm Lithography」(SPIE議事録、vol.5377(2004))、およびK.Adam、A.Neureutherの「Simplified Models For Edge Transitions In Rigorous Mask Modeling」(SPIE議事録、vol.4346(2001))のpp.331〜344で開示されているように、多くの摂動モデルを使用できることが当業者には理解される。
図7Aは、例示的なDRAMマスクパターンを示し、これは一般に長いれんが壁と呼ばれる。図7Bは、本発明の原理により獲得された図7Aのマスクの最適照明源を示す。照明源およびCPLマスクを、λ/800、NA=0.8および190nmのピッチ(kl=0.306)について最適化する。ソース照明は、図2で概略したアルゴリズムを使用して、長いれんが壁について最適化したものである。y軸上にある照明極は、長いれんがの端でNILSを改善するのに役立ち、x軸上にある照明極は、れんが間でNILSを改善するのに役立つ。
図8Aから図8Cは、様々なマスクの回折次数を示す。図8Aは、AttPSMを使用したマスクの元の回折次数を示す。図8Bは、本発明の図4に示したプロセスに従い決定した理想的な最適回折次数を示す。図8Cは、本発明の図6で示したプロセスによるCPLマスクでの最適回折次数の結果を示す。最適回折次数によって、さらに多くのエネルギがより高い次数(±2,0)および(±1,±3)に入る。例えば、図8Aにおける(±1,±3)の輝度は、図中右側の輝度目盛りにおける0付近のグレー領域に対応しているのに対し、図8B及び図8Cにおける(±1,±3)の輝度は、同目盛りにおける(グレー領域よりも高い)白領域に対応している。CPLマスクが、最適回折次数をほぼ同一に表せることが、当業者には理解される。

図9Aから図9Cは、最適照明の最適マスク(図9A)への適用を示す。図9Aでは、「*」ポイントがNILS最適化ポイントを特定し、「+」ポイントが輝度を最大にすべきポイントを特定し、「−」ポイントが、輝度を最小にすべきポイントを特定する。NILS最適化は、マスクフィーチャの印刷時に高いコントラストを獲得するため、イメージ面における輝度の傾斜を可能な限り大きくしようとする。NILSは、マスクフィーチャに沿って決定されたNILS最適化ポイントに適した設定値内であることが好ましい。CPLマスクは3つの位相値、つまり+180°、0°および−180°を有することが、当業者には理解される。図8Cに示したCPLマスクは、定量化したCPLマスク透過を形成するため、最適マスク透過を定量化することにより形成される。
図10Aおよび図10Bおよび図11Aおよび図11Bは、CPLマスクと8%AttPSM(減衰移相マスク)間の空中イメージ比較を示す。図10Aでは、CPLマスクをヘクサポール照明で露光した。図10Bでは、PSMマスクをヘクサポール照明で露光した。図10Aおよび図10Bで示すように、コントラストおよびNILSは、PSMマスクよりCPLマスクでの線間ではるかに良好である。CPLは生産に値するプロセスマージンを有するが、8%の解像度はそれほど好ましくない。しかし、CPLはわずかなネッキングを有し、これはさらに最適化ポイントを加えることによって修正可能である。
また、図11Aで示すように、8%AttPSMのヘクサポール照明装置は、図11Bに示した環状照明に対するNILSの改善も示す。しかし、ヘクサポール照明装置でCPLを使用することにより、より大きいプロセスマージンを獲得することができる。
周波数領域でのマスクの最適化は、最適化問題のサイズを制限し、収束を加速する。最適回折次数からの最適CPLマスクの再構築は、周波数領域での線形問題である。プロセスウィンドウの改善は、全構造の最適回折次数で最適であり、これはスキャナおよびウェハトポロジにおける焦点および露光変動の効果を最小にすることができる。本明細書で使用するプロセスウィンドウとは、焦点深さ(DOF)の関数としての露光寛容度(EL)の量である。最適CPLでのプロセスウィンドウの改善も、最適になり得る。CPLを使用し、低いkl係数でプロセスウィンドウを改善することができる。本明細書で使用するkl係数とは、CD*NA/λで定義することができ、ここでCDは印刷されるフィーチャの臨界寸法、λは照明源の波長である。また、照明の最適化を最初に実行する必要はない。本発明を使用して、既存のOAI(クエーサ、cクワッド(45°回転したクエーサ照明装置のように、デカルト座標xおよびy軸上に極がある4極照明装置)、環状照明)でマスクを最適化することができる。また、本発明では、1回の露光または2回の露光(1つまたは2つのマスク)について、または2トーンのマスク(バイナリまたはAttPSM)について、マスクを最適化することができる。最も理想的な透過マスクは、本発明によるCPLで提示することができる。

図12Aおよび図12Bは、ソースおよびCPLマスクを本発明の原理により最適化してある例示的な「短いれんが壁」パターンを示す。図12Bは、図2に示すような本発明の原理により獲得された図12Aのパターンの最適照明源を示す。ソースおよびCPLマスクは、λ/800、NA=0.8および190nmのピッチについて最適化されている。この場合も、コントラストおよびNILSを観察することができた。
図13Aから図13Cは、図12Aの例示的マスクの回折次数を示す。図13Aは、AttPSM7マスクでの元の回折次数を示し、図13Bは、本発明の図4で示したプロセスに従い決定した理想的な最適回折次数を示す。図13Cは、本発明の図4で示したプロセスによるCPLマスクでの最適回折次数の実施例を示す。最適回折次数によって、さらに多くのエネルギが(±1,±1)領域に入ることが、当業者には理解される。図13Bおよび図13Cで示すように、CPLマスクは、最適回折次数をほぼ同一に表すことができる。
図14Aから図14Dは、本発明の原理に従い最適マスクを生成するための基本領域の縁の使用を示す。図14Aは、図12Aの例示的な短いれんが壁マスクを示す。図14Bは、図14Aのマスクの最適マスク透過を示す。図14Cは、アーチ状モデリング技術を使用して、最適マスク透過にさらに近く基本領域の縁をマッピングすることを示す。図14Dは、基本的長方形を使用して、最適マスク透過をマッピングすることを示す。図14Cおよび図14Dで示すように、2つのCPLを導入すると、ほぼ同じ回折次数のスペクトルになる。基本領域の縁を使用すると、図のカウントを少なくすることができ、場合によってはマスクをさらに簡単に検査することができる。

図15Aから図17Bは、図12Aの短いれんが壁パターンを使用した空中イメージ比較を示す。図15では、CPLマスクおよび8%AttPSMマスクを両方ともダイポール照明で露光した。図15Aおよび図15Bで見られるように、コントラストおよびNILSは、PSMマスクよりCPLでの線間および線端ではるかに良好である。CPLは生産に値するプロセスマージンを有するが、8%の解像度は好ましくないようである。これも図15Aおよび図15Bで示すように、CPLマスクは8%AttPSMより良好に領域を維持する。
図16Aおよび図16Bは、最適透過の取得とCPLマスクの使用とのトレードオフを示す。図16Aおよび図16Bで示すように、最適透過とCPLにはほぼ違いがない。したがって、CPLマスクは、本発明の原理によるマスクの最適化に、好ましい解決法を提供する。
図17Aは、ダイポール照明で露光した8%AttPSM間の空中イメージ比較を示し、図17Bは環状照明の使用を示す。図17Aおよび図17Bで示すように、NILSは、環状照明と比較すると、ダイポール照明の方が良好である。環状照明は、線端(EOL)でより良好なNILSを有する。しかし、NILSは、環状照明の場合、ブリッジングせずにれんがを印刷するほど十分に大きくはない。
図18Aから図23Bは、図18に示す長方形の接触アレイマスクに適用した本発明の原理を示す。図18Aおよび図18Bでは、ソースおよびCPLマスクをλ/800、NA=0.8および190nmのピッチについて最適化している。この場合も、コントラストおよびNILSを観察することができる。
図19Aから図19Cは、図18Aの例示的マスクの回折次数を示す。図19Aは、AttPSMマスクの元の回折次数を示し、図19Bは、本発明の原理により決定した最適回折次数を示し、図19Cは、CPLマスクで実現した最適回折次数を示す。最適回折次数によって、さらに多くのエネルギがより高い次数(±1,0)、(0,±1)および(0,±2)に入ることが、当業者には理解される。図19Bおよび図19Cで示すように、CPLマスクは、最適回折次数をほぼ同一に表すことができる。
図20Aから図20Cは、本発明の原理により定量化したCPLマスクを生成する基本縁の使用を示す。図20Aは、図19Aの例示的な長方形接触アレイを示す。図20Bは、図20Aのマスクの最適マスク透過を示す。図20Cは、基本的長方形を使用して、最適マスク透過をマッピングし、定量化したCPLマスクを生成することを示す。
図21Aから図23Bは、図19Aの長方形接触アレイマスクを使用した空中イメージ比較を示す。図21AではCPLマスクが、図21Bでは8%AttPSMマスクが両方とも、ヘクサポール照明で露光されている。図21Aおよび図21Bで見られるように、ピーク輝度およびNILSは、CPLマスクの方が良好である。CPLマスクは、生産に値するDOF(焦点深さ)を有するが、8%AttPSMの解決法は好ましいほど十分なDOFを有していないようである。これも図21Aおよび図21Bで示すように、CPLマスクは8%AttPSMより良好に領域を維持する。
図22Aおよび図22Bは、最適透過の獲得とCPLマスクの使用とのトレードオフを示す。図22Aおよび図22Bで示すように、CPLと比較すると、最適透過レチクルの方がNILSがわずかに良好なようである。
図23Aおよび図23Bは、最上列のヘクサポール照明と最低列の環状照明で露光した8%AttPSM間の空中イメージ比較を示す。図23Aおよび図23Bで示すように、ピーク輝度およびNILSは、環状照明と比較するとヘクサポール照明の方が良好である。環状照明でのピーク輝度は、焦点を通して印刷するには十分でない。
図24Aから図29Bは、図24Aで示したスタガード長方形接触アレイに適用した本発明の原理を示す。図24Aおよび図24Bは、ソースおよびCPLマスクを本発明の原理に従い最適化してある例示的なスタガード長方形接触アレイを示す。図24Aおよび図24Bでは、ソースおよびCPLマスクが、λ/800、NA=0.8および190nmのピッチについて最適化されている。この場合も、コントラストとNILSを観察することができる。
図25Aから図25Cは、図24Aの例示的マスクの回折次数を示す。図25Aは、AttPSMマスクでの元の回折次数を示し、図25Bは、本発明の原理により決定された最適回折次数を示し、図25Cは、CPLで実現した最適回折次数を示す。最適回折次数によって、さらに多くのエネルギが(0,+2)および(±1,±1)領域に入ることが、当業者には理解される。図25Bおよび図25Cで示すように、CPLマスクは、最適回折次数をほぼ同一に表すことができる。
図26Aから図26Cは、本発明の原理により定量化したCPLマスクを生成する基本縁の使用を示す。図26Aは、図24Aの例示的なスタガード長方形接触アレイを示す。図26Bは、図24Aのマスクの最適マスク透過を示す。図26Cは、基本的長方形を使用して、最適マスク透過をマッピングし、定量化したCPLマスクを生成することを示す。図26Aから図26Cでは、接触アレイの側部で180°のアウトリガを使用することができる。
図27Aから図29Bは、図24Aの長方形接触アレイマスクを使用した空中イメージ比較を示す。図27Aおよび図27Bでは、それぞれCPLマスクおよびAttPSMマスクを両方ともカッド照明で露光している。図27Aおよび図27Bで見られるように、ピーク輝度およびNILSは、PSMマスクよりCPLマスクの方が良好である。CPLマスクは、8%AttPSMマスクと比較すると、露光寛容度および焦点深度も大きい。
図28Aおよび図28Bは、最適透過の獲得とCPLマスクの使用とのトレードオフを示す。図28Aおよび図28Bで示すように、最適透過とCPLマスク表示との間にはほとんど違いがない。CPLマスクは、本発明の原理によるマスク最適化に対して好ましい解決法を提供する。
図29Aおよび図29Bは、最上列のクワッド照明と最低列の環状照明で露光した8%AttPSM間の空中イメージ比較を示す。図29Aおよび図29Bで示すように、ピーク輝度およびNILSは、環状照明よりクワッド照明の方が良好である。環状照明でのピーク輝度は、焦点を通して印刷するには十分でない。
図30は、本発明の助けにより設計したマスクとともに使用するのに適切なリソグラフィ投影装置を概略的に示す。装置は、
− 特別な本実施形態において放射線源LAも備えた、放射線の投影ビームPB(例えばDUV放射線)を供給する放射線システムEx、ILと、
− マスクMA(例えばレクチル)を保持するマスクホルダを備え、かつ、品目PLに対して正確にマスクの位置決めを行う第一位置決め手段に連結を行った第一オブジェクト・テーブル(マスクテーブル)MTと、
− 基板W(例えば、レジスト塗布シリコンウェハ)を保持する基板ホルダを備え、かつ、品目PLに対して正確に基板の位置決めを行う第二位置決め手段に連結を行った第二オブジェクト・テーブル(基板テーブル)WTと、
− マスクMAの照射部分を、基板Wの目標部分C(例えば、1つあるいはそれ以上のダイから成る)に描像する投影システム(「レンズ」)PL(例えば反射屈折レンズシステム)と
により構成されている。
ここで示すように、装置は透過タイプである(つまり透過性マスクを有する)。しかし、一般的には、例えば(反射マスクを有する)反射タイプのものも可能である。あるいは、本装置は、マスクを使用する代わりに、プログラマブルミラーアレイまたはLCDマトリクスといったような、他の種類のパターニング手段も使用してよい。
ソースLA(例えば水銀ランプまたはエキシマレーザ)は放射線のビームを作り出す。このビームは、直接的に、あるいは、例えばビームエキスパンダーExといったような調整手段を横断した後に、照明システム(照明装置)ILに供給される。照明装置ILは、ビームにおける輝度分布の外部かつ/あるいは内部放射範囲(一般的にそれぞれ、σ−outerおよびσ−innerに相当する)を設定する調整手段AMから成る。さらに、これは一般的に積分器INおよびコンデンサCOといったような、他のさまざまな構成要素を備える。このようにして、マスクMAに照射するビームPBは、その断面に亘り所望する均一性と輝度分布とを有する。
図30に関して、ソースLAはリソグラフィ装置のハウジング内にある(これは例えばソースが水銀ランプである場合に多い)が、しかし、リソグラフィ投影装置から離して配置することも可能であることを注記する。この場合、ソースLAが作り出す放射線ビームは(適した誘導ミラーにより)装置内に導かれる。この後者のシナリオでは、ソースLAがエキシマレーザ(例えばKrF、ArFまたはF2レイジングに基づく)である場合が多い。照明源の輝度は、ミラーアレイまたはLCDで作り出す事もできる。本発明は少なくともこれら両方のシナリオを網羅するものである。
続いてビームPBはマスクテーブルMT上に保持されているマスクMAに入射する。ビームPBはマスクMAを横断して、基板Wの目標部分C上にビームPBの焦点を合わせるレンズPLを通過する。第二位置決め手段(および干渉計測手段IF)により、基板テーブルWTは、例えばビームPBの経路における異なる目標部分Cに位置を合わせるために正確に運動可能である。同様に、第一位置決め手段は、例えばマスクライブラリからマスクMAを機械的に検索した後に、あるいは走査運動の間に、ビームPBの経路に対してマスクMAを正確に位置決めするように使用可能である。一般的に、オブジェクトテーブルMTおよびオブジェクトテーブルWTの運動はロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)にて行われる。これについては図27に明示を行っていない。しかし、ウェハステッパの場合(走査ステップ式装置とは対照的に)、マスクテーブルMTはショートストロークアクチュエータに連結されるだけであるか、あるいは固定される。
ここに表した装置は2つの異なるモードにて使用可能である。
− ステップモードにおいては、マスクテーブルMTは基本的に静止状態に保たれている。そして、マスクのイメージ全体が1回の作動(すなわち1回の「フラッシュ」)で目標部分Cに投影される。次に基板テーブルWTがx方向および/あるいはy方向にシフトされ、異なる目標部分CがビームPBにより照射され得る。
− 走査モードにおいては、基本的に同一シナリオが適用されるが、但し、ここでは、所定の目標部分Cは1回の「フラッシュ」では露光されない。代わって、マスクテーブルMTが、速度vにて所定方向(いわゆる「走査方向」、例えばy方向)に運動可能であり、それによってビームPBがマスクのイメージを走査する。これと同時に、基板テーブルWTが速度V=Mvで、同一方向あるいは反対方向に運動する。ここで、MはレンズPLの倍率(一般的にM=1/4あるいは1/5)である。このように、解像度を妥協することなく、比較的大きな目標部分Cを露光することが可能となる。
本明細書で開示する概念は、波長以下のフィーチャを描像する任意の一般的な描像システムをシミュレートするか、数学的にモデル化することができ、ますます小さなサイズになる波長を生成することができる新規な描像テクノロジに特に有用である。既に使用されている新規なテクノロジには、ArFレーザを使用して193nmの波長を、さらにはフッ素レーザを使用してわずか157nmの波長を生成することができるEUV(極紫外線)リソグラフィがある。さらに、EUVリソグラフィは、シンクロトロンを使用するか、0〜5nmの範囲内の光子を生成するために材料(固体またはプラズマ)に高エネルギ電子を当てることにより、20〜5nmの範囲内で波長を生成することができる。大部分の材料はこの範囲では吸収性であるので、モリブデンおよびシリコンを複数積み重ねた反射性ミラーで照明を生成することができる。複数積み重ねミラーは、40層対のモリブデンおよびシリコンを有し、各層の厚さは1/4波長である。X線リソグラフィでは、さらに小さい波長を生成することもできる。通常は、シンクロトロンを使用してX線波長を生成する。大部分の材料はX線波長で吸収性であるので、吸収性材料の薄い部片は、フィーチャが印刷される場所(プラスのレジスト)または印刷されない場所(マイナスのレジスト)を画定する。
本明細書で開示する概念は、シリコンウェハなどの基板上の描像に使用できるが、開示された概念は、例えばシリコンウェハ以外の基板への描像に使用するなど、任意のタイプのリソグラフィ描像システムで使用することができる。
コンピュータシステムのソフトウェアの機能性には、実行可能なコードを含むプログラミングがあり、上述した描像モデルを実行するために使用することができる。ソフトウェアコードは、汎用コンピュータで実行可能である。使用時には、コードおよび場合によっては関連するデータレコードを、汎用コンピュータのプラットフォーム内に記憶する。しかし、他の場合には、ソフトウェアを他のロケーションに記憶するか、適切な汎用コンピュータシステムにロードするために転送する、あるいはその両方を実行することができる。したがって、以上で検討した実施形態は、少なくとも1つのマシンで読み出し可能な媒体が保持する1つまたは複数モジュールのコードの形態で、1つまたは複数のソフトウェア製品を含む。コンピュータシステムのプロセッサでこのようなコードを実行すると、プラットフォームは、基本的に本明細書で検討し、図示した実施形態で実行する方法で、カタログおよび/あるいはソフトウェアのダウンロード機能を実行することができる。
本明細書では、コンピュータまたはマシンで「読み出し可能媒体」などの用語は、実行するためにプロセッサに命令を提供する動作に参加する任意の媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、および伝送媒体など、任意の形態をとることができるが、これに制限されない。不揮発性媒体には、例えば光学または磁気ディスクがあり、上述したサーバプラットフォームの一つとして動作するコンピュータ内のいずれかの記憶デバイスなどがある。揮発性媒体には、このようなコンピュータプラットフォームのメインメモリなど、動的メモリがある。物理的伝送媒体には、同軸ケーブル、コンピュータシステム内のバスを含むワイヤなどの銅線および光ファイバがある。搬送波伝送媒体は、電気または電磁信号、または高周波(RF)および赤外線(IR)データ通信中に生成されるような音波または光波の形態をとることができる。したがって、コンピュータで読み出し可能な媒体の一般的形態には、例えばフロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意の他の磁気媒体、CD−ROM、DVD、任意の他の光学媒体、パンチカードや紙テープなどのそれほど一般的に使用されていない媒体、穴のパターンを有する他の任意の物理的媒体、RAM、PROM、およびEPROM、FLASH−EPROM、他の任意のメモリチップまたはカートリッジ、搬送波伝送データまたは命令、このような搬送波を伝送するケーブルまたはリンク、コンピュータがプログラミングコードおよび/あるいはデータを読み出すことができる任意の他の媒体がある。このようなコンピュータで読み出し可能な媒体の形態の多くは、1つまたは複数の命令の1つまたは複数のシーケンスを、実行するためにプロセッサに搬送することに関与する。
図31に示すように、例示的マスク最適化ユニットは、入力ユニット1003から入力を受信するプロセッサ1000を含むことができる。プロセッサ1000は、従来通りのマイクロプロセッサでよく、あるいはEEPROMまたはEPROMまたは組み立てた集積回路など、特別設計の処理ユニットでよい。入力1003は、キーボードまたはマウスなど、任意のタイプの電子入力デバイスでよく、あるいはメモリまたはインターネット接続でよい。プロセッサ1000は、図2から図6に示した処理を実行するプロトコルのような記憶済みプロトコルをROM1002およびRAM1001から検索し、RAM1001に情報を記憶することが好ましい。プロセッサ1000の計算結果は、ディスプレイ1004に表示するか、マスク組立ユニットに提供することができる。
本発明は、その精神または基本的特徴から逸脱することなく、他の特定の形態で実施することができる。したがって、本発明は、あらゆる点で例示的であり、制限的ではないと見なされ、本発明の範囲は、以上の説明ではなく、添付請求の範囲で示されるものであり、したがって請求の範囲と同意義の意味および範囲内にある変更はすべて、本発明に含まれるものとする。
図1Aおよび図1Bは、本発明によるアッベ描像を使用する例示的照明を示したものである。 本発明により照明の最適化を獲得する例示的プロセスを示したものである。 本発明による透過交差係数(TCC)の生成のグラフ表示を提供する。 本発明によりマスク最適化を実行する例示的プロセスを示したものである。 図5Aおよび図5Bは、本発明によるマスクの最適化の際に考察できる追加のマスクの制約を示したものである。 本発明により最適透過マスクをCPLマスクに変換する例示的プロセスを示したものである。 例示的DRAMマスクパターンを示したものである。 図7Aのマスクパターンとともに使用する最適照明源を示したものである。 図8Aから図8Cは、本発明によるCPLマスクの回折次数を示す様々なマスクの回折次数を示したものである。 図9Aから図9Cは、最適マスク(図9A)への最適照明の適用を示したものである。 図10Aおよび図10Bは、CPLマスクと8%AttPSM(移相マスク)間の空中イメージ比較を示したものである。 図11Aおよび図11Bは、それぞれヘクサポールおよび環状照明を使用したCPLマスクと8%AttPSM間の空中イメージ比較を示したものである。 例示的「短いれんが壁」パターンを示したものである。 本発明の原理によりパターンを生成するよう最適化された照明源を示したものである。 図13Aから図13Cは、図12Aの例示的マスクの回折次数を示したものである。 図14Aから図14Dは、本発明の原理により最適マスクを生成するための基本縁の使用を示したものである。 図15Aおよび図15Bは、図12Aの短いれんが壁パターンをCPLマスクおよびAttPSMマスクに使用した空中イメージ比較を示したものである。 図16Aおよび図16Bは、最適透過の取得とCPLマスクの使用とのトレードオフを示したものである。 図17Aおよび図17Bは、最上列のダイポール照明と最低列の環状照明で露光した8%AttPSM間の空中イメージ比較を示したものである。 長方形の接触アレイマスクに適用した本発明の原理を示したものである。 本発明により、図18Aのパターンを照明するため最適化されている照明源を示したものである。 図19Aから図19Cは、図18Aの例示的マスクの回折次数を示したものである。 図20Aから図20Cは、本発明の原理により定量化したCPLマスクを生成する基本縁の使用を示したものである。 図21Aおよび図21Bは、図19Aの長方形接触アレイマスクを使用した空中イメージ比較を示したものである。 図22Aおよび図22Bは、最適透過の獲得とCPLマスクの使用とのトレードオフを示したものである。 図23Aおよび図23Bは、最上列のダイポール照明と最低列の環状照明で露光した8%AttPSM間の空中イメージ比較を示したものである。 スタガード長方形接触アレイを示したものである。 本発明の原理によりパターンを生成するよう最適化されている照明源を示したものである。 図25Aから図25Cは、図24Aの例示的マスクの回折次数を示したものである。 図26Aから図26Cは、本発明の原理により定量化したCPLマスクを生成する基本縁の使用を示したものである。 図27Aおよび図27Bは、CPLマスクおよびAttPSMマスクを使用した空中イメージ比較を示したものである。 図28Aおよび図28Bは、最適透過の獲得とCPLマスクの使用とのトレードオフを示したものである。 図29Aおよび図29Bは、最上列のカッドと最低列の環状照明で露光した8%AttPSM間の空中イメージ比較を示したものである。 本発明の助けにより設計したマスクとともに使用するのに適切なリソグラフィ投影装置を概略的に示したものである。 本発明による例示的マスク最適化処理ユニットを示したものである。

Claims (10)

  1. 最適マスクを決定する方法であって、
    マスクパターンに提供された照明によって形成されるイメージのイメージ面で選択された断片化ポイントにおいて最小イメージログ傾斜を最大にし且つ該断片化ポイントでの輝度を所定の輝度範囲内にするイメージを、イメージ面に形成する回折次数の大きさおよび位相を決定することによって、マスクの最適回折次数を決定するステップと、
    決定されたマスクの最適回折次数に基づいて、最適なマスク透過特性を獲得するステップと、
    最適なマスク透過特性に基づいて、最適なマスクを決定するステップと、を含み、
    前記最適マスクを決定するステップが、
    最大透過領域および最小透過領域を位置決めするステップと、
    基本領域を、最大透過領域または最小透過領域上でセンタリングされた領域として割り当てるステップと、
    最適回折次数と一致させるため、各基本領域の縁を変化させるステップとを含み、
    各基本領域が、マスクの最小フィーチャサイズとほぼ等しい最小サイズを有する、
    方法。
  2. 最適な照明源および最適なマスクを獲得する方法であって、
    照明源から複数の照明ポイントおよび所定のマスクパターンへ照明を提供するステップと、
    所定のマスクパターンに提供された照明によって形成されるイメージのイメージ面で断片化ポイントを選択するステップと、
    各断片化ポイントで照明の輝度およびイメージログ傾斜を決定するステップと、
    選択された断片化ポイントにて最小イメージログ傾斜を最大にし且つ該断片化ポイントでの輝度を所定の輝度範囲内にするイメージを、イメージ面に形成する照明源の輝度および形状、並びに回折次数の大きさおよび位相を、最適照明源並びにマスクの最適回折次数として決定するステップと、
    決定されたマスクの最適回折次数に基づいて、最適なマスク透過特性を獲得するステップと、
    最適なマスク透過特性に基づいて、最適なマスクを決定するステップと、を含み、
    前記最適マスクを決定するステップが、
    最大透過領域および最小透過領域を位置決めするステップと、
    基本領域を、最大透過領域または最小透過領域上でセンタリングされた領域として割り当てるステップと、
    最適回折次数と一致させるため、各基本領域の縁を変化させるステップとを含み、
    各基本領域が、マスクの最小フィーチャサイズとほぼ等しい最小サイズを有する、
    方法。
  3. 最適なマスク透過特性を獲得するステップが、マスクの水平回折次数の最大数mを決定するステップを含み、該水平回折次数の最大数mが、

    に従い決定され、ここで
    xは反復セルのx方向でのピッチであり、
    λは照明源の波長であり、
    NAは投影光学機器の開口数であり、
    σmaxは照明源からの光線の分布の半径方向範囲である、
    請求項1又は2に記載の方法。
  4. 最適なマスク透過特性を獲得するステップが、マスクの垂直回折次数の最大数nを決定するステップを含み、該垂直回折次数の最大数nが、

    に従い決定され、ここで
    yは反復セルのy方向でのピッチであり、
    λは照明源の波長であり、
    NAは投影光学機器の開口数であり、
    σmaxは照明源からの光線の分布の半径方向範囲である、
    請求項1乃至3のいずれかに記載の方法。
  5. コンピュータが請求項1乃至4のいずれかに記載の方法を実行するための命令を含むコンピュータで読み出し可能な媒体。
  6. コンピュータが請求項5に記載の方法を実行するための命令を含むコンピュータで読み出し可能な媒体。
  7. マスクを最適化する装置で、
    所望のイメージパターンを入力する入力ユニットと、
    回折次数の大きさおよび位相を変化させて、マスクパターンに提供された照明によって形成されるイメージのイメージ面で選択した断片化ポイントにおいて最小イメージログ傾斜を最大にしながら、該断片化ポイントでの輝度を所定の輝度範囲内にするイメージを、イメージ面に形成するよう構成された処理ユニットと、を含み、
    前記処理ユニットがさらに、最大透過領域および最小透過領域を位置決めし、基本的領域を、最大透過領域または最小透過領域上にセンタリングされた領域として割り当てて、最適回折次数と一致させるため、各基本的領域の縁を変更することにより最適マスクを獲得するよう構成され、
    各基本的領域が、マスクの最小フィーチャサイズとほぼ等しい最小サイズを有する、装置。
  8. 最適照明源および最適マスクを取得する装置であって、
    ユーザの入力を受け取る入力ユニットと、
    照明源の輝度および形状と、回折次数の大きさおよび位相とを同時に変化させて、マスクパターンに提供された照明によって形成されるイメージのイメージ面で選択した断片化ポイントにおいて最小イメージログ傾斜を最大にしながら、該断片化ポイントでの輝度を所定の輝度範囲内にするイメージを、イメージ面に形成するよう構成された処理ユニットとを含み、
    処理ユニットがさらに、最大透過領域および最小透過領域を位置決めし、基本的領域を、最大透過領域または最小透過領域上にセンタリングされた領域として割り当てて、最適回折次数と一致させるため、各基本的領域の縁を変更することにより最適マスクを獲得するよう構成され、
    各基本的領域が、マスクの最小フィーチャサイズとほぼ等しい最小サイズを有する、装置。
  9. 処理ユニットがさらに、マスクの水平回折次数の最大数mを決定することによって、最適なマスク透過特性を獲得するよう構成され、該水平回折次数の最大数mが、

    によって決定され、ここで
    xは反復セルのx方向でのピッチであり、
    λは照明源の波長であり、
    NAは投影光学機器の開口数であり、
    σmaxは照明源からの光線の分布の半径方向範囲である、
    請求項7又は8に記載の装置。
  10. 処理ユニットがさらに、マスクの垂直回折次数の最大数nを決定することによって、最適なマスク透過特性を獲得するよう構成され、該垂直回折次数の最大数nが、

    によって決定され、ここで
    yは反復セルのy方向でのピッチであり、
    λは照明源の波長であり、
    NAは投影光学機器の開口数であり、
    σmaxは照明源からの光線の分布の半径方向範囲である、
    請求項7乃至9のいずれかに記載の装置。
JP2004132168A 2003-03-31 2004-03-31 ソースおよびマスクの最適化 Expired - Lifetime JP4731830B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45836503P 2003-03-31 2003-03-31
US458365 2003-03-31

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010068656A Division JP5371849B2 (ja) 2003-03-31 2010-03-24 ソースおよびマスクの最適化

Publications (2)

Publication Number Publication Date
JP2004312027A JP2004312027A (ja) 2004-11-04
JP4731830B2 true JP4731830B2 (ja) 2011-07-27

Family

ID=32851067

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004132168A Expired - Lifetime JP4731830B2 (ja) 2003-03-31 2004-03-31 ソースおよびマスクの最適化
JP2010068656A Expired - Lifetime JP5371849B2 (ja) 2003-03-31 2010-03-24 ソースおよびマスクの最適化

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010068656A Expired - Lifetime JP5371849B2 (ja) 2003-03-31 2010-03-24 ソースおよびマスクの最適化

Country Status (7)

Country Link
US (4) US20040265707A1 (ja)
EP (1) EP1465016A3 (ja)
JP (2) JP4731830B2 (ja)
KR (1) KR100760037B1 (ja)
CN (3) CN102645851B (ja)
SG (3) SG169901A1 (ja)
TW (1) TWI334511B (ja)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7480889B2 (en) * 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
TW594437B (en) * 2003-10-16 2004-06-21 Univ Nat Taiwan Science Tech Dynamic mask module
SG111289A1 (en) * 2003-11-05 2005-05-30 Asml Masktools Bv A method for performing transmission tuning of a mask pattern to improve process latitude
JP4528580B2 (ja) * 2004-08-24 2010-08-18 株式会社東芝 照明光源の設計方法、マスクパターン設計方法、フォトマスクの製造方法、半導体装置の製造方法、及びプログラム
CN101111850A (zh) * 2005-01-28 2008-01-23 Asml控股股份有限公司 用于基于全局优化的无掩模光刻光栅化技术的方法和系统
SG125232A1 (en) 2005-02-23 2006-09-29 Asml Masktools Bv Method, program product and apparatus for optimizing illumination for full-chip layer
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317506B2 (en) * 2005-03-29 2008-01-08 Asml Netherlands B.V. Variable illumination source
US7509620B2 (en) * 2005-03-31 2009-03-24 Intel Corporation Dual phase shift photolithography masks for logic patterning
JP4425239B2 (ja) * 2005-05-16 2010-03-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
US7707541B2 (en) 2005-09-13 2010-04-27 Luminescent Technologies, Inc. Systems, masks, and methods for photolithography
US7788627B2 (en) 2005-10-03 2010-08-31 Luminescent Technologies, Inc. Lithography verification using guard bands
US7921385B2 (en) 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
WO2007041701A2 (en) 2005-10-04 2007-04-12 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
WO2007044557A2 (en) 2005-10-06 2007-04-19 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US7493589B2 (en) 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7804646B2 (en) * 2006-01-31 2010-09-28 Asml Masktools B.V. Method for decomposition of a customized DOE for use with a single exposure into a set of multiple exposures using standard DOEs with optimized exposure settings
US7807323B2 (en) * 2006-04-11 2010-10-05 Kabushiki Kaisha Toshiba Exposure condition setting method, semiconductor device manufacturing method, and exposure condition setting program
US7954072B2 (en) * 2006-05-15 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
JP5235322B2 (ja) * 2006-07-12 2013-07-10 キヤノン株式会社 原版データ作成方法及び原版データ作成プログラム
US8644588B2 (en) * 2006-09-20 2014-02-04 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2008205338A (ja) * 2007-02-22 2008-09-04 Sony Corp 露光用マスク
US8682466B2 (en) 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US7818710B2 (en) * 2007-07-03 2010-10-19 Micron Technology, Inc. Method and system for lithographic simulation and verification
JP4402145B2 (ja) * 2007-10-03 2010-01-20 キヤノン株式会社 算出方法、生成方法、プログラム、露光方法及び原版作成方法
US7673278B2 (en) * 2007-11-29 2010-03-02 Tokyo Electron Limited Enhanced process yield using a hot-spot library
DE102008054582A1 (de) * 2007-12-21 2009-07-09 Carl Zeiss Smt Ag Mikrolithographische Projektionsbelichtungsanlage
US8082524B2 (en) 2008-04-15 2011-12-20 Luminescent Technologies, Inc. Mask patterns for use in multiple-exposure lithography
DE102008035320A1 (de) 2008-07-25 2010-01-28 Carl Zeiss Smt Ag Beleuchtungssystem für eine Mikrolithographie-Projektionsbelichtungsanlage, Mikrolithographie-Projektionsbelichtungsanlage mit einem solchen Beleuchtungssystem sowie Fourieroptiksystem
KR101386353B1 (ko) * 2008-05-09 2014-04-16 칼 짜이스 에스엠티 게엠베하 푸리에 광학 시스템을 포함하는 조명 시스템
DE102008023763A1 (de) 2008-05-09 2009-11-12 Carl Zeiss Smt Ag Beleuchtungssystem für eine Mikrolithographie-Projektionsbelichtungsanlage, Mikrolithographie-Projektionsbelichtungsanlage mit einem solchen Beleuchtungssystem sowie Fourieroptiksystem
WO2010005957A1 (en) * 2008-07-07 2010-01-14 Brion Technologies, Inc. Illumination optimization
US10025198B2 (en) 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
JP5086926B2 (ja) 2008-07-15 2012-11-28 キヤノン株式会社 算出方法、プログラム及び露光方法
US8181128B2 (en) * 2008-10-13 2012-05-15 Synopsys, Inc. Method and apparatus for determining a photolithography process model which models the influence of topography variations
CN101393386B (zh) * 2008-10-28 2010-12-01 清溢精密光电(深圳)有限公司 FPD掩膜版制作设备制作Reticle掩膜版的方法
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US20110047519A1 (en) 2009-05-11 2011-02-24 Juan Andres Torres Robles Layout Content Analysis for Source Mask Optimization Acceleration
US8786824B2 (en) * 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US8683396B2 (en) * 2009-07-22 2014-03-25 Synopsys, Inc. Determining source patterns for use in photolithography
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
US8797721B2 (en) 2010-02-02 2014-08-05 Apple Inc. Portable electronic device housing with outer glass surfaces
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
US8498469B2 (en) * 2010-03-01 2013-07-30 Synopsys, Inc. Full-field mask error enhancement function
NL2006091A (en) 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
US8234603B2 (en) * 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
NL2007303A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Process tuning with polarization.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
CN102096336A (zh) * 2010-12-31 2011-06-15 清华大学 一种确定光刻工艺的光源光照强度分布的方法
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
CN102169295A (zh) * 2011-06-02 2011-08-31 清华大学 确定光刻工艺的光源光照强度分布和掩膜版图形的方法
TWI457782B (zh) * 2011-06-15 2014-10-21 Himax Tech Ltd 資訊產生裝置及其操作方法
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
CN102323722B (zh) * 2011-09-09 2013-08-21 北京理工大学 基于Abbe矢量成像模型获取掩膜空间像的方法
CN102323721B (zh) * 2011-09-09 2013-08-21 北京理工大学 基于Abbe矢量成像模型获取非理想光刻系统空间像的方法
JP6169114B2 (ja) * 2012-03-14 2017-07-26 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
JP5869942B2 (ja) * 2012-04-03 2016-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation マスクのデザイン方法、プログラムおよびマスクデザインシステム
CN104395828B (zh) 2012-05-31 2018-02-02 Asml荷兰有限公司 基于梯度的图案和评价点选择
CN102707582B (zh) * 2012-06-18 2013-11-27 北京理工大学 一种基于Abbe矢量成像模型的光源-掩模同步优化方法
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US8954898B2 (en) 2013-03-15 2015-02-10 International Business Machines Corporation Source-mask optimization for a lithography process
CN103631096B (zh) * 2013-12-06 2015-05-20 北京理工大学 基于Abbe矢量成像模型的光源-掩模-偏振态联合优化方法
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
US10416566B2 (en) 2015-12-14 2019-09-17 Asml Netherlands B.V. Optimization of source and bandwidth for new and existing patterning devices
DE102017106984B4 (de) 2017-03-31 2022-02-10 Carl Zeiss Microscopy Gmbh Lichtmikroskop und Verfahren zum Betreiben eines Lichtmikroskops mit optimierter Beleuchtungsgeometrie
US10599046B2 (en) * 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
CN111433674B (zh) 2017-10-19 2024-01-09 西默有限公司 在单次光刻曝光通过过程中形成多个空间图像
CN111929983A (zh) * 2020-06-28 2020-11-13 中国科学院上海光学精密机械研究所 基于多边形区域表征的全芯片光源掩模优化关键图形筛选方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5465220A (en) * 1992-06-02 1995-11-07 Fujitsu Limited Optical exposure method
JP2848425B2 (ja) * 1992-06-02 1999-01-20 富士通株式会社 光露光方法
US5300786A (en) * 1992-10-28 1994-04-05 International Business Machines Corporation Optical focus phase shift test pattern, monitoring system and process
JP3409493B2 (ja) * 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
KR100190762B1 (ko) * 1995-03-24 1999-06-01 김영환 사입사용 노광마스크
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US5563566A (en) 1995-11-13 1996-10-08 General Electric Company Cryogen-cooled open MRI superconductive magnet
WO1997033205A1 (en) * 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
EP0890136B9 (en) * 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
EP0900412B1 (en) 1997-03-10 2005-04-06 ASML Netherlands B.V. Lithographic apparatus comprising a positioning device having two object holders
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6128067A (en) * 1998-04-28 2000-10-03 Kabushiki Kaisha Toshiba Correcting method and correcting system for mask pattern
DE59910829D1 (de) * 1999-01-29 2004-11-18 Imip Llc Wilmington Maske und Verfahren zum Ändern eines Helligkeitsprofils einer fotografischen Abbildung beim fotografischen oder digitalen Kopieren
JP2000232057A (ja) 1999-02-10 2000-08-22 Hitachi Ltd レジストパターンのシミュレーション方法およびパターン形成方法
TW587199B (en) * 1999-09-29 2004-05-11 Asml Netherlands Bv Lithographic method and apparatus
US6710930B2 (en) * 1999-12-01 2004-03-23 Nikon Corporation Illumination optical system and method of making exposure apparatus
US6335130B1 (en) * 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
CN1110849C (zh) * 2000-08-29 2003-06-04 中国科学院微电子中心 超大规模集成电路的制造方法
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
JP2002328460A (ja) * 2001-04-27 2002-11-15 Toshiba Corp パターン形成方法、露光用マスクの形成方法及び露光用マスク
US6509971B2 (en) * 2001-05-09 2003-01-21 Nikon Corporation Interferometer system
US6839125B2 (en) * 2003-02-11 2005-01-04 Asml Netherlands B.V. Method for optimizing an illumination source using full resist simulation and process window response metric

Also Published As

Publication number Publication date
EP1465016A2 (en) 2004-10-06
US10657641B2 (en) 2020-05-19
SG169901A1 (en) 2011-04-29
KR100760037B1 (ko) 2007-09-20
US20040265707A1 (en) 2004-12-30
JP2010176144A (ja) 2010-08-12
JP2004312027A (ja) 2004-11-04
CN1591189B (zh) 2010-05-26
US20110075124A1 (en) 2011-03-31
US7864301B2 (en) 2011-01-04
TW200502709A (en) 2005-01-16
SG179329A1 (en) 2012-04-27
CN101840163B (zh) 2012-06-06
KR20040088378A (ko) 2004-10-16
EP1465016A3 (en) 2008-10-15
CN102645851A (zh) 2012-08-22
US20140247975A1 (en) 2014-09-04
TWI334511B (en) 2010-12-11
US8730452B2 (en) 2014-05-20
CN1591189A (zh) 2005-03-09
SG146424A1 (en) 2008-10-30
JP5371849B2 (ja) 2013-12-18
CN102645851B (zh) 2015-11-25
US20090053621A1 (en) 2009-02-26
CN101840163A (zh) 2010-09-22

Similar Documents

Publication Publication Date Title
JP4731830B2 (ja) ソースおよびマスクの最適化
JP3992688B2 (ja) コンタクト・ホール・マスクの光学的近接補正設計の方法
JP3867904B2 (ja) 特定のマスク・パターンのための照明の最適化
JP4602962B2 (ja) 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置
JP5121117B2 (ja) 強度プロフィールを最適化する方法及びプログラム
KR100860329B1 (ko) 이웃 영향을 팩터링하는 모델 기반 광 근접성 보정을수행하는 장치, 방법 및 컴퓨터 프로그램물
KR100865768B1 (ko) 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치
JP4974972B2 (ja) 照明源最適化によってレンズ収差補償を行う方法および装置
JP2005183981A (ja) インターフェレンス・マッピング・リソグラフィを使用した画像構造の最適化
JP4727288B2 (ja) 基板上に形成されるデザインを最適化する方法及びプログラム
KR102646683B1 (ko) 고 개구수 스루-슬릿 소스 마스크 최적화 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060411

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070517

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090910

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090924

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091216

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110301

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110324

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110420

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140428

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4731830

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250