JP3867904B2 - 特定のマスク・パターンのための照明の最適化 - Google Patents

特定のマスク・パターンのための照明の最適化 Download PDF

Info

Publication number
JP3867904B2
JP3867904B2 JP2002097334A JP2002097334A JP3867904B2 JP 3867904 B2 JP3867904 B2 JP 3867904B2 JP 2002097334 A JP2002097334 A JP 2002097334A JP 2002097334 A JP2002097334 A JP 2002097334A JP 3867904 B2 JP3867904 B2 JP 3867904B2
Authority
JP
Japan
Prior art keywords
pattern
illumination
determining
equation
illumination shape
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002097334A
Other languages
English (en)
Other versions
JP2002334836A (ja
Inventor
ジョン ソカ ロバート
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2002334836A publication Critical patent/JP2002334836A/ja
Application granted granted Critical
Publication of JP3867904B2 publication Critical patent/JP3867904B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、一般に、マイクロリソグラフィ映像法のための方法および装置に関する。更に特定すれば、本発明は、結像している特定のパターンに従って照明の形状を最適化するための装置および方法に関する。
【0002】
【従来の技術】
集積回路および、プログラム可能ゲート・アレイ等の他の微細なフィーチャの製品の製造において、現在、光リソグラフィが用いられている。最も一般的な説明では、リソグラフィ装置は、放射の投影ビームを供給する照明システムと、パターニング手段を保持する支持構造と、基板を支持する基板テーブルと、パターニングしたビームを基板の対象部分上に結像するための投影システム(レンズ)とを含む。
【0003】
パターニング手段という用語は、基板の対象部分に生成されるパターンに対応して、パターニングした断面を入来する放射ビームに与えるために使用可能な装置および構造を指すものとして広く解釈するものとする。また、この文脈において、「光弁」という用語も用いられている。一般に、パターンは、集積回路または他の素子等、対象部分に生成している素子内の特定の機能層に対応する。
【0004】
かかる機構の一例はマスクであり、これは、通常、(可動)マスク・テーブルによって保持される。マスクの概念はリソグラフィにおいて周知であり、これは、2値、交番移相、減衰移相、および様々なハイブリッド・マスク・タイプ等のマスク・タイプを含む。かかるマスクを投影ビーム内に配置すると、マスクに入射する放射は、マスク上のパターンに従って、選択的に透過(透過型マスクの場合)または反射(反射型マスクの場合)する。マスク・テーブルは、入射する投影ビーム内の所望の位置にマスクを確実に保持することができ、更に、所望の場合にはビームに対してマスクを動かすことも確実に可能となる。
【0005】
かかる機構の別の例は、粘弾性制御層および反射面を含むマトリクス・アドレス可能面である。かかる装置の背後にある基本的な原理は、(例えば)反射面のアドレスされた領域が入射光を回折光として反射する一方、アドレスされていない領域が入射光を非回折光として反射することである。適切なフィルタを用いて、反射ビームから前記非回折光を除去し、回折光のみを残すことができる。このようにして、マトリクス・アドレス可能面のアドレッシング・パターンに従って、ビームをパターニングする。プログラム可能ミラー・アレイの代替的な実施形態では、マトリクスに配置した小さなミラーを用いる。適切な局所電界を印加することで、または圧電作動手段を用いることで、各ミラーは、軸を中心にそれぞれ傾けることができる。この場合も、ミラーはマトリクス・アドレス可能であり、アドレスされたミラーが、アドレスされていないミラーとは異なる方向に入来放射ビームを反射させるようになっている。このようにして、マトリクス・アドレス可能ミラーのアドレッシング・パターンに従って、反射ビームをパターニングする。必要なマトリクス・アドレッシングは、適切な電子手段を用いて行うことができる。上述の状況の双方において、パターニング手段は、1つ以上のプログラム可能ミラー・アレイから成るものとすることができる。ここで参照したミラー・アレイに関する更に詳しい情報は、例えば、米国特許第5,296,891号および第5,523,193号、およびPCT特許出願WO98/38597号およびWO98/33096号から得ることができる。これらは、引用により本願にも含まれるものとする。プログラム可能ミラー・アレイの場合、前記支持構造は、フレームまたはテーブルとして具現化し、例えば、必要に応じて固定または可動とすることができる。
【0006】
別の例は、プログラム可能LCDアレイである。この場合、支持構造はやはり、例えばフレームまたはテーブルとすることができる。かかる構造の一例は、米国特許第5,229,872号に与えられている。これも引用により本願にも含まれるものとする。
【0007】
簡略化のために、この文書の以降の部分では、いくつかの箇所で、マスクを伴う例を特定して扱うことがある。しかしながら、かかる例で論じる一般的な原理は、上述のパターニング手段の更に広い文脈で理解するものとする。
【0008】
投影システムという用語は、様々なタイプの投影システムを包含する。素人の理解では、「レンズ」とは通常、屈折光学系を意味するが、ここでは、この用語は、例えばカトプトリック系およびカタディオプトリック系を含むように広義で用いる。また、照明システムは、投影ビームを方向付け、整形し、または制御するためにこれらの原理のいずれかに従って動作する素子を含む場合があり、以下では、かかる素子のことも、まとめてまたは単独で「レンズ」と呼ぶことがある。
【0009】
加えて、「ウエハ・テーブル」という用語は、画像を受ける基板がシリコン・ウエハであることを暗に示すことなく用いることができ、リソグラフィ装置によって処理されるあらゆる基板を支持するのに適切なステージを示すことができる。
【0010】
リソグラフィ投影装置は、例えば、集積回路(IC)の製造において用いることができる。かかる場合、パターニング手段は、ICの個々の層に対応した回路パターンを発生することができ、このパターンを、放射感知物質(レジスト)の層によって被覆されている基板(シリコン・ウエハ)上の対象の部分(1つ以上のダイから成る)上に結像することができる。一般に、単一のウエハは、投影システムによって一度に1つずつ連続的に照射された隣接する対象部分から成るネットワークを含む。マスク・テーブル上のマスクによるパターニングを用いた現在の装置では、2つの異なるタイプの機械を区別することができる。一方のタイプのリソグラフィ投影装置では、各対象部分を照射する際に、一度でマスク・パターン全体を対象部分上に露出する。かかる装置は、一般にウエハ・ステッパと呼ばれる。一般にステップ・アンド・スキャン装置と呼ばれる他方の装置では、各対象部分を照射する際に、投影ビーム下のマスク・パターンを所与の基準方向(「走査」方向)に徐々に走査し、これに同期して、この方向に対して平行または非平行に基板テーブルを走査する。一般に、投影システムはある倍率M(一般に<1)を有するので、基板テーブルを走査する速度Vは、倍率Mに、マスク・テーブルを走査する速度を掛けたものである。ここで述べるリソグラフィ装置に関する更に詳しい情報は、例えば、米国特許第6,046,792号から得ることができる。この特許は、引用により本願にも含まれるものとする。
【0011】
リソグラフィ投影装置を用いた製造プロセスでは、放射感知物質(レジスト)の層によって少なくとも部分的に被覆された基板上に、(例えばマスク内の)パターンを結像する。この結像ステップに先立って、基板に対して、プライミング、レジスト被覆、およびソフトベーク等の様々な手順を施す場合がある。露光後、基板に対し、露光後ベーク(PEB)、現像、ハードベーク、および結像したフィーチャの測定/検査等の他の手順を施す場合がある。この手順の配列は、例えばICのような素子の個々の層をパターニングするための基礎として用いられる。かかるパターニングされた層は、次いで、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械的研磨等の様々なプロセスを経る場合がある。これらは全て、個々の層を完成させるためのものである。いくつかの層が必要である場合には、手順全体またはその変形を、新たな各層ごとに繰り返す必要がある。最終的に、基板(ウエハ)上には、素子のアレイが存在することになる。これらの素子は、次いで、ダイシングまたはのこ引き等の技法によって互いに切り離され、そこから個々の素子を、ピン等に接続されたキャリア上に搭載することができる。かかるプロセスに関する更に詳細な情報は、例えば、Peter van Zant、McGraw Hill PublishingCo.1997年、ISBN 0−07−067250−4の書籍「Microchip Fabrication: A Practical Guideto Semiconductor Processing(マイクロチップの製造: 半導体処理のための実用的な手引き)」第3版から得ることができる。この文献は引用により本願にも含まれるものとする。
【0012】
簡略化のために、投影システムを、以降、「レンズ」と呼ぶ場合がある。しかしながら、この用語は、例えば屈折光学部品、反射光学部品、および反射屈折光学系を含む様々なタイプの投影システムを包含するものとして広く解釈するものとする。また、放射システムは、放射の投影ビームを方向付け、整形し、または制御するためにこれらの設計タイプのいずれかに従って動作する構成要素を含むことができ、以下では、かかる構成要素のことを、まとめてまたは単独で「レンズ」と呼ぶ場合がある。更に、リソグラフィ装置は、2つ以上の基板テーブル(および/または2つ以上のマスク・テーブル)を有するタイプのものである場合がある。かかる「多数ステージ」の装置では、平行な追加のテーブルを用いる場合があり、または、1つ以上のテーブル上で準備ステップを実行しながら、1つ以上の他のテーブルを露光のために用いることも可能である。2ステージのリソグラフィ装置は、例えば、米国特許第5,969,441号およびWO98/40791号に記載されている。これらは引用により本願にも含まれるものとする。
【0013】
照明システムが、従来のものから環状、四重極、および更に複雑な照明の形状を生成するように発展していくにつれて、現在、制御パラメータの数はいっそう多くなっている。従来の照明パターンでは、光軸を含む円形領域を照明し、このパターンに加える唯一の調整は、外半径(σr)を変更することである。環状の照明は、照明される輪を規定するために、内半径(σc)を規定する必要がある。多極のパターンでは、制御可能なパラメータ数が増え続ける。例えば、四重極の照明形状では、2つの半径の他に、極の角度αが、選択した内半径と外半径との間の各極によって定められる角度を規定する。
【0014】
同時に、マスク技術も進展している。2値強度マスクは、移相マスクおよび他の高度化したマスクによって取って代わられている。2値マスクは、単に、所与の点において結像放射を送出、反射、または阻止するが、移相マスクは、一部の放射を減衰させたり、移相を行った後に光を送出もしくは反射させたり、またはその双方を行ったりすることができる。移相マスクは、結像放射の波長またはこれより小さいオーダーのフィーチャを結像するために用いられている。なぜなら、これらの解像度における回折効果は、様々な問題の中でも特に、不十分なコントラストおよびライン端部のエラーを引き起こす恐れがあるからである。
【0015】
様々なタイプの照明形状を用いて、解像度、焦点深度、コントラスト、およびその他の印刷画像の特徴を改善することができる。しかしながら、各照明タイプは、何らかのトレードオフを伴う。例えば、コントラストの改善は、焦点深度を犠牲にして得ることができる。各タイプのマスクは、結像されるパターンにも依存する性能を有する。
【0016】
従来、ウエハ上に所与のパターンを結像する最適な照明モードを選択するために、一連のテスト・ウエハを行き当たりばったりで露光し比較していた。上記のように、最近の照明システムでは、操作可能な変数の数はますます増えている。変数設定の様々な並び替えが増大するにつれて、試行錯誤による照明形状の最適化のコストが極めて大きくなり、照明形状を選択する定量的な方法が必要とされている。
【0017】
先に確認した必要性およびその他に対処するために、本発明は、選択したパターニング手段のパターンのための照明プロファイルを最適化する方法を提供する。この方法は:
照明装置および選択したパターニング手段のパターンを含む光学システムのための相互透過係数関数を規定するステップと;
選択したパターンに基づいて回折オーダーの結像に対する相対的な関連性を求めるステップと;
相互透過係数関数から最適化した照明形状を算出し、回折オーダーの結像に対する相対的な関連性に基づいて照明形状の領域に重み付けを行うステップと;
を備える。
【0018】
本発明の別の態様によれば、ディバイス製造方法が提供される。この方法は:
(a)放射感知物質の層によって少なくとも部分的に被覆された基板を供給するステップと;
(b)照明システムを用いて放射の投影ビームを供給するステップと;
(c)パターニング手段を用いて投影ビームの断面にパターンを与えるステップと;
(d)放射感知物質層の対象部分上にパターニングした放射ビームを投影するステップと;
を備え、前述の方法を用いて、ステップ(d)の前に、ステップ(b)において生成した投影ビームにおける断面強度分布を、ステップ(c)において用いるパターンに適合させる。
【0019】
本発明の別の態様によれば、リソグラフィ投影装置が提供される。この方法は:
放射の投影ビームを供給するための照明システムと;
パターニング手段を支持するための支持構造であって、このパターニング手段が所望のパターンに従って投影ビームをパターニングするように機能する、支持構造と;
基板を保持するための基板テーブルと;
基板の対象部分上にパターニングしたビームを投影するための投影システムと;
を備え、この装置は、更に:
照明装置および所望のパターンの相互透過係数関数を規定し、パターニング手段によって生成したパターンに基づいて回折オーダーの結像に対する相対的な関連性を求め、相互透過係数関数から最適化した照明形状を算出し、回折オーダーの結像に対する相対的な関連性に基づいて照明形状の領域に重み付けを行う、算出手段と;
算出手段によって算出した照明形状に従って、照明システムから射出する投影ビームにおける断面強度分布を選択するための選択手段と;
を備える。
【0020】
本発明の更に別の態様によれば、選択したマスク設計を最適化する方法が提供される。この方法は:
選択したマスク設計のクリティカル・フィーチャを識別すること;
クリティカル・フィーチャの回折オーダーに基づいて最適化した照明プロフィールを求めること;および
選択したマスク・フィーチャに存在するピッチ数を減らすように選択した光近接補正技法を用いることによって選択したマスク設計を変更すること;
を備える。
【0021】
本発明は、更に、上述の方法を実行するためのコンピュータ・プログラムを提供する。
【0022】
この明細書において、本発明による装置をICの製造に用いることに特に言及することができるが、かかる装置は多くの他の可能な用途を有することは明示的に理解されよう。例えば、これは、集積光学システム、磁気ドメイン・メモリ用の誘導および検出パターン、液晶表示パネル、薄膜磁気ヘッド等の製造に用いることができる。かかる代替的な用途の状況においては、この文書における「レチクル」、「ウエハ」、または「ダイ」という用語のいかなる使用も、より一般的な用語「マスク」、「基板」、および「対象位置」によってそれぞれ置換されるものとして見なされることは、当業者には認められよう。
【0023】
本発明について、例示的な実施形態および添付の図面を参照して、以下で更に説明する。
【0024】
【発明の実施の形態】
本発明は、照明源およびパターンの詳細について考慮して、最初に、(例えばマスクから)基板上へのパターンの結像を数学的にモデリングすることを含む。
【0025】
有限照明源について空中像を算出するためには、主に2つの方法がある。これらの方法は、アッベの公式化およびホプキンズの公式化である。アッベの公式化では、照明形状における各点源が、パターン上に入射する平面波を生成し、これらの点源の各々がウエハ上に結像される。点源は空間的に非干渉性であるので、ウエハにおける合計強度は、これらの点源の各々によって生成される強度の和である。従って、アッベの公式化では、照明形状での積分は、パターンでの積分の後に行う。
【0026】
ホプキンズの公式化では、積分の順序を変える。すなわち、源での積分を最初に行う。ホプキンズの公式化では、4次元相互透過係数(TCC)を規定し、TCCの逆フーリエ変換が画像の強度となる。TCCの導出については、例えば、BornおよびWolfのPrinciples of Optics(光学の原理)、第6版、528ないし532ページに説明されている。これは、引用により本願にも含まれるものとする。
【0027】
TCCは、照明ひとみで乗算した投影ひとみの自己相関である。図1に、3つの重複する円の集合としてTCCを示す。左から右に説明すると、第1の円は、照明ひとみJs(α,β)を表し、ここでαおよびβは照明形状の座標である。後の計算のために、Jsの半径は、例えば、結像に用いるリソグラフィ装置に可能な最大の外半径σrに設定することができる。また、実現性の研究を行うため、および更に大きなσrの利点を明らかにするために、σrを1.0以上に設定することも可能である。
【0028】
中央の円は、(−mλ/PxNA,−nλ/PyNA)を中心とする投影ひとみK(α,β)を表す。座標系は、λ/NAの因数で正規化されているので、Kの半径は1.0である。右側の円は、同様に投影ひとみを表すが、これは、(pλ/PxNA、qλ/PyNA)を中心としている。これらの最後の2つの式では、m、n、p、およびqは別個の回折オーダーに相当し、TCCが上述のような4次元(4−D)の式であることが明らかになる。x方向の回折オーダーはmおよびpによって表され、y方向の回折オーダーはnおよびqによって表される。この説明のためにxおよびy座標を用いるが、以下の式で、座標系を適切に変更して代替的な座標系を使用可能であることは、当業者には理解されよう。
【0029】
4−Dの別個の点(m、n、p、q)についてのTCCは、3つの全ての円が重複している陰影を付けた領域の積分である。構造は周期的であると想定されているので、パターンのフーリエ変換は離散的であり、TCCは離散的である。連続的なパターン画像では、隣接するフィーチャが対象パターンのフーリエ変換に影響を及ぼさなくなるまで、ピッチを長くすることができる。図1のTCCは、数学的に、式1で書き表される。
Figure 0003867904
【0030】
TCCは、回折オーダー相互係数(DOCC)を規定することで、パターンの効果を含むように拡張することができる。式2にDOCCを規定する。これは、TCCにパターンのフーリエ変換係数を乗算することによって得られる。
Figure 0003867904
【0031】
更に、ウエハにおける放射強度は、式3に示すように、DOCCの逆フーリエ変換によって算出することができる。
Figure 0003867904
【0032】
投影光学系は、部分的に低域フィルタとして作用し、これによって回折オーダーが減少するので、算出した画像強度において重要な回折オーダーは小数のみである。結果として、TCCは帯域限定関数である。必要な最大のxおよびyのオーダーを、それぞれ、式4および5に従って算出することができる。各々の場合において、負および正の双方のオーダーが必要である。例えば、mは負のmmaxから正のmmaxまでに及ぶ(−mmax≦m≦+mmax)。負および正の双方のオーダーが必要であるので、TCCの大きさは、2mmax+1掛ける2nmax+1掛ける2pmax+1掛ける2qmax+1である。しかしながら、幸い、TCCは帯域が限定されているので、全てのパターン回折オーダーを計算する必要はない。TCCにおいてと同様、x方向ではパターン回折オーダー−mmax≦m≦+mmaxのみ、y方向においてはオーダー−nmax≦n≦+nmaxのみが必要である。
Figure 0003867904
【0033】
式1および2を式3に代入すると、ウエハにおける放射強度についての式6が得られる。式7に示すように、積分の順序を変えることで、すなわち、ホプキンズの公式化でなくアッベの公式化を用いることで、結像に最も影響を与える照明ひとみの部分を求めることができる。式6および7の各々は2行に及ぶことを注記しておく。
Figure 0003867904
【0034】
αおよびβは照明ひとみの座標を表すので、新たな関数Joptを規定することができる。新たな関数Joptは、所与の回折オーダー(m、n、p、q)に対して照明形状のどの部分(α、β)が用いられているかを示し、式8で書き表される。式8から、これに逆フーリエ係数(eikx)を乗算し、式9に示すように6個の全ての変数(m、n、p、q、α、β)を合計することで、画像強度を算出することができる。
Figure 0003867904
【0035】
明らかになるであろうが、Joptは6次元の関数であり、従って、これを照明形状に適用することは難しい。照明形状のどの部分が画像形成にとって重要であるかを最良に求めるためには、6個の変数のうちいくつかを除去することが望ましい。
【0036】
m+pおよびn+qについて逆変換を取ることで、空中像強度I(x,y)を求める。m+p=n+q=0である場合、空中像強度は変調されていない。照明最適化の目標の1つは、変調に及ぼす影響がほとんど無いか全く無い照明形状の部分を除去することなので、m+p=n+q=0となる照明形状の部分を除去することができる。これらの部分を除去し、画像形成にとって重要な照明形状の部分を更に視覚化するために、変数の変換によって、6次元のJopt関数(4回折オーダー)の変数のうち2つを除去し、それを4次元関数(2回折オーダー)に変換する。この4次元関数をJopt-2Dと呼ぶ。式10および11をI(x,y)についての式9に代入することで、式12を得ることができる。
Figure 0003867904
【0037】
式12では、Jopt-2Dは、式10および11に従って変数を変換した後、mおよびnについてのJoptの和と見ることができる。更に、式8を式12に代入することで、Jopt-2Dを式13におけるように表すことができ、強度I(x,y)を、式14におけるようにJopt-2Dの関数として書くことができる。
Figure 0003867904
【0038】
関数Jopt-2Dは、値を求めると、各回折オーダーごとに重要な照明形状の部分を示す。Jopt-2Dは、各回折オーダーT(m,n)によって重み付けされるので、大きい回折オーダーは、空中像に及ぼす影響が大きくなる。
【0039】
特定のパターンについて最適な照明形状のための開始点を、Jtotと示すことができ、これは、式15に示すように、ηおよびξについてJopt-2Dを合計し、Jopt-2D(α,β,η=0、ξ=0)を減算することで求められる。式15では、η=0およびξ=0の場合、空中像は変調されておらず、Jopt-2D(α,β,η=0、ξ=0)成分は、ゼロのオーダーまたはDC光を表す。結像に寄与しない照明内の点によって、DC光の全量は増大する。増大したDC光は変調を引き起こさないので、これは大して有益ではなく、更に、結果として焦点深度が浅くなる恐れがある。
【0040】
このため、Jtotによる照明形状はDC光の量を最小限に抑え、結果としてプロセス・ウインドウが改善する。式Jtotを用いて、照明装置のどの部分が画像形成にとって重要性が高いか(または重要性が低いか)を示すことができる。
Figure 0003867904
【0041】
照明形状およびパターンは結合されるので、光近接補正(OPC)を変更すると回折オーダーに影響を与え、従ってJtotに影響を与える。結果として、当業者には理解されようが、OPCエンジンおよび照明エンジンによる処理の繰り返しを用いて、初期照明形状Jtotおよびパターンに対する変更を何度か行わなければならない。更に、パターンおよび照明形状は、特定の結像基準(焦点深度(DOF)、ライン端部(EOL)、収差に対する感度等)を最適化するようにも調節する必要があり、これは最適化ソフトウエアによって行うことができる。しかしながら、最適な照明形状に最大の影響を与えるのは、OPCフィーチャではなく、全体としてのパターンであるので、Jtotは最適な初期照明形状であり、照明形状およびパターンに関する繰り返しの最適化のために最も速く収束することになる。
【0042】
初期照明形状Jtotは、0ないし1の範囲の連続的な強度値を有するグレー・スケール照明形状によって表すことができる。回折光学素子(DOE)によって、またはディザリングを行ったクロムめっきを施した水晶板を用いることで、かかるグレー・スケール照明形状を生成することができる。グレー・スケール照明形状が可能でないか、または好ましくない場合は、グレー・スケールに閾値を適用することで、照明装置プロファイルを0および1のみに強制することができる。この場合、閾値を超える値は1に切り上げて、閾値未満の値は0に切り捨てる。任意の閾値を適用することができ、または、プロセス・ウインドウをシミュレートすることで、もしくは試運転を繰り返すことで、最適な閾値を見出すことができる。
【0043】
例1: 先に概説したJtotを算出するための技法を、れんが壁分離パターンに適用した。150nmのパターンを130nmおよび110nm設計基準に縮小し、開口数(NA)が0.8のステップ・アンド・スキャン・リソグラフィ・システムにより結像した。図2に、130nm設計基準の分離パターンを示す。
【0044】
図3に、このマスク・フィーチャの回折オーダーの大きさを示す。図3では、最大のオーダーは、(0,0)オーダーまたはDC背景光である。結像に最も寄与したオーダーは、(±2,0)オーダーであり、れんが壁パターンにおける縦方向のれんがを表す。他の重要なオーダーは(±1,±1)であり、クリアな領域を表し、分離パターンの端部を規定する。また、これより高いオーダーは、各ラインの端部等の2次元構造を規定するのに役立つ。回折パターンが一定でないので、オーダーによってDOCCにおける重み付け係数が変化し、これは、マスク・パターンが照明の方法に影響を与えることを示唆している。
【0045】
図3における回折オーダー係数T(m,n)を式13に代入して、Jopt-2Dを算出することができる。これを図4に示す。図4からわかるように、Jopt-2Dに対する最大の寄与は、(η=0、ξ=0)オーダーである。(0,0)オーダーは、結像に寄与せず、DOFを浅くする。式15が示すように、この(0,0)オーダーを、合計照明Jtotから減算することができる。(0,0)オーダーを考慮しないと、最大の寄与は(η=±2、ξ=0)回折オーダーであり、これは、x方向に沿った分離ラインの形成を表す。大きく、かつ分離ラインの端部を規定する別の成分は、(η=±1、ξ=±1)回折オーダーである。(0,±2)回折オーダーはやや小さいが、これより大きいオーダーは、レンズのη=0およびξ=±2の領域において結合する。また、これらの領域は、ライン端部を規定するのに役立つ。DOCCの手法は、画像形成を改善するためにどのように照明ひとみをサンプリングするかを示し、れんが壁分離パターンの結像を理解するために有効な方法である。
【0046】
式15を用いて、130nm設計基準のれんが壁パターンの照明ひとみを算出することができる。これを図5に示す。図5は、画像形成にとって最も重要な領域はx軸に沿った照明形状の外側部分であることを示している。これらの外側部分は、楕円ダイポールを形成する。これらの楕円ダイポール要素に加えて、照明ひとみの中心は、画像形成に大きく寄与する。上記のように、照明ひとみは、グレー・スケールまたは2値照明プロフィールで実施することができる。
【0047】
用いる装置に応じて、グレー・スケール照明が可能である場合がある。グレー・スケール照明とは、制御可能な照明強度を意味し、照明形状の少なくとも所与の部分について、0から1までの正規化レベルを選択することができる。例えば、かかる照明強度の制御は、照明システムにおける回折光学素子(DOE)を用いて行うことができる。この場合、例えば、照明形状は、図5に示すように実施することができる。しかしながら、理論上算出され図5に見られる局所スパイクの一部は、上述のように、投影光学系の結果として照明情報を低域フィルタで濾波した後に除去される。従って、照明形状を設計する場合、濾波されるスパイクは無視するものとする。
【0048】
2値照明形状を用いる場合、すなわち、照明装置の強度に2値のみ(0または1)が可能である場合、照明形状の各点に0または1の値を割り当てるための基礎として、閾値を選択しなければならない。例えば、0.8の閾値を選択した場合、0.8を超える照明装置の強度値は1に切り上げられ、0.8未満の値は0に切り捨てられる。所望の場合は、他の閾値を適用することも可能である。
【0049】
例2:2値の手法にグレー・スケールを用いて、0.88の最大外半径σを想定して、同じれんが壁分離パターンについて2値照明形状を設計し、図6に示す。
【0050】
次いで、図6の最適化された照明形状の性能を、NA=0.8およびλ=248nmのステップ・アンド・スキャン・リソグラフィ装置上の2値マスクについてシミュレートし、環状照明のシミュレートした性能と比較した。このシミュレーションでは、開口数が0.7を超えたので、ベクトル(薄膜)結像レジスト・モデルを用いた。このモデルでは、レジストは、屈折率n=1.76−j0.0116)を有するタイプの400nmの厚さであり、n=1.577−j3.588のポリシリコン物質の上のn=1.45−j0.3を有する別のタイプの66nmの上にある。図7および8に、環状照明(σin=0.58およびσout=0.88)ならびに最適化した照明装置(σout=0.88)の結果をそれぞれ示す。図7および8の双方において、分離領域の中央における断面の結果およびトップダウンのシミュレーション結果を示す。これらの図では、レジストを介した強度を平均化することによって、空中像閾値からBossungプロットBを算出し、結果として得られた線幅lwを、閾値の強度について、焦点fに対してグラフ化する。この技法は、DOFを厚さのロスとして過剰予測する傾向があり、レジスト・プロファイルの傾斜は考慮されていない。おそらく、少なくとも厚さのロスを算出するレジスト・モデルが必要であろう。図の各々において、トップダウンの結果は、Bossungプロットで算出したような最適な閾値(最適な照射量)での実線の曲線として描かれている。これらのシミュレートした閾値の画像を、点線の直線で示す実際のマスク・データと比較する。
【0051】
図7には、環状照明(σin=0.58およびσout=0.88)を用いた0.8のNAでの2値マスク・フィーチャについて、130nm設計基準のれんが壁分離パターンのシミュレーション結果を示す。この環状設定は、−0.4μmから0.0μm焦点まで、約0.4μmのDOFを有する。レジストのコントラストは、全焦点を通じて低く、低コントラストのレジストによって結像することができる。しかしながら、この低強度のコントラストでは、マスク誤差増大ファクタ(MEEF)が大きく、露出ラチチュード(EL)が小さい。また、図7におけるトップダウンの画像は、ライン端部(EOL)の短縮が約20nmであることを示しているが、これは、130nm設計基準についてわずかにラインを延長して固定することができる。しかしながら、設計基準が縮小し続けると、延長したラインが他のフィーチャと衝突する恐れがあるので、ラインの延長はもはや実行可能でない。従って、照明によってEOLを固定することが望ましい。
【0052】
図8では、130nm設計基準のれんが壁分離パターンについてのシミュレーション結果を、0.8のNAで、図6の最適化2値照明形状を用いて、2値マスク・フィーチャについて図示している。最適な照明形状は、−0.45μmから+0.15μm焦点まで、約0.6μmのDOFを有する。図8の断面画像を図7のものと比較すると、最適化した照明形状は、環状の照明に比べて、全焦点を通じてコントラストが大きい。この大きなコントラストは、環状照明に比べて最適化照明形状についてのMEEFが小さく、最適化照明形状のための露出ラチチュードが大きいことを示唆する。この最適化照明形状の別の利点は、環状照明に比べて、ライン端部の性能が改善されていることである。図8のトップダウン画像は、この最適化照明形状が、パターン上のラインを延長することなくEOLを維持可能であることを示し、これは、より大胆な設計基準の縮小のために好都合である。
【0053】
例3: 図7および8における2値マスク(BIM)についての結果を、クロムレス・マスク(CLM)についてのシミュレーション結果と比較した。当業者に既知の方法で、ソフトウエア・シミュレーションの実験結果から、クロムレスれんが壁分離パターンを設計した。クロムレス技術は、軸はずれ照明によって得られるDOFの改善から十分な恩恵を受けるように、(0,0)オーダーの光を必要とする。シミュレーションからの実験結果によって、(0,0)オーダーの光の必要性が裏付けられる。このために、分離層にディザリングを行うか、またはハーフトーンとしなければならない。ハーフトーンのピッチは、ディザリングを行った方向の第1のオーダーが投影ひとみに入らないように選択すれば良い。この例では、λ/[NA(1+σout)]未満のピッチで、垂直方向にラインにディザリングを行った。しかしながら、ディザリングのデューティ・サイクルは、最適なDOFおよびパターン忠実度のために、(0,0)オーダーの光の量を最適化するように調整しなければならない。CLMのためのシミュレーション結果では、ハーフトーン・ピッチは、50%のデューティ・サイクルで155nmであった(77.5nmのクロム・アイランド)。このピッチでは、(0,±1)オーダーが投影ひとみに入ることがほぼ妨げられる。しかしながら、このデューティ・サイクルは、コンピュータ支援設計ツールによってDOFを最大にするように調整しなければならない。
【0054】
例4: 130nm設計基準層についてのシミュレーション結果を、155nmハーフトーン・ピッチおよび50%デューティ・サイクルのCLMについて図示した。0.8のNAおよび環状照明(σin=0.58およびσout=0.88)により、λ=248nmの装置で、CLMを露光した。この環状設定のCLMは、DOFが0.5μmであった(−0.4μm焦点から+0.1μm焦点)。環状照明のCLMは、環状照明のBIMに比べて、DOFが大きく、全焦点を通じてコントラストが優れていた。これは、CLMの性能がBIMマスクよりも優れていたことを示す。トップダウン・シミュレーションの結果は、CLMによるEOL性能が理論的にはBIMによるEOL性能よりも優れていること、および、CLMはBIMに比べてコンタクト・ホールのランディング領域をより十分に規定することもできたことを示した。
【0055】
例5: 130nmれんが壁分離パターン分離層についてのシミュレーション結果を、0.8のNAおよび図6に示す最適化楕円ダイポールのλ=248nmの装置について図示した。これらの結果を、155nmハーフトーン・ピッチおよび50%のデューティ・サイクルを有する前の例で用いたCLMレチクルと同一のレチクルを用いてシミュレートした。この最適化照明形状で露光したCLMは、0.7μmのDOF(−0.5μmから+0.2μm)を有し、40%の改善であった。Bossungプロットは、同焦点強度が約0.21であることを示していた。加えて、正確な線幅の大きさとなるようにレチクルを調整し、更に性能を改善するために、モデルに基づくOPC手法を適用することができた。線幅を補正するには、例えば、バイアスをかけること、およびハーフトーン・デューティ・サイクルの変更を行えば良い。トップダウン・シミュレーション結果は、CLMがコンタクトのランディング領域を規定することができ、CDの均一性を維持することができることを示していた。この楕円照明形状によって、くびれおよび他の線幅の不一致が低減した。更に、CLMレチクルは、DOFを改善するようにバイアスをかけることができ、この結果、EOL性能が改善するはずである。更に、モデルに基づくOPCは、EOLを更に補正することができるはずである。
【0056】
例6: 110nm設計基準の分離層について、図2のマスク・パターンを用いて、式13および15により、最適化照明形状を生成した。照明ひとみのサンプリングを視覚化するために、Jopt-2Dを図9に図示し、xオーダー(η=m+p)を水平方向に、yオーダー(ξ=n+q)を垂直方向に示す。130nm設計基準についての図4と同様に、図11の110nm設計基準に対する最大の寄与は、(η=0、ξ=0)オーダーである。この(0,0)オーダーの光は、DOFにとって有害であり、式15に示すように、Jtotにおいて除去される。また、図9は、(±2、0)オーダーでなく(±1、±1)オーダーが、照明形状の最適化に対して最大の寄与となることを示す。これは、NA=0.8の248nmの装置では110nm設計基準は積極的すぎるという事実のためであり、この解像度を達成するためには、わずかに高いNAが好ましい。分離線幅を規定するために最も寄与するオーダーは、(±2、0)オーダーである。しかしながら、(±2、0)オーダーは、照明形状の遠方縁部にあり(0.8<σ<1.0)、これは、σが1であると、この波長における110nm設計基準の実施を改善可能であることを示している。
【0057】
式15および図9の結果を用いて、図10に、110nmれんが壁分離層についての最適化照明形状を示す。図10は、画像形成に最も寄与する照明形状領域が、照明形状の中央の小部分および遠方縁部であることを示している。図11aに、この照明形状の1つの可能な実施態様を図示する。248nm装置を用いて更に積極的な設計基準を印刷し、投影開口数の制限を課すためには、図11bに示すように、σを1.0とし、小さいセクタ(σのリング幅は0.2)を有する照明形状を用いる。
【0058】
本発明の実施態様は、クリティカルなセルまたは特定のゲートの選択を含む。次いで、これらのクリティカル・フィーチャを処理して、上述のようにJtotを求める。セクション1では、照明形状はパターンに依存することが示された。従って、クリティカル・フィーチャについてピッチに著しい差が無い場合、全てのクリティカル・フィーチャについて、プロセス・ウインドウを最適化する単一の照明形状を生成することができる。図12に、クリティカル・ゲートg1、g2、g3およびクリティカル・セルccを有する回路の1例を示す。これらのタグ付きのクリティカル・フィーチャの回折オーダーを算出することができ、すでに述べた理論を用いることで、最適化照明形状を算出することができる。最適化照明形状を算出した後、プロセス・ウインドウを算出し、他の照明形状によるプロセス・ウインドウと比較することができる。
【0059】
照明/パターンの相互作用を最適化する別の方法は、散乱バーによってパターン設計を変更することである。散乱バーは、ASICまたは論理設計についての半連続関数からピッチを打ち切る。散乱バーを配した後、ピッチは少なくなる。これは、シミュレーション・ソフトウエアにおいて、0.61λ/NAのエッジ間分離で散乱バーを配置することで実証することができる。図13では、複数の散乱バーを加えることで図12の設計を変更している。次いで、この変更した設計について、照明形状を最適化することができる。次いで、散乱バーを有する設計について最適化した照明形状のプロセス・ウインドウ性能を、散乱バーを有することなく最適化した照明形状のプロセス・ウインドウと比較することができる。散乱バーを有する設計はピッチを打ち切るので、散乱バーと最適化した軸はずれ照明(OAI)との組み合わせは、最大の可能DOFプロセス・ウインドウを有する。
【0060】
照明形状の最適化を実施するための別の概念は、空間幅(SW)の考慮に基づいた散乱バーの配置によるものである。散乱バーは、ルールに基づくOPCによって配置する。このルールは、空間幅によって規定することができる。シミュレーション・ソフトウエアを用いて、散乱バーを有しない場合および散乱バーを有する場合の空間幅の確率密度関数(pdf)を算出することができるはずである。次いで、式16に示すようにJopt-2Dを変更することでpdfを考慮して、照明を最適化することができる。垂直のラインおよび水平のラインが無限であると想定すると、回折オーダーT(m,n)を算出することも可能である。式17において、mおよびnの関数として回折オーダーを算出する。ここでwは線幅であり、τはレチクルの強度透過率、ならびに、Px=SWx+wおよびPy=SWy+wは、それぞれxおよびy方向におけるピッチである。
Figure 0003867904
【0061】
式17は、4つの式の行列であり、提示の順に、m=n=0、m=0、n≠0、m≠0、n=0、およびm≠0、n≠0である。
Figure 0003867904
【0062】
一部のピッチは他のものほど重要でないことが示唆されるため、pdfによって最適照明形状を算出すると、いくつかの問題が生じる。pdfにおいて全てのゲートがクリティカルであると見なされる場合、重み付け係数によってpdfを変更しなければならない。この重み付け係数は、wf(Px)と呼ぶピッチの関数である。この重み付け係数により、全てのクリティカルなピッチを同一に扱い、wf(Px)・pdf(Px)=1となるようにしなければならない。式16におけるpdf(Px)をwf(Px)・pdf(Px)によって置換することで、この重み付け係数を式16に追加するものとする。ピッチの全てがクリティカルである場合、重み付け係数は、最適化を決定するために役立たず、(パターンの)設計を変更することなく最適化照明形状を生成することは難しい。
【0063】
この問題に対する1つの解決策は、上述の散乱バーを加えて設計を変更することである。散乱バーは、分離されたフィーチャについてピッチを小さくするのに役立つ。一旦、設計に散乱バーを加えたならば、以前分離したフィーチャは、密集したフィーチャとして作用する傾向がある。このため、散乱バーは、連続的なpdfから更に離散的なpdfまでピッチを打ち切る。図14は、散乱バーを適用した場合および適用していない場合の、y方向(すなわち「垂直」方向)に配向したフィーチャを有する論理パターンについての一例のpdfである。図14は、x(水平)軸上に垂直ゲート空間幅(μm)を示す。散乱バーを有しない変更されていない設計Dでは、0.2、0.6、および1.5μmの空間幅において、pdfに3つの別個の隆起がある。散乱バーを配置した後、D+SBでは、ピッチ数が減って、空間幅のほとんどが0.2μmの密集したピッチにあるようになっている。このpdfの変更によって、照明形状を最適化することが可能な確率が高くなる。
【0064】
水平(x軸)および垂直のフィーチャの双方を有する設計についての全体的な照明形状は、水平および垂直の照明形状の和である。垂直フィーチャについて照明形状をσcxに集中させ、水平フィーチャについてσcyに集中させる場合、
Figure 0003867904
であるならば、最適照明形状は、「従来の」四重極照明形状である。その他の場合、このタイプの分析は、結果として、45度回転した四極照明形状となる。
【0065】
ここに提示し5照明技法は、収差を考慮するように拡張することができる。収差を含むことで、オペレータは、照明形状のどの部分が収差に結合するかを決定することができる。結合量は、収差に対する画像強度の感度に直接関連する。この結合を理解することで、設計の収差感度を最小限に抑えるように照明形状を変更することができる場合がある。
【0066】
スカラ結像についての投影ひとみK(α,β)は、傾斜ファクタ、焦点はずれ、およびゼルニケ多項式によって表される波面の指数関数を含む。このスカラ結像ひとみを式18に示す。このひとみは、更に、2つの部分に分割することができる。すなわち、非逸脱ひとみK0(α,β)および逸脱ひとみ(波面の指数関数)であり、これらの2つの部分は式19に示すように共に乗算する。
Figure 0003867904
【0067】
式22から、波面を線形の近似として書くことができる。これを式23に示す。式23を式22に代入することで、式24により、投影ひとみK(α,β)についての線形近似を算出することができる。
Figure 0003867904
【0068】
TCCは投影ひとみK(α,β)の関数であるので、式24におけるひとみに対する線形近似は、線形近似によってTCCを表すことが可能であることを示している。これは、式24を式1に代入することで達成される。これによって式25が得られる。再び、2以上のべきの項を無視することで、式25のTCCを式26に示すように簡略化することができる。
【0069】
波面W(α,β)は、式21に示すように、ゼルニケ縞多項式の和によって示されることが最も多い。収差の線形理論を用いて、指数exを、テイラー級数の展開によって表すことができる。テイラー級数の展開は、小さいxについては有効であり、以前の研究によって、Zvが0.04λ未満の場合、空中像について良好な一致が示されている。式22に、exについてのテイラー級数の展開を示す。式22では、2以上のべきの項を切り捨てているが、これは、Zvが0.04未満である場合に有効である(0.042=0.0016であり、無視することができる)。
Figure 0003867904
【0070】
式27および28の非逸脱TCC、TCC0(m,n,p,q)、および逸脱TCC、TCCv(m,n,p,q)をそれぞれ規定することで、式29に示すように、TCC0およびTCCvの線形関数によってTCCを表すことができる。
Figure 0003867904
【0071】
式29に示すように線形近似としてTCCを構築することができるので、Joptも線形近似として書くことができる。Joptに対する線形近似は、Joptについての式8を用い、式18および29に概要を記したようなTCCの線形近似についての方法論に従うことで、式30において求められる。
Figure 0003867904
【0072】
次いで、Joptについての式30を、式33に示すように、非逸脱Jopt0および逸脱Joptvの和に分割することができる。式31および32に、Jopt0およびJoptvの定義をそれぞれ示す。
Figure 0003867904
【0073】
式32は、特定の収差に結合する照明形状の部分を記述する。結合量は、画像強度に影響を与え、照明に対する収差の感度の理解に役立つ。式31および32を組み合わせることで、Joptを線形近似として書くことができる。
Figure 0003867904
【0074】
本発明の別の態様では、重み付け係数を導入して、例えば、焦点深度(DOF)、画像ログ傾斜(ILS)、画像傾斜(IS)、または収差感度を含む特定の測定基準に対する応答を最大化または最小化することができる。式34に示すように、これらの重み付け係数を含むように式15の最適なJtotを変更することができる。
Figure 0003867904
【0075】
一般に、フォトレジストは、それに入射する光の強度の対数に比例して反応する。強度、従って強度の対数が増大するにつれて、フィーチャは、より高い忠実度でレジスト内に印刷される(すなわち、レジスト・プロファイルが改善し、プロセス・ウインドウが改善する)。従って、強度の対数変化(ILS)を最大とすることが望ましい。式35に、ILSを定義する。
Figure 0003867904
【0076】
強度の導関数は強度の逆数よりも変化が速いので、強度の導関数を増大させることで式35は更に増大する。強度は式3から算出可能であり、xに対する強度の導関数は式36において定義される。xに対する導関数によって、式37に示すように、重み付け関数wxが得られる。同様に、式38に示すように、yに対する重み付け関数wyを定義することができる。
Figure 0003867904
【0077】
パターン・フィーチャおよび強度フィーチャは2次元であるので、傾斜のノルムを用いて、位置に対する強度の変化を示すことができる。式39に、強度傾斜のノルムを定義する。これによって、我々は、式34におけるJtotを算出するための重み付け関数を定義することができる。式40によって、画像ログ傾斜を最大化するための重み付け関数を定義する。
Figure 0003867904
【0078】
式40は、m+p=0およびn+q=0である場合、重み付け関数が0になることを示す。m+p=0およびn+q=0である場合、これらのオーダーは、画像変調に何ら寄与せず、画像に対するDC寄与を反映する。更に、m+pおよびn+qが増大するにつれて、wILSが増大する。これは、より高いオーダーの回折オーダー項は、より大きく重み付けされ、ILSに対する寄与が大きくなることを示している。
【0079】
ILSの最大化に加え、ILSが改善されて焦点に対する強度反応が最小限に抑えられると、プロセスの焦点深度が大きくなる。焦点は、ひとみK(α,β)によって説明される。ひとみK(α,β)を式41に示すが、ここで、焦点はzと示している。式41は、2つの項に分割することができる。すなわち、式42に示すように、zに依存する項(焦点はずれ項)およびzから独立している項(非焦点はずれ項)である。
Figure 0003867904
【0080】
zに対する強度の導関数をゼロに設定することで、焦点zによる強度の変動を最小限に抑えることができる。式42を式1ないし3に代入して、式43に示すように、費用関数f(α,β,z)を定義することができる。これは、zに依存する強度結像項の費用関数である。
Figure 0003867904
【0081】
一方、費用関数f(α,β,z)は、g(α,β,m,n,p,q)がゼロに等しい場合、最小限に抑えられる(以下の式44を参照)。式44では、大きさの項がゼロに等しい場合にのみ、zに対する導関数がゼロに等しいので、位相項が除去されている。g(α,β,m,n,p,q)がゼロである場合、所与のオーダー(m,n,p,q)についてのひとみの領域(α,β)は、焦点に対する感度が最小である。これらは、照明形状を構築するための、ひとみの最も望ましい領域である。式45に、重み付け関数wfocus(α,β,m,n,p,q)を定義する。この重み付け関数は、焦点に対する感度が最低の領域では1に等しく、焦点に対する感度が最高の領域では0に等しい。次いで、式46によって、全焦点を通じてILSを最大化する新たな重み付け関数を定義し、これを用いて、照明形状を変更することができる。
Figure 0003867904
【0082】
上述の方法論によって、焦点の影響、収差に対して、強度の感度を最小限に抑えることができる。強度に対する焦点の影響が最小限に抑えられるので、特定の収差に対して強度の影響を最小限に抑えられる。これは、特定の収差に対して高い感度が実証されている何らかのパターンについて望ましい。式19における投影ひとみは、式47に示すように、逸脱項Ka(α,β)によって乗算した非逸脱項K0(α,β)として書くことができる。
Figure 0003867904
【0083】
特定の収差Ziに対する強度の感度は、Ziに対する強度の導関数をゼロに設定することで、最小限に抑えることができる。式47を式1ないし3に代入し、強度の導関数を取ることで、式48におけるh(α,β,m,n,p,q)がゼロに等しい場合、収差感度を最小限に抑える。
Figure 0003867904
【0084】
式48は、式49のように簡略化して書くことも可能である。式50において重み付け関数wab(α,β,m,n,p,q)を定義し、これはZiに対して感度が最低のひとみの領域(α,β)では1に等しく、Ziに対して感度が最高の領域では0に等しい。
Figure 0003867904
【0085】
次いで、式51において、特定の収差Ziに対するILS感度を最小限に抑える重み付け関数を定義することができる。更に、式52に、特定の収差Ziに対するILS感度を最小限に抑え、全焦点を通じてILSを最大化する重み付け関数も定義することができる。これらの式のいずれも式34に代入して、所与の測定基準に対する最適な応答を有する照明装置を算出することができる。
Figure 0003867904
【0086】
図15は、本発明に従って用いられるリソグラフィ装置の1例の概略図である。この装置は、放射システムを含む。放射システムは、ランプLA(これは、例えばエキシマ・レーザとすれば良い)と、照明システムとから成る。照明システムは、例えば、ビーム整形光学系EX、積分器IN、および集光レンズCOを備えることができる。放射システムは、放射の投影ビームPBを供給する。例えば、放射システムは、紫外線、深紫外線、または超紫外線放射を供給することができる。また、一般に、放射システムは、軟X線または他の形態の放射を供給することも可能である。
【0087】
第1の物体テーブルまたはマスク・テーブルMTが、マスクMAを保持する。マスクMAは、結像対象のマスク・パターンを含むパターン領域Cを含む。マスク・テーブルMTは、投影ビームPBに対して移動することができるので、マスクの異なる部分を照射することが可能である。マスクが基板またはウエハWと適切に位置合わせされているか否かを判定するために、位置合わせマスクM1およびM2を用いる。
【0088】
投影システムPLが、投影ビームPBをウエハW上に投影する。ウエハWは、2枚の位置合わせマスクP1およびP2を含み、これらは、結像を開始する前にマスクM1およびM2と位置合わせされる。ウエハWは、基板テーブルWTによって支持され、このテーブルWTは、ウエハWの異なる部分を露光するために投影ビームに対して移動することができる。このようにして、マスク・パターンCを、ウエハWの異なる対象部分c上に結像することができる。ウエハ・テーブルWTがマスク・テーブルMTの位置に対して確実に正しい位置にあるようにするために、干渉位置モニタIFを用いる。
【0089】
本発明について、特定の実施形態に関連付けて説明してきたが、本発明は開示した実施形態に限定されるわけではなく、逆に、特許請求の範囲内に含まれる様々な変形および均等の構成を包含するよう意図することは理解されよう。
【図面の簡単な説明】
【図1】一般化した画像形成システムのための相互透過係数関数の図である。
【図2】れんが壁分離パターンのマイクロリソグラフィによるマスク・フィーチャの1例である。
【図3】図2のマスク・フィーチャの回折オーダーの図である。
【図4】図2のマスク・フィーチャのための算出された最適化4次元照明形状のマップである。
【図5】図2のマスク・フィーチャのための算出された初期グレー・スケール照明形状(Jtot)である。
【図6】図5の照明形状の2値表現である。
【図7】環状照明形状によって印刷した図2のマスク・フィーチャの印刷物の分析を示す。
【図8】最適化した楕円照明形状によって印刷した図2のマスク・フィーチャの印刷物の分析を示す。
【図9】110nm設計基準に縮小した図2のマスク・フィーチャのための算出された最適化4次元照明形状のマップである。
【図10】110nm設計基準に縮小した図2のマスク・フィーチャのための算出された初期グレー・スケール照明形状である。
【図11a】σの値が異なる図10の照明形状の2値表現である。
【図11b】σの値が異なる図10の照明形状の2値表現である。
【図12】クリティカル・ゲートおよびセルを示したマスク・パターンの1例である。
【図13】パターンのピッチ数を減らすために補助フィーチャを加えた図12のマスク・パターンである。
【図14】図12および13のマスク・パターンの空間幅の確率密度関数を比較する。
【図15】マイクロフォトリソグラフィのための装置の概略図である。

Claims (12)

  1. 選択したパターニング手段のパターンのための照明プロファイルを最適化する方法であって
    照明装置および前記選択したパターニング手段のパターンを含む光学システムのための相互透過係数関数を規定するステップと
    前記選択したパターンに基づいて回折オーダーの結像に対する相対的な関連性を求めるステップと
    前記相互透過係数から最適化した照明形状を算出し、前記回折オーダーの結像に対する前記相対的な関連性に基づいて前記照明形状の領域に重み付けを行うステップと
    を備える照明プロファイルを最適化する方法。
  2. 前記回折オーダーの結像に対する相対的な関連性を求めるステップは、更に、前記選択したマスク・パターンの特徴的なピッチを求めるステップを備える請求項1に記載された方法。
  3. 更に、前記特徴的なピッチを求めることに先立って、前記選択したパターンのクリティカルな領域を識別するステップを備え、前記選択したパターンの前記特徴的なピッチを求めることは、前記クリティカルな領域の前記特徴的なピッチを求めることによって行われる請求項2に記載された方法。
  4. 前記クリティカルな領域を識別するステップは、更に、複数のクリティカルな領域を識別することを含み、前記クリティカルな領域の前記特徴的なピッチを求めることが、
    識別した各クリティカル領域のピッチを比較することと
    前記識別した各クリティカル領域のピッチがほぼ等しい場合、前記クリティカル領域の前記特徴的なピッチを、前記識別した領域のうち1つの前記特徴的なピッチに等しいと判定することと
    を含む請求項3に記載された方法。
  5. 更に、焦点深度、ライン端部、画像ログ傾斜(ILS)、画像傾斜(IS)、および収差感度から成る群から選択した、選択された最適化測定基準に基づいて、前記照明装置形状の領域に重み付けを行うことを含む請求項1から4までのいずれか1項に記載された方法。
  6. 複数のクリティカル領域を識別するステップと
    前記識別したクリティカル領域の各々のピッチを求めるステップと
    前記相互透過係数関数から最適化照明形状を算出し、各クリティカル領域ごとに回折オーダーの結像に対する関連性に基づいてオーダーに重み付けを行うステップと
    をさらに含む請求項1または2に記載された方法。
  7. 光近接補正技法によって前記マスク・パターンにおける異なるピッチの合計数を減らすことで、前記選択したパターンを変更するステップをさらに備える、請求項1から6までのいずれか1項に記載された方法。
  8. 前記光近接補正技法によって前記選択したパターンを変更するステップは、更に、前記選択したマスク・パターンにサブレゾルーションのフィーチャを追加することを含む請求項7に記載された方法。
  9. 前記選択したパターンを変更するステップおよび最適化照明形状を算出するステップを繰り返す請求項7に記載された方法。
  10. 照明プロフィールを最適化するためのコンピュータ・プログラムであって、コンピュータ・システム上で実行された場合、請求項1ないし9のいずれか1項の方法の前記ステップを実行するように前記コンピュータ・システムに命令することを意味するプログラム・コードを備えるコンピュータ・プログラム。
  11. ディバイス製造方法であって
    (a)放射感知物質の層によって少なくとも部分的に被覆された基板を供給するステップと
    (b)照明システムを用いて放射の投影ビームを供給するステップと
    (c)パターニング手段を用いて前記投影ビームの断面にパターンを与えるステップと
    (d)前記放射感知物質層の対象部分上に前記パターニングした放射ビームを投影するステップと
    を備え、請求項1ないし9のいずれか1項による方法を用いて、ステップ(d)の前に、ステップ(b)において生成した前記投影ビームにおける断面強度分布を、ステップ(c)において用いる前記パターンに適合させるディバイス製造方法。
  12. リソグラフィ投影装置であって
    放射の投影ビームを供給するための照明システムと
    パターニング手段を支持するための支持構造であって、前記パターニング手段が所望のパターンに従って前記投影ビームをパターニングするように機能する、支持構造と
    基板を保持するための基板テーブル
    前記基板の対象部分上に前記パターニングしたビームを投影するための投影システムと
    を備え、前記装置は、更に
    前記照明装置および前記パターニング手段の相互透過係数関数を規定し、前記パターニング手段によって生成した前記パターンに基づいて回折オーダーの結像に対する相対的な関連性を求め、前記相互透過係数関数から最適化した照明形状を算出し、前記回折オーダーの結像に対する前記相対的な関連性を求め、
    前記算出手段によって算出した前記照明形状に従って、前記照明システムから射出する前記投影ビームにおける断面強度分布を選択するための選択手段と
    を備えるリソグラフィ投影装置。
JP2002097334A 2001-02-23 2002-02-22 特定のマスク・パターンのための照明の最適化 Expired - Lifetime JP3867904B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US271305 1999-03-17
US27130501P 2001-02-23 2001-02-23

Publications (2)

Publication Number Publication Date
JP2002334836A JP2002334836A (ja) 2002-11-22
JP3867904B2 true JP3867904B2 (ja) 2007-01-17

Family

ID=23035020

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002097334A Expired - Lifetime JP3867904B2 (ja) 2001-02-23 2002-02-22 特定のマスク・パターンのための照明の最適化

Country Status (6)

Country Link
US (1) US6871337B2 (ja)
EP (1) EP1239331B1 (ja)
JP (1) JP3867904B2 (ja)
KR (1) KR100579604B1 (ja)
DE (1) DE60210852T2 (ja)
TW (1) TWI285295B (ja)

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6792591B2 (en) * 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
US7735052B2 (en) * 2001-04-24 2010-06-08 Asml Masktools Netherlands B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
TWI315027B (en) 2002-04-23 2009-09-21 Canon Kabushiki Kaish Mask designing method, and exposure method for illuminatiing a mask and exposing an object
JP2004128108A (ja) * 2002-10-01 2004-04-22 Oki Electric Ind Co Ltd 投影露光装置のアパーチャ形状の最適化方法
SG116510A1 (ja) * 2002-11-12 2005-11-28
EP1429191B1 (en) * 2002-12-09 2008-11-26 ASML Netherlands B.V. Method for determining parameters for lithographic projection
TWI257524B (en) * 2002-12-09 2006-07-01 Asml Netherlands Bv A method for determining parameters for lithographic projection, a computer system and computer program therefor, a method of manufacturing a device and a device manufactured thereby
US7594199B2 (en) * 2003-01-14 2009-09-22 Asml Masktools B.V. Method of optical proximity correction design for contact hole mask
TWI290262B (en) * 2003-01-14 2007-11-21 Asml Masktools Bv Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US7471375B2 (en) * 2003-02-11 2008-12-30 Asml Netherlands B.V. Correction of optical proximity effects by intensity modulation of an illumination arrangement
US6839125B2 (en) * 2003-02-11 2005-01-04 Asml Netherlands B.V. Method for optimizing an illumination source using full resist simulation and process window response metric
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7245356B2 (en) 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US6964032B2 (en) * 2003-02-28 2005-11-08 International Business Machines Corporation Pitch-based subresolution assist feature design
SG169901A1 (en) * 2003-03-31 2011-04-29 Asml Masktools Bv Source and mask optimization
EP3226073A3 (en) 2003-04-09 2017-10-11 Nikon Corporation Exposure method and apparatus, and method for fabricating device
US6842223B2 (en) 2003-04-11 2005-01-11 Nikon Precision Inc. Enhanced illuminator for use in photolithographic systems
US7355673B2 (en) * 2003-06-30 2008-04-08 Asml Masktools B.V. Method, program product and apparatus of simultaneous optimization for NA-Sigma exposure settings and scattering bars OPC using a device layout
KR101115477B1 (ko) * 2003-06-30 2012-03-06 에이에스엠엘 마스크툴즈 비.브이. 이미지 필드 맵을 이용하여 어시스트 피처를 생성하는방법, 프로그램물 및 장치
US20050015233A1 (en) * 2003-07-17 2005-01-20 International Business Machines Corporation Method for computing partially coherent aerial imagery
US7550235B2 (en) * 2003-09-05 2009-06-23 Asml Masktools B.V. Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
US7451068B2 (en) * 2003-10-10 2008-11-11 Synopsys, Inc. Method and apparatus for generating an OPC segmentation based on modeled intensity gradients
US6973636B2 (en) * 2003-10-17 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of defining forbidden pitches for a lithography exposure tool
TWI457712B (zh) 2003-10-28 2014-10-21 尼康股份有限公司 照明光學裝置、投影曝光裝置、曝光方法以及元件製造方法
KR100927454B1 (ko) * 2003-10-31 2009-11-19 에이에스엠엘 마스크툴즈 비.브이. 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
TWI519819B (zh) 2003-11-20 2016-02-01 尼康股份有限公司 光束變換元件、光學照明裝置、曝光裝置、以及曝光方法
US7057709B2 (en) * 2003-12-04 2006-06-06 International Business Machines Corporation Printing a mask with maximum possible process window through adjustment of the source distribution
US7292315B2 (en) * 2003-12-19 2007-11-06 Asml Masktools B.V. Optimized polarization illumination
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US20070019179A1 (en) 2004-01-16 2007-01-25 Damian Fiolka Polarization-modulating optical element
US8270077B2 (en) 2004-01-16 2012-09-18 Carl Zeiss Smt Gmbh Polarization-modulating optical element
KR101099913B1 (ko) 2004-01-16 2011-12-29 칼 짜이스 에스엠티 게엠베하 편광변조 광학소자
TWI395068B (zh) 2004-01-27 2013-05-01 尼康股份有限公司 光學系統、曝光裝置以及曝光方法
EP1719019A2 (en) * 2004-02-03 2006-11-08 Mentor Graphics Corporation Source optimization for image fidelity and throughput
TWI494972B (zh) 2004-02-06 2015-08-01 尼康股份有限公司 偏光變換元件、光學照明裝置、曝光裝置以及曝光方法
US20050225740A1 (en) * 2004-03-31 2005-10-13 Padlyar Sushil D Light source for photolithography
US7384725B2 (en) * 2004-04-02 2008-06-10 Advanced Micro Devices, Inc. System and method for fabricating contact holes
US7324280B2 (en) 2004-05-25 2008-01-29 Asml Holding N.V. Apparatus for providing a pattern of polarization
JP4639120B2 (ja) * 2004-07-13 2011-02-23 エーエスエムエル ネザーランズ ビー.ブイ. マスク・パターンの光転送を最適化するための方法、リソグラフィ投影装置、および機械可読媒体
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7620930B2 (en) 2004-08-24 2009-11-17 Asml Masktools B.V. Method, program product and apparatus for model based scattering bar placement for enhanced depth of focus in quarter-wavelength lithography
JP4528580B2 (ja) * 2004-08-24 2010-08-18 株式会社東芝 照明光源の設計方法、マスクパターン設計方法、フォトマスクの製造方法、半導体装置の製造方法、及びプログラム
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
EP1696273B1 (en) * 2005-02-23 2008-08-06 ASML MaskTools B.V. Method and apparatus for optimising illumination for full-chip layer
US7317506B2 (en) * 2005-03-29 2008-01-08 Asml Netherlands B.V. Variable illumination source
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102005017516B3 (de) * 2005-04-15 2007-01-25 Infineon Technologies Ag Fotolithografische Abbildungseinrichtung und Vorrichtung zum Erzeugen einer Beleuchtungsverteilung
KR20170089028A (ko) 2005-05-12 2017-08-02 가부시키가이샤 니콘 투영 광학계, 노광 장치 및 디바이스 제조 방법
DE102005023714A1 (de) * 2005-05-19 2006-11-23 Carl Zeiss Smt Ag Projektionsmikrolithographieanlage und Verfahren zur Erzielung eines verbesserten Auflösungsvermögens in einer Projektionsmikrolithographieanlage
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
WO2007018464A2 (en) * 2005-08-08 2007-02-15 Micronic Laser Systems Ab Method and apparatus for projection printing
US7370313B2 (en) 2005-08-09 2008-05-06 Infineon Technologies Ag Method for optimizing a photolithographic mask
US7560199B2 (en) * 2005-10-20 2009-07-14 Chartered Semiconductor Manufacturing Ltd. Polarizing photolithography system
US7443413B2 (en) * 2005-10-21 2008-10-28 Hewlett-Packard Development Company, L.P. Laser diode modulator and method of controlling laser diode modulator
US7788628B1 (en) * 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
JP4957058B2 (ja) * 2006-04-12 2012-06-20 大日本印刷株式会社 回折光学素子、および該素子を備えた露光装置
US7444196B2 (en) * 2006-04-21 2008-10-28 Timbre Technologies, Inc. Optimized characterization of wafers structures for optical metrology
US7454739B2 (en) * 2006-05-31 2008-11-18 Synopsys, Inc. Method and apparatus for determining an accurate photolithography process model
WO2008039674A2 (en) * 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
JP2008076683A (ja) 2006-09-20 2008-04-03 Canon Inc 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
JP4804294B2 (ja) 2006-09-20 2011-11-02 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080158529A1 (en) * 2006-12-28 2008-07-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US8611637B2 (en) 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US20080278698A1 (en) * 2007-05-08 2008-11-13 Asml Netherlands B.V. Lithographic apparatus and method
US8237913B2 (en) * 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
JP4402145B2 (ja) * 2007-10-03 2010-01-20 キヤノン株式会社 算出方法、生成方法、プログラム、露光方法及び原版作成方法
JP5267029B2 (ja) 2007-10-12 2013-08-21 株式会社ニコン 照明光学装置、露光装置及びデバイスの製造方法
US8379187B2 (en) 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9116346B2 (en) 2007-11-06 2015-08-25 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US20090253079A1 (en) * 2008-04-07 2009-10-08 Zhang Fenghong Forming reverse illumination patterns
JP5106220B2 (ja) * 2008-04-10 2012-12-26 キヤノン株式会社 原版データ生成プログラム、原版データ生成方法、照明条件決定プログラム、照明条件決定方法およびデバイス製造方法
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
JP5086926B2 (ja) * 2008-07-15 2012-11-28 キヤノン株式会社 算出方法、プログラム及び露光方法
JP5176876B2 (ja) * 2008-10-31 2013-04-03 富士通セミコンダクター株式会社 シミュレーション方法、シミュレーション装置、及びシミュレーションプログラム
US7954071B2 (en) * 2008-10-31 2011-05-31 Synopsys, Inc. Assist feature placement based on a focus-sensitive cost-covariance field
NL2003719A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003716A (en) * 2008-11-24 2010-05-26 Brion Tech Inc Harmonic resist model for use in a lithographic apparatus and a device manufacturing method.
NL2003699A (en) * 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
EP2207064A1 (en) * 2009-01-09 2010-07-14 Takumi Technology Corporation Method of selecting a set of illumination conditions of a lithographic apparatus for optimizing an integrated circuit physical layout
WO2010117626A2 (en) * 2009-03-31 2010-10-14 Christophe Pierrat Lithography modelling and applications
JP5662762B2 (ja) 2009-11-20 2015-02-04 キヤノン株式会社 有効光源を算出する方法及びプログラム、露光方法並びにデバイス製造方法
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
NL2007477A (en) 2010-10-22 2012-04-24 Asml Netherlands Bv Method of optimizing a lithographic process, device manufacturing method, lithographic apparatus, computer program product and simulation apparatus.
US8458622B2 (en) * 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
NL2009056A (en) * 2011-08-09 2013-02-12 Asml Netherlands Bv A lithographic model for 3d topographic wafers.
WO2014042044A1 (ja) * 2012-09-11 2014-03-20 株式会社ニコン 瞳輝度分布の設定方法
US8612904B1 (en) * 2012-11-21 2013-12-17 Global Foundries Inc. Use of polarization and composite illumination source for advanced optical lithography
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
JP6337453B2 (ja) * 2013-12-11 2018-06-06 富士通セミコンダクター株式会社 近似光源の設計方法
US10162271B2 (en) * 2014-02-03 2018-12-25 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
US9529268B2 (en) * 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
TWI624765B (zh) * 2014-04-14 2018-05-21 Asml荷蘭公司 用以改良微影程序之電腦實施方法及電腦程式產品
TWI620980B (zh) * 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
CN111443576B (zh) 2015-04-07 2023-04-07 联华电子股份有限公司 照明系统以及使用其形成鳍状结构的方法
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
US10416566B2 (en) 2015-12-14 2019-09-17 Asml Netherlands B.V. Optimization of source and bandwidth for new and existing patterning devices
US10270947B2 (en) 2016-09-15 2019-04-23 Microsoft Technology Licensing, Llc Flat digital image sensor
EP3382606A1 (en) * 2017-03-27 2018-10-03 ASML Netherlands B.V. Optimizing an apparatus for multi-stage processing of product units
CN111433674B (zh) 2017-10-19 2024-01-09 西默有限公司 在单次光刻曝光通过过程中形成多个空间图像
US10867112B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4631416A (en) * 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
DE69231715D1 (de) 1991-03-04 2001-04-12 At & T Corp Herstellungsverfahren von integrierten Halbleiterschaltungen unter Anwendung von latenten Bildern
JP3200894B2 (ja) * 1991-03-05 2001-08-20 株式会社日立製作所 露光方法及びその装置
JP3243818B2 (ja) * 1992-02-14 2002-01-07 株式会社ニコン 投影露光装置及び方法、並びに素子製造方法
US5512760A (en) * 1993-05-06 1996-04-30 U.S. Philips Corporation Optical height detector with coaxial irradiation and image axes and plural detectors spaced along the image axis
JPH0729813A (ja) * 1993-07-07 1995-01-31 Nippon Telegr & Teleph Corp <Ntt> 投影露光の最適化方法
JP2715895B2 (ja) * 1994-01-31 1998-02-18 日本電気株式会社 光強度分布シミュレーション方法
KR960002536A (ja) * 1994-06-29 1996-01-26
DE69637015T2 (de) * 1995-03-16 2007-08-16 Fei Co., Hillsboro Methode zur rekonstruktion von teilchenwellen in einer teilchen-optischen vorrichtung
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
JPH0955349A (ja) 1995-08-14 1997-02-25 Sony Corp パターン形成方法および露光装置
KR0171947B1 (ko) * 1995-12-08 1999-03-20 김주용 반도체소자 제조를 위한 노광 방법 및 그를 이용한 노광장치
JPH09230603A (ja) * 1996-02-26 1997-09-05 Toppan Printing Co Ltd 露光方法
US6259513B1 (en) * 1996-11-25 2001-07-10 Svg Lithography Systems, Inc. Illumination system with spatially controllable partial coherence
JP2910716B2 (ja) * 1997-01-16 1999-06-23 日本電気株式会社 光強度計算のパラメトリック解析方法
US5821014A (en) * 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
WO1998039689A1 (en) * 1997-03-07 1998-09-11 Asm Lithography B.V. Lithographic projection apparatus with off-axis alignment unit
US6223129B1 (en) * 1998-05-13 2001-04-24 Diverseylever, Inc. Apparatus and method for conductivity measurement including probe contamination compensation
US6373553B1 (en) * 1999-09-20 2002-04-16 Intel Corp. Photo-lithographic method to print a line-space pattern with a pitch equal to half the pitch of the mask
US7304775B2 (en) * 2000-03-03 2007-12-04 Coho Holdings, Llc Actively stabilized, single input beam, interference lithography system and method
US6335130B1 (en) * 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
US6338922B1 (en) * 2000-05-08 2002-01-15 International Business Machines Corporation Optimized alternating phase shifted mask design
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6519760B2 (en) * 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
US6792591B2 (en) * 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
US6620564B2 (en) * 2002-02-01 2003-09-16 Macronix International Co., Ltd. Method for patterning semiconductors through adjustment of image peak side lobes

Also Published As

Publication number Publication date
DE60210852T2 (de) 2006-10-05
KR100579604B1 (ko) 2006-05-12
EP1239331A2 (en) 2002-09-11
KR20020070806A (ko) 2002-09-11
US6871337B2 (en) 2005-03-22
TWI285295B (en) 2007-08-11
JP2002334836A (ja) 2002-11-22
EP1239331B1 (en) 2006-04-26
US20020152452A1 (en) 2002-10-17
DE60210852D1 (de) 2006-06-01
EP1239331A3 (en) 2004-09-29

Similar Documents

Publication Publication Date Title
JP3867904B2 (ja) 特定のマスク・パターンのための照明の最適化
JP4731830B2 (ja) ソースおよびマスクの最適化
KR100825454B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
JP4267245B2 (ja) 解像度以下の補助フィーチャとして罫線ラダー・バーを利用した光近接補正方法
JP4430595B2 (ja) 極端相互作用ピッチ領域を識別する方法、マスクを製造する方法、およびコンピュータプログラム
JP3992688B2 (ja) コンタクト・ホール・マスクの光学的近接補正設計の方法
TWI284786B (en) Method and apparatus for performing model-based layout conversion for use with dipole illumination
JP4974972B2 (ja) 照明源最適化によってレンズ収差補償を行う方法および装置
KR100865768B1 (ko) 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치
KR100927454B1 (ko) 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
JP4056462B2 (ja) リソグラフィ投影用パラメータを決める方法、そのためのコンピュータシステムおよびコンピュータプログラム、デバイス製造方法並びにそれによって製造したデバイス
US20050018164A1 (en) Exposure with intensity balancing to mimic complex illuminator shape
JP2005183981A (ja) インターフェレンス・マッピング・リソグラフィを使用した画像構造の最適化
JP5159501B2 (ja) 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイス製造方法
JP2005141242A (ja) プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法
JP4639120B2 (ja) マスク・パターンの光転送を最適化するための方法、リソグラフィ投影装置、および機械可読媒体
EP1612606A2 (en) Illumination optimization for specific mask patterns
EP1429191B1 (en) Method for determining parameters for lithographic projection

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060515

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060809

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060908

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061005

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3867904

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091020

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101020

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111020

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121020

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131020

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term