KR100825454B1 - 리소그래피 장치 및 디바이스 제조 방법 - Google Patents

리소그래피 장치 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR100825454B1
KR100825454B1 KR1020060120198A KR20060120198A KR100825454B1 KR 100825454 B1 KR100825454 B1 KR 100825454B1 KR 1020060120198 A KR1020060120198 A KR 1020060120198A KR 20060120198 A KR20060120198 A KR 20060120198A KR 100825454 B1 KR100825454 B1 KR 100825454B1
Authority
KR
South Korea
Prior art keywords
radiation
pattern
delete delete
substrate
illumination
Prior art date
Application number
KR1020060120198A
Other languages
English (en)
Other versions
KR20070057059A (ko
Inventor
알렉 치-헹 첸
스티븐 조지 핸슨
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20070057059A publication Critical patent/KR20070057059A/ko
Application granted granted Critical
Publication of KR100825454B1 publication Critical patent/KR100825454B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70308Optical correction elements, filters or phase plates for manipulating imaging light, e.g. intensity, wavelength, polarisation, phase or image shift
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Abstract

리소그래피 장치를 이용하여 기판 상으로의 패턴의 이미지의 전사를 구성하는 방법이 제시되어 있다. 상기 기판 상으로 전사된 패턴의 이미지로부터 0차 비회절 차수(zeroth non diffracted order)를 실질적으로 제거하기 위해, 10 %보다 더 높은 투과 비율을 갖는 고투과 감쇠 위상 시프트 마스크(high transmission attenuated phase shift mask) 및 크롬없는 위상 시프트 마스크(chromeless phase shift mask) 중 하나로 구성되는 패터닝 디바이스의 패턴으로 패터닝된 방사선의 빔을 필터링하는 단계; 및 상기 필터링된 방사선의 패터닝된 빔을 기판 상에 투영하는 단계를 포함하여 이루어지는 패턴의 이미지를 전사하는 방법이 제공된다.

Description

리소그래피 장치 및 디바이스 제조 방법{LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD}
이하 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 나타내는 도면;
도 2a는 약 0.1의 시그마를 갖는 종래의 조명 모드를 이용하여 도 2b에 나타낸 접촉홀들의 패턴의 조명으로부터 발생한 시뮬레이트된(simulated) 회절 패턴을 나타내는 도면;
도 2b는 140 nm 피치 내에 배치된 90 nm 접촉홀들의 개략적인 패턴을 나타내는 도면;
도 3a는 바이너리 마스크에 대해, 접촉홀 크기의 함수로서 다양한 회절 차수(diffraction order)들의 시뮬레이트된 속성 변화를 나타내는 도면;
도 3b는 바이너리 마스크에 대해, 접촉홀 크기의 함수로서 회절 차수들의 쌍(pair)들의 최대 세기의 시뮬레이트된 변화를 나타내는 도면;
도 4a는 크롬없는 마스크에 대해, 접촉홀 크기의 함수로서 다양한 회절 차수들의 시뮬레이트된 속성 변화를 나타내는 도면;
도 4b는 크롬없는 마스크에 대해, 접촉홀 크기의 함수로서 회절 차수들의 쌍 들의 최대 세기의 시뮬레이트된 변화를 나타내는 도면;
도 4c는 0°시프트된 석영의 100 % 투과 영역들 및 180°시프트된 석영의 100 % 투과 영역들을 갖는 크롬없는 마스크의 평면도;
도 4d는 0차 빔을 포함하는 종래의 조명을 이용하는 도 4c의 크롬없는 마스크의 조명으로부터 발생하는 포스트(post)들의 패턴을 나타내는 도면;
도 5a는 퓨필 필터링을 이용한 경우 및 이용하지 않은 경우의 다양한 리소그래피 공정들에 대한 피치의 함수로서 시뮬레이트된 최대 노광 래티튜드 변화를 나타내는 도면;
도 5b는 퓨필 필터링을 이용한 경우 및 이용하지 않은 경우의 다양한 리소그래피 공정들에 대한 피치의 함수로서 시뮬레이트된 초점 심도 변화를 나타내는 도면;
도 5c는 퓨필 필터링을 이용한 경우 및 이용하지 않은 경우의 다양한 리소그래피 공정들에 대한 피치의 함수로서 시뮬레이트된 마스크 오차 향상 인자(MEEF) 변화를 나타내는 도면;
도 6은 퓨필 필터링을 이용한 경우 및 이용하지 않은 경우의 다양한 리소그래피 공정들에 대한 피치의 함수로서 시뮬레이트된 임계 치수 균일성 변화를 나타내는 도면;
도 7은 본 발명의 일 실시예에 따른, 기판 상으로의 패턴의 광학 전사를 구성하는 방법의 흐름도;
도 8은 종래의 조명 방법 및 도 7의 방법을 이용하여 얻어진 시뮬레이트된 CD 변화 하프 범위를 나타내는 도면;
도 9는 종래의 조명 방법 및 도 7의 방법에 대해 피치의 함수로서 시뮬레이트된 MEEF의 변화를 나타내는 도면;
도 10은 종래의 조명 방법 및 도 7의 방법에 대해 피치의 함수로서 시뮬레이트된 포커스 감응성(focus sensitivity)의 변화를 나타내는 도면;
도 11은 본 발명의 일 실시예에 따른 접촉홀들의 랜덤 또는 불규칙한 패턴을 개략적으로 나타내는 도면;
도 12는 도 11에 나타낸 9 개의 선택된 접촉홀에 대해 초점 심도의 함수로서 시뮬레이트된 노광 래티튜드의 변화를 나타내는 도면;
도 13은 본 발명의 일 실시예에 따라, 도 11에 나타낸 9 개의 선택된 접촉홀에 대해 초점 심도의 함수로서 시뮬레이트된 노광 래티튜드의 변화를 나타내는 도면;
도 14는 도 11에 나타낸 9 개의 선택된 접촉홀에 대해 초점 심도의 함수로서 시뮬레이트된 노광 래티튜드의 변화를 나타내는 도면;
도 15는 본 발명의 일 실시예에 따라, 도 11에 나타낸 9 개의 선택된 접촉홀에 대해 초점 심도의 함수로서 시뮬레이트된 노광 래티튜드의 변화를 나타내는 도면;
도 16은 본 발명의 일 실시예에 따른 조명 구성의 시뮬레이트된 단면을 나타내는 도면;
도 17a는 마스크 투과(%)의 함수로서 다양한 회절 차수 (00), (01), (11) 및 (00pi)의 시뮬레이트된 최대 진폭 변화를 나타내는 도면; 및
도 17b는 마스크 투과(%)의 함수로서 회절 차수들의 쌍들의 시뮬레이트된 최대 세기 변화를 나타내는 도면이다.
본 발명은 리소그래피 장치 및 리소그래피 방법에 관한 것이다.
리소그래피 장치는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조시에 사용될 수 있다. 그 상황에서, 방사선의 빔은 조명 시스템을 가로질러 패터닝 디바이스를 조명한다. 패터닝 디바이스는, 대안적으로 마스크 또는 레티클이라 칭하며, IC의 개별층에 대응하는 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 방사선-감응재(레지스트)층을 갖는 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 한 개 또는 수 개의 다이의 부분을 포함하는) 타겟부 상으로 이미징(imaging)될 수 있다. 일반적으로, 단일 기판은 연속하여 노광되는 인접한 타겟부들의 네트워크를 포함할 것이다. 종래의 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 소위 스테퍼, 및 방사선 빔을 통해 주어진 방향("스캐닝"- 방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향(같은 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는, 소위 스캐너를 포함한다.
패터닝 디바이스의 방사선 빔 업스트림(upstream)은, 조명 시스템의 퓨필 평면에 상기 빔이 원하는 공간 세기 분포(spatial intensity distribution)를 갖도록 형성되고 제어된다. 상기 분포는 조명 모드, 조명 형상 또는 조명 배치로서 언급된다. 다양한 조명 형상들이 사용될 수 있다. 예를 들어, 전통적으로 (퓨필 내에 중산모(top-hat)형의 세기 분포를 갖고 퓨필 평면의 축선 상에 중심이 있는) 소위 "종래 조명"이 사용된다. 또한, 조명 형상이 환형(annular), 다이폴(dipole), 쿼드러플(quadrupole) 및 더 복잡한 형상의 배치와 같은 "오프-액시스(off-axis)" 조명 모드들이 현재 일반적으로 사용되고 있다. 조명 시스템 퓨필 평면 내의 반경 위치(radial position)는, 통상적으로 투영 시스템의 개구수(numerical aperture)에 대응하는 퓨필 반경의 시그마(σ)률(fraction sigma)로 표현된다. 종래의 조명 모드는 σ의 단일 값에 의해 특성화될 수 있으며, 이때 0 < σ < 1이다. 또한, 종래 조명은 "종래의 시그마 조명" 및 "원형 조명"으로 언급될 수도 있다. 환형 조명 모드는 2 개의 시그마 값: 각각 환형의 세기 분포의 내반경 및 외반경 크기를 나타내는 외측-σ 및 내측-σ에 의해 특성화될 수 있다.
포토리소그래피는, IC 및 다른 디바이스 및/또는 구조체의 제조시 키 스텝(key step) 중 하나로서 폭넓게 인식된다. 현재에는, 원하는 패턴 구성(architecture)에 유사한 정확성, 속도 및 경제적인 생산성을 제공할 것 같은 대안적인 기술이 없다. 하지만, 포토리소그래피를 이용하여 만든 피처들의 치수들이 더 작아짐에 따라, 포토그래피는 축소화된 IC 또는 다른 디바이스 및/또는 구조체가 대규모로 제조될 수 있도록 하는 가장 중요한(비록, 가장 중요하지는 않다고 하 더라도) 인자(critical gating factor) 중 하나가 되고 있다.
패턴 프린팅의 제한의 이론적 추정은, 수학식(1)에서 나타내는 바와 같은 분해능(resolution)을 위한 레일리 기준(Rayleigh criterion)에 의해 주어질 수 있다:
Figure 112006089215982-pat00001
이때, λ는 사용된 방사선의 파장이고, NAPS는 패턴을 프린트하는데 사용된 투영 시스템의 개구수이며, k1은 레일리 상수라고도 칭하는 공정 의존성 조정 인자(process dependent adjustment factor)이고, CD는 어레이 내에 1:1 듀티 사이클(duty cycle)(즉, 피치(pitch)의 절반과 동일한 크기를 갖는 동일한 라인들 및 공간들 또는 홀들)로 배치된 피처의 피처 크기이다. 따라서, 어레이 내에서 피처들이 이격되는 소정의 피치에 의해 특성화된 피처들의 어레이와 관련하여, 수학식(1)의 임계 치수(critical dimension: CD)는 리소그래피로 프린트될 수 있는 최소 피치의 절반의 값을 나타내며, 아래에 "하프-피치(half-pitch)"라고 언급된다.
수학식(1)으로부터, 피처들의 프린트가능한 최소 크기의 감소는 3 가지 방식으로: 노광 파장(λ)을 짧게 하거나, 개구수(NAPS)를 증가시키거나, k1의 값을 감소시킴으로써 얻어질 수 있다는 것을 알 수 있다.
레일리 상수(k1)를 낮춤에 따라 패턴 분해능을 개선하기 위해, 리소그래피에 서 광범위하게 사용되었던 현재의 분해능 향상 기술(current resolution enhancement technique)들은, 위상 시프트 마스크(phase shift mask)들 및 오프-액시스 조명의 사용을 포함한다. 이 분해능 향상 기술들은 리소그래피 프린팅을 위한 특정한 중요성 및 IC 디바이스 내의 와이어링 레벨(wiring level)들 사이의 연결들을 정의하는 접촉홀(contact hole) 또는 비아(via)의 처리로 이루어지며, 이는 다른 IC 피처들에 비해 접촉홀들이 비교적 작은 영역을 갖기 때문이다. 접촉홀들은, 예를 들어 교번-어퍼처 위상 시프트 마스크(alternating-aperture phase shift mask) 및 포지티브 레지스트(positive resist)와 조합하여, 종래의 온-액시스(on-axis) 조명을 이용하여 프린트될 수 있다.
대안적으로, 접촉홀들은 바이너리 마스크(binary mask) 또는 감쇠 위상 시프트 마스크(attenuated phase shift mask) 중 하나 및 포지티브 레지스트와 조합하여 오프-액시스 조명을 이용하여 프린트될 수 있다.
바이너리 마스크는 석영 또는 크롬 피처들로 이루어진다. 바이너리 마스크를 이용하여, 방사선은 투명한 석영 영역을 통과하고 불투명한 크롬 영역에 의해 차단된다. 감쇠 위상 시프트 마스크들은 석영의 인접한 영역들 및, 예를 들어 몰리브덴 규화물(molybdenum silicide: MoSi)을 통해 그 패턴들을 형성한다. 크롬과는 달리, MoSi 또는 여하한의 다른 동등한 재료는 작은 비율의 방사선이 통과(전형적으로, 6 %)하게 한다. 하지만, 전달된 방사선은 이웃한 투명한 석영 영역들을 통과하는 방사선과 위상이 180°다르도록 MoSi의 두께가 선택된다. MoSi 영역들을 통과한 방사선은 레지스트를 노광하기에는 너무 약하다. 하지만, 위상 차는 세기를 "저하" 시켜서 크롬 내의 유사한 피처들보다 "더 어둡게" 되는 역할을 한다.
오프-액시스 조명은, 패터닝 디바이스 패턴으로부터 발산(emanate)하는 0차 빔 및 1차 회절빔(first order diffracted beam)이 더 높은 회절 각도로 동시에 캡처(capture)되게 하여 더 작은 피치를 야기함으로써, 분해능 및 초점 심도(depth of focus)를 개선한다.
하지만, 오프-액시스 조명과 함께 감쇠 위상 시프트 마스크들 또는 바이너리 마스크들의 사용은, (λ= 193 nm, NAPS= 0.93 및 k1= 0.4에서) 약 85 nm 이하로 접촉홀들을 패터닝하기에 불가능할 수 있다. 이 기술들은 제한된 능력을 가지며, k1= 0.4로 공정시 얻을 수 있는 CD 이하로 하프-피치들을 프린트하는데 충분한 공정 래티튜드(latitude)(즉, 임계 치수 내에서 주어진 공차(tolerance)에 대해 조합된 이용가능한 초점 심도 및 허용가능한 노광 도스의 변수)를 제공하지 않을 수 있다.
본 발명의 실시예들은, 리소그래피 장치를 이용하여 기판 상으로 마스크 패턴의 이미지를 전사하는 방법을 포함하고, 상기 방법은 방사선의 패터닝된 빔을 생성하기 위해 방사선 빔으로 마스크 패턴을 조명하고, 패터닝 디바이스가 크롬없는(chromeless) 위상 시프트 마스크 또는 약 10 %보다 높은 투과 비율을 갖는 고투과(high transmission) 감쇠 위상 시프트 마스크로 이루어지는 단계; 실질적으로 0차 비회절 차수(zeroth non diffracted order)를 제거하기 위해 방사선의 패터닝된 빔을 필터링하는 단계; 및 기판 상으로 필터링된 방사선의 패터닝된 빔을 투영하는 단계를 포함한다.
본 발명의 또 다른 실시예에서, 리소그래피 장치를 이용하여 기판 상으로의 마스크 패턴의 이미지의 전사를 구성하는 방법이 제공된다. 상기 방법은 퓨필 필터 파라미터를 포함한 복수의 파라미터를 선택하는 단계; 선택된 파라미터들에 대한 패턴의 이미지를 계산하는 단계; 공정 범위에 걸쳐 계산된 이미지의 속성의 변화(variation)들을 나타내는 메트릭(metric)을 계산하는 단계; 및 메트릭의 결과에 기초하여, 반복적으로 (a) 퓨필 필터 직경을 조정하고 (b) 패턴의 이미지를 계산하며 (c) 실질적으로 속성의 변화의 최소값 또는 최대값이 얻어질 때까지 상기 메트릭을 계산하는 단계를 포함한다.
본 발명의 또 다른 실시예에서, 방사선 빔을 컨디셔닝하도록 구성된 조명 시스템, 방사선의 패터닝된 빔을 형성하기 위해 방사선 빔을 패터닝하도록 구성되고 약 10 %보다 높은 투과 비율을 갖는 고투과 감쇠 위상 시프트 마스크 또는 크롬없는 위상 시프트 마스크로 이루어지는 패터닝 디바이스를 지지하도록 구성된 지지 구조체; 기판을 유지하도록 구성된 기판 테이블; 기판 상으로 방사선의 패터닝된 빔을 투영하도록 구성된 투영 시스템; 및 투영 시스템의 퓨필 평면 내에 배치되고 실질적으로 방사선의 패터닝된 빔의 0차 비회절 차수를 제거하도록 구성된 필터를 포함하는 리소그래피 장치가 제공된다.
본 발명의 또 다른 실시예에서, 기계 실행가능한 명령어들을 갖는 컴퓨터 제품이 제공되고, 상기 명령어들은 리소그래피 장치를 이용하여 기판 상으로의 패턴의 이미지의 전사를 구성하는 방법을 수행하는 기계에 의해 실행가능하며, 상기 방 법은 퓨필 필터 파라미터를 포함한 복수의 파라미터를 선택하는 단계; 선택된 파라미터들에 대한 마스크 패턴의 이미지를 계산하는 단계; 공정 범위에 걸쳐 계산된 이미지의 속성의 변화를 나타내는 메트릭을 계산하는 단계; 및 상기 메트릭의 결과에 기초하여, 실질적으로 상기 속성의 변화의 최소값 또는 최대값이 얻어질 때까지 반복적으로 (a) 퓨필 필터 직경을 조정하고 (b) 패턴의 이미지를 계산하며 (c) 상기 메트릭을 계산하는 단계를 포함한다.
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 개략적으로 도시한다. 상기 장치는 방사선의 빔(B)(예를 들어, UV 방사선)을 컨디셔닝하기에 적합한 조명 시스템(일루미네이터)(IL), 및 패터닝 디바이스(예를 들어, 마스크)(MA)를 유지하도록 구성되고 투영 시스템(PS)에 대해 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정 디바이스(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT)를 포함한다. 또한, 상기 장치는 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고 투영 시스템(PS)에 대해 기판을 정확히 위치시키도록 구성된 제 2 위치설정 디바이스(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT)을 포함한다. 또한, 상기 장치는 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 상기 빔(B)에 부여된 패턴을 이미징하기에 적합한 투영 시스템(예를 들어, 굴절 투영 렌즈)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 마스크를 채 택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 아래에 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하는) 반사형으로 구성될 수 있다.
일루미네이터(IL)는 방사선 소스(SO)로부터 방사선의 빔을 수용한다. 예를 들어, 상기 소스가 엑시머 레이저(excimer laser)인 경우, 상기 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 상기 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 상기 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 상기 소스가 수은 램프인 경우, 상기 소스는 상기 장치의 통합부일 수 있다. 상기 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
투영 시스템(PS)은, 선택된 값에서의 기판 레벨로 투영 시스템(PS)의 개구수를 설정하도록 사용되는 조정가능한 투명 어퍼처(clear aperture)를 갖는 조리개(diaphragm)를 포함할 수 있다. 선택가능한 최대 개구수 또는 고정된 투명 어퍼처의 경우의 고정된 개구수는 NAPS라고 언급될 것이다.
패터닝 디바이스 레벨에서, 투영 시스템(PS)이 상기 빔(B)의 방사선의 광선들을 수용할 수 있는 대응하는 각도 캡처 범위가, NAPSOB라고 칭하는 투영 시스템(PS)의 대상물 측(object-side) 개구수에 의해 주어진다. 투영 시스템(PS)의 최 대 대상물 측 개구수는 최대 NAPSOB로 나타낸다. 통상적으로, 광학 리소그래피에서의 투영 시스템들은, 예를 들어 5x 또는 4x의 축소율(M)을 갖는 축소 투영 시스템들로서 구현된다. 개구수 NAPSOB는, NAPSOB = NAPS / M에 의해 축소율(M)을 통해 NAPS와 관련된다.
조명 시스템(IL)에 의해 패터닝 디바이스(MA)에 제공된 방사선의 빔(B)은 복수의 방사선의 광선을 포함하고, 그 각각은 패터닝 디바이스(예를 들어, 마스크) 상으로의 대응하는 입사 각도를 가지며, 도 1에서 축선 Z에 대해 정의된다. 이 광선들은 NAIL = sin(입사 각도)에 따른 조명 개구수 NAIL에 의해 특성화될 수 있으며, 이때 패터닝 디바이스에 대한 업스트림 위치에서의 공간의 굴절률은 1이라고 가정한다. 하지만, 그 NAIL에 의해 방사선의 조명 광선을 특성화하는 대신에, 대안적으로 조명 시스템의 퓨필 내의 광선에 의해 가로질러지는, 대응하는 지점의 반경 위치에 의해 상기 광선이 특성화될 수 있다. 이 반경 위치는 NAIL과 선형적으로 관련되며, 이는 다음에 의해 조명 시스템의 퓨필 내에서 대응하는 정규 반경 위치(σ)를 정의하도록 흔히 수행된다:
σ = NAIL / NAPSOB
또한, 인티그레이터(IN) 및 콘덴서(CO) 이외에, 조명 시스템은 조명 시스템의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하도록 구성된 조정 디바이스(AD)를 포함한다. 그 후, 조명 방사선의 최대 개구수는 NAILmax = 외측-σ * NAPSOB에 의해 정의된다. 정규화의 관점에서 보면, 외측-σ= 1인 경우 NAILmax = NAPSOB이기 때문에, 조명 퓨필의 에지(edge)를 가로지른 (및 이에 따라 최대 조명 개구수를 갖는) 광선들이 (패터닝 디바이스(MA)에 의한 회절이 없을 때에) 투영 시스템(PS)에 의해 바로 캡처될 수 있다.
상기 방사선의 빔(B)은 지지 구조체(MT) 상에 유지되어 있는 패터닝 디바이스(MA) 상에 입사된다. 상기 패터닝 디바이스(MA)를 가로질렀으면, 상기 방사선의 빔(B)은 투영 시스템(PS)을 통과하여 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정 디바이스(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스)의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 디바이스(PM) 및 또 다른 위치 센서(도 2에 명확히 도시되지 않음)는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 지지 구조체(MT) 및 기판 테이블(WT)의 이동은, 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움을 받아 실현될 것이며, 이는 위치설정 디바이스들(PM 및 PW) 중 하나 또는 둘 모두의 일부분을 형성한다. 하지만 (스캐너와는 대조적으로) 스테 퍼의 경우, 지지 구조체(MT)는 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다.
도시된 장치는 다음의 바람직한 모드들에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선의 빔에 부여되는 전체 패턴은 한번에 타겟부(C) 상에 투영된다(즉, 단일 정적 노광(single static exposure)). 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서 노광 필드의 최대 크기는, 단일 정적 노광시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 방사선의 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다(즉, 단일 동적 노광(single dynamic exposure)). 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 투영 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안, 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
리소그래피 공정의 수행을 추정하기 위하여, 다양한 파라미터가 사용될 수 있다. 높은 분해능 리소그래피에 대해 관련있는 이미징 퀄리티 파라미터(imaging quality parameter)들 중 하나는, 마스크 오차 향상 인자(MEEF)이다. MEEF는, (이미징 장치의 축소율에 의해 패턴 치수가 기판 크기로 스케일된 경우) 대응하는 패턴 피처 크기 내의 유닛 변화 당 타겟 기판 상에 프린트된 최종 피처 크기 내의 증분 변화에 대응한다. 리소그래피 장치의 분해능 제한 부근에서 MEEF는 종종 극적으로 상승한다. 또한, 노광 래티튜드, 초점 심도, 크기에 대한 도즈(dose to size)(E1:1이라고도 칭하는, 레지스트 피처의 적절한 치수를 생산하는데 필요한 노광 도즈 에너지의 양)와 같은 추가 파라미터들이 사용될 수도 있다.
예를 들어, 마스크의 패턴이 방사선의 간섭성 빔(coherent beam)으로 조명되는 경우, 이는 회절 패턴을 발생시키며, 방사선이 회절되는 각도들은 패턴의 공간 주파수 구성요소들에 의해 결정된다. 예를 들어, 라인/공간 패턴의 피치(P)에 의해 정의된 단일 공간 주파수를 갖는 무한한 라인/공간 패턴은, 다음의 수학식(3)에 의해 정의되는 각도들(또는 회절 차수들 n, 이때 n은 정수)에서 패턴의 라인들 및 공간들에 수직한 방향으로 (광학 축선을 따라 패턴에 대해 움직이는) 간섭성 방사선을 회절시킨다:
θ = sin-1{(n * λ) / P}
도 2a는 0.1 시그마를 갖는 종래의 원형 조명 형상으로의 접촉홀들의 패턴의 조명으로부터 발생한 시뮬레이트된 회절 패턴을 나타낸다. 접촉홀들의 패턴은 도 2b에 개략적으로 나타낸 바와 같이, 140 nm 피치 내에 배치된 90 nm 홀들의 어레이에 대응한다.
도 2a의 회절 패턴은 도 2b의 어레이의 홀 각각에 연계된 회절 패턴에 대응하며, 0차 비회절 차수(00) 및 1차 및 2차 회절 차수들을 포함한다. 1차 회절 차수들은 도 2a에서 보이는 바와 같이 2 개의 실질적으로 수직한 축선을 따라 정렬되며, 양의 차수들(positive orders)(10) 및 (01), 및 음의 차수들(10) 및 (01)을 포함한다. 2차 회절 차수들은 양의 차수(11) 및 음의 차수들(11), (11) 및 (11)을 포함한다. 시각화를 위해 도 2b의 접촉홀들의 어레이에 의해 발생된 이 회절 차수들 모두, 즉 1차 및 2차 차수들을 캡처하기 위하여, 투영 시스템의 개구수는 약 2.39로 설정된다. 이로써, 도 2a는 투영 시스템(PS)에 의해 모인 방사선 빔(B)의 단면에 대응한다.
접촉홀 크기 내에서 변화하는 방사선 빔(B)의 회절 구성요소들/차수들의 응답은, 도 3a에 도시된 시뮬레이션 결과들에 의해 나타낸 바와 같이 실질적으로 변할 수 있다. 이 도면은 패터닝 디바이스 상의 접촉홀의 함수로서 선택된 회절 차수들((01) 및 (11))의 시뮬레이트된 진폭 변화를 나타낸다. 바이너리 마스크(BIM) 상의 140 nm 피치 내에 배치된 75 nm 접촉홀들의 정사각형 어레이에 대해 계산들이 수행된다. 또한, 도 3a에서 0차 차수의 변화가 나타난다. 대칭(symmetry)적인 이유로, 단지 회절 차수들(01) 및 (11)의 진폭만을 나타내는데, 차수들((10), (10) 및 (01)) 및 ((11), (11) 및 (11))의 진폭이 각각 회절 차수들(01) 및(11)의 진폭과 실질적으로 동일하게 유지되어야 하기 때문이다. 이로써, 그 표현은 생략된다.
도 3a에서 알 수 있는 바와 같이, 홀 크기가 증가함에 따라 1차 비회절 차수의 진폭이 빠르게 증가한다. 대조적으로, 1차 및 2차 회절 차수들의 응답들은, 더 낮은 진폭을 가짐에도 불구하고 패턴의 홀 크기에 상관없이 실질적으로 일정하게 유지된다. 최대 세기에 관해 대응하는 결과들은 도 3b에 나타내어 있다. 도 3b는 1차 회절 차수들의 쌍인 (00) 및 (01), 및 2차 회절 차수들의 쌍인 (01) 및 (11)에 대한, 계산된 에어리얼 이미지 세기(calculated aerial image intensity)를 나타낸다. 계산된 에어리얼 이미지 세기는 최대I = (A + A')2로 정의되며, 이때 A 및 A' 각각은 회절 차수의 진폭을 나타낸다. 스칼라 모델(scalar model)을 이용한 PROLITHTM 소프트웨어 시뮬레이터로 에어리얼 이미지 계산들이 수행된다. 도 3b는, 0차 비회절 차수(00) 및 1차 회절 차수(01)을 포함한 방사선 빔으로의 이미징이 홀 크기에 대해 매우 민감하여 높은 MEEF를 생성하는 것을 나타낸다. 대조적으로, 1차 및 2차 회절 차수들(01) 및 (11)을 포함한 방사선 빔으로의 이미징은 홀 크기에 대해 실질적으로 민감하지 않아 낮은 MEEF를 생성한다.
도 4a 및 도 4b는 크롬없는 마스크/패터닝 디바이스를 이용하여 도 3a 및 도 3b와 유사한 계산들을 나타낸다. 크롬없는 마스크(또는 크롬없는 위상 리소그래피 마스크, CPL 마스크)는, 전형적으로 스캐터링 바(scattering bar) 및 모델 기반 광 근접성 보정(model-based optical proximity correction)들의 이용을 포함하는, 크롬, 위상 및 변화가능한 투과 (크롬 및 위상) 피처들을 포함한 강(strong) 위상 시프트 마스크이다. 크롬없는 마스크는 0°시프트된 석영 및 180°시프트된 석영의 영역들을 포함한다. 크롬없는 위상 리소그래피는, 임베디드 감쇠 위상 시프트 마스크(embedded attenuated phase-shift mask)와 훨씬 더 고가인 교번 위상 시프트 마스크(alt-PSM) 사이의 위치에 있다. alt-PSM들은 웨이퍼 상에 피처들을 형성하기 위해 180°시프트된 석영과 크롬의 교번 영역들을 채택한다. alt-PSM은 강력한 기술이다. 하지만, 마스크를 제조하는 공정이 바이너리 마스크에 대한 것보다 상당히 더 많은 노력을 요구하며 더 고가일 수 있다. 또한, alt-PSM은 여분의 비용과 감소된 스테퍼 스루풋(stepper throughput)을 유도하는 제 2 "트림(Trim)" 마스크를 수반한다.
도 4a 및 도 4b를 다시 언급하면, 동일한 패턴, 즉 140 nm 피치 내에 배치된 75 nm 접촉홀들의 어레이에 대해서, 그러나 크롬없는 마스크를 이용하여 계산들이 수행된다. 도 4a는 패터닝 디바이스 상에서 측정된 접촉홀 크기의 함수로서 다양한 회절 차수들의 진폭의 시뮬레이트된 변화를 나타낸다. 도 3a와 유사하게, 0차 비회절 차수의 진폭은 홀 크기에 대해 매우 민감하다. 이 구현에서, 0차 비회절 차수의 위상은 더 높은 차수들의 위상과 반대(opposite)이다. 이로써, 크롬없는 마스크/패터닝 디바이스 내의 0차 비회절 차수로의 이미징이 접촉홀들보다 오히려 어두운 이미지 또는 포스트를 생성한다.
도 4c는 0°시프트된 석영(400)의 100 % 투과 영역들 및 180°시프트된 석영(410)의 100 % 투과 영역들을 갖는 크롬없는 마스크의 평면도이다. 0°시프트된 석영의 100 % 투과 영역들은 접촉홀들의 어레이를 정의한다. 도 4d는 0차 차수 빔을 포함한 종래의 조명 모드를 이용하는 도 4c의 크롬없는 마스크의 조명으로부터 발생한 포스트들(420)의 시뮬레이트된 패턴을 나타낸다.
또한, 도 4a 및 도 4b의 결과들은, 1차 및 2차 회절 차수들의 시뮬레이트된 진폭 및 세기가 바이너리 바스크를 이용한 것보다 크롬없는 마스크/패터닝 디바이스를 이용하는 경우에 상당히 더 높음을 나타낸다. 이는 타겟 크기에 대해 패턴을 이미징하는데 대해 노광 도즈가 실질적으로 감소될 수 있기 때문에 특히 중요하다. 또한, 도 3b에서 바이너리 마스크로 이미징한 0차 및 1차 차수에 대한 세기들은 도 4b에서 크롬없는 마스크로 이미징한 1차 및 2차 차수에 대한 세기들과 유사하다는 것을 이해할 것이다.
초점 심도를 개선하고 MEEF를 감소시키기 위하여, 본 발명의 1 이상의 실시예에서는 방사선 빔의 회절 차수들을 선택적으로 필터링하는 것이 제안된다. 본 발명의 일 실시예에서, 이는 전체적으로 또는 부분적으로 0차 비회절 차수를 차단하 는 투영 시스템 내의 애포다이제이션 플레이트(apodization plate) 또는 필터를 제공함으로써 달성된다. 본 발명의 또 다른 실시예에서, 필터는 0차 비회절 차수를 실질적으로 제거하도록 구성될 수 있다. 또 다른 실시예에서, 필터는 1차 회절 차수의 일부분을 더 제거하도록 구성될 수 있다. 애포다이제이션 플레이트 또는 필터는 투영 시스템의 퓨필 평면 내에 배치될 수 있다. 애포다이제이션 플레이트 또는 퓨필 필터는 원형 플레이트로 구성될 수 있다.
퓨필 필터링은, 예를 들어 (10 %와 100 % 사이의 투과 비율을 갖고, 이때 100 %는 크롬없는 마스크와 동등한) 고투과 위상 시프트 마스크 또는 바이너리 마스크에 관련하여 사용될 수 있다. 바이너리 마스크를 이용한 퓨필 필터의 이용은, 복잡한 어시스트 피처(complex assist feature)들, 패터닝 디바이스들 또는 조명 구성들의 이용에 의존하지 않고, 공정 윈도우(process window)를 상당히 증가시킬 수 있다. 도 5a 내지 도 5c는 3 가지 상이한 리소그래피 공정들에 대한 피치의 함수로서 최대 노광 래티튜드(도 5a), 노광 래티튜드(DOF@6%EL)(도 5b) 및 MEEF(도 5c)의 시뮬레이트된 변화들을 나타낸다. ("0.8s & BM w PF"라고 언급되고 도 5a 내지 도 5c에서 "PR1"로서 식별되는) 제 1 리소그래피 공정은 종래의 0.8 시그마 조명, 바이너리 마스크 및 0차 비회절 차수를 제거하는 퓨필 필터를 포함한다. 퓨필 필터는 투영 시스템의 퓨필 평면 내에 배치된 0.5 시그마 플레이트로 구성된다. ("과녁(bullseye) & ht-psm w/o PF"라고 언급되고 도 5a 내지 도 5c에서 "PR2"로서 식별되는) 제 2 리소그래피 공정은 과녁 조명 및 6 % 고투과 위상 시프트 마스크를 포함한다. 과녁 조명은 종래의 0.5 시그마 조명 및 0.96/0.76 외측/내측 시그마를 갖는 환형 조명을 포함한다. ("0.8s & ht-psm w/o PF"라고 언급되고 도 5a 내지 도 5c에서 "PR3"로서 식별되는) 제 3 리소그래피 공정은 종래의 0.8 시그마 조명과 6 % 고투과 위상 시프트 마스크를 조합한다. 제 2 및 제 3 리소그래피 공정에 대해 제공되는 퓨필 필터는 없다. 캘리브레이트된(calibrated) 모델(PROLITHTM v 8.1)을 이용하여, 그리고 80 nm 접촉홀들의 패턴에 대해 계산들이 수행된다. 1.2 개구수가 사용된다. 포스트-OPC에 대해(즉, 광 근접성 보정들 이후에) 도 5a 내지 도 5c의 결과들이 제공된다.
도 5a 내지 도 5c는, 퓨필 필터링이 MEEF를 감소시키는 한편, 동시에 초점 심도 및 노광 래티튜드를 상당히 증가시키는 것을 나타낸다. 퓨필 필터링을 포함하는 제 1 리소그래피 공정은, 복잡한 조명들(예를 들어, 과녁 조명) 및 기준 투과 위상 시프트 마스크들과 같은 훨씬 더 정교한(sophisticated) 리소그래피 솔루션에 비해, 100 nm 내지 1000 nm 피치 범위를 통해 전반적인 최고의 리소그래피 성능을 제공한다.
임계 치수 균일성(CDU)에 관해 시뮬레이트된 결과들이 도 6에 나타내어 있다. 이 도면은 도 5a 내지 도 5c의 제 1, 제 2 및 제 3 리소그래피 공정들에 대한 피치의 함수로서 80 nm 접촉홀들의 패턴에 대한 CDU의 변형을 나타낸다(도 6에서 각각 "PR1", "PR2" 및 "PR3"으로서 식별됨). CDU는 도즈, 초점 및 마스크 오차들의 결과로 CD 변화들을 나타낸다. 이 파라미터는 실질적으로 CD 분포 내의 6 시그마 CD 변화에 대응한다. 이러한 경우에, CDU는 노광 도즈, 포커스 및 마스크 오차들의 가정된, 그러나 실제적인 계획(budget)에 걸친 CD 변화들의 2차합(quadratic sum)에 대응한다. 구체적으로, 130 nm 내지 1000 nm 범위 내의 각각의 피치에 대해, 또한 4 % 도즈 오차 범위, 150 nm 포커스 오차 범위 및 2 nm 마스크 오차 범위에 대해 계산들이 수행된다. 도 6에서 알 수 있는 바와 같이, 종래의 시그마 조명과 0.5 시그마 퓨필 필터를 조합하는 제 1 리소그래피 공정은 CDU에 관해 최고의 결과들을 제공한다. CDU 값들은 전체 피치 범위를 통해, 실질적으로 최대값 요건(15 nm) 아래에 있다.
이제 도 7을 언급하면, 본 발명의 일 실시예에 따른 기판 상으로의 패턴의 광학 전사를 구성하는 방법이 이제 설명될 것이다.
상기 방법은 단계 700에서 시작하고, 그 후 단계 705로 진행하며, 이때 퓨필 필터 파라미터를 포함한 복수의 리소그래피 파라미터들이 정의된다. 일 구현예에서, 퓨필 필터 파라미터는 필터 플레이트의 직경에 대응한다. 대안적으로, 퓨필 필터 파라미터는 두께, 흡광도(absorbance), 흡광도의 공간 분포, 필터를 구성(constitute)하는 재료의 형태, 또는 퓨필 필터의 여하한의 다른 치수들 또는 특징들과 같은 퓨필 필터의 추가 속성들에 대응할 수 있다.
또한, 복수의 리소그래피 파라미터는 조명 구성 파라미터를 포함할 수 있다. 일 실시예에서 사용될 수 있는 조명 구성 파라미터는, 노광 도즈, 조명 시스템, 투영 시스템 또는 둘 모두의 개구수, 및 조명 모드를 정의하는 다양한 기하학적인 파라미터들을 포함하며, 이는 더 일반적으로, 조명 시스템 내에서의 조명 세기 분포 또는 조명 형상의 치수 및 공간 분포, 및 위치를 포함한다. 예를 들어, 조명 구성 파라미터들은 위치, 세기, 오프닝 각도(opening angle), 및/또는 멀티폴(multipole) 조명 형상의 폴들의 내반경/외반경을 포함한다. 또한, 다른 실시예들에서 추가 소스 파라미터들 및/또는 다른 파라미터들이 사용될 수 있다는 것을 이해할 것이다. 예를 들어, 최적화 절차 중에 마스크(패터닝 디바이스) 바이어스 파라미터가 사용될 수 있다.
일 구현예에서, 리소그래피 파라미터들은 1 이상의 패터닝 디바이스 파라미터들을 포함할 수도 있으며, 이 경우 도 7의 흐름도에 따른 리소그래피 공정의 최적화는 조명 구성 패터닝 디바이스 최적화라고 칭할 수 있다. 패터닝 디바이스 파라미터들의 예시로는, 그 프린팅을 용이하게 하는 패턴 내에 구현된 광 근접성 보정 피처의 크기를 포함할 수 있다. 일 실시예에서, 광 근접성 보정 피처는 라인의 끝에 삽입된 햄머헤드(hammerhead)를 포함할 수 있다. 이러한 피처는 종래적으로 라인 쇼트닝(line shortening)을 방지하는데 사용된다. 이 실시예에서, 햄머헤드의 치수가 패터닝 디바이스 파라미터들로 사용될 수 있다.
리소그래피 파라미터들을 정의한 이후에, 상기 방법은 패터닝 디바이스 패턴의 이미지가 복수의 파라미터의 초기 설정에 대해 계산되는 단계 710으로 진행한다. 패턴의 이미지는 컴퓨터 시뮬레이션에 의해 계산될 수 있다.
리소그래피 시뮬레이션들은 상이한 모델들을 이용하여 수행될 수 있다. 시뮬레이션 모델들의 예시들 및 파라미터화된 조명 형상을 최적화하는 방법들은, 예를 들어 2003년 2월 11일에 미국 특허 출원 제 10/361,831호로 출원되어, "Method for Optimizing an Illumination Source Using Full Resist Simulation and Process Window Metric"라는 제목으로 등록된 미국 특허 제 6,839,125호, 2003년 11월 20일에 미국 특허 출원 제 10/716,439호로 출원되어 공개 공보 제 20040158808호에 공개된 "Lithographic Apparatus and Method for Optimizing an Illumination Source Using Isofocal Compensation", 및 2004년 2월 9일에 미국 특허 출원 제 10/773,397호로 출원되어 공개 공보 제 20040156030호에 공개된 "Lithographic Apparatus and Method for Optimizing an Illumination Source Using Photolithographic Simulations"로부터 알 수 있다. 이 3 개의 출원의 내용들이 본 명세서의 전문에 인용참조된다.
본 발명의 일 실시예에서, 리소그래피 시뮬레이션은 방사선 감응재(레지스트) 상으로의 입사 방사선 에너지 분포를 결정하기 위해, 에어리얼 이미지 모델을 이용하여 수행될 수 있다. 에어리얼 이미지의 계산은 푸리에 광학(Fourier optics)의 스칼라 또는 벡터 형태 중 하나로 수행될 수 있다. 개구수(NA) 또는 특정 패턴과 같은 리소그래피 장치 및 공정의 특징들은, 시뮬레이션에 대한 입력 파라미터들로서 도입될 수 있다. 실제로, PROLITHTM, SOLID-CTM, LITHOCRUISERTM 등과 같은 상업적으로 이용가능한 시뮬레이터의 도움으로 시뮬레이션이 수행될 수 있다. 에어리얼 이미지의 질은 콘트라스트(contrast) 또는 정규화된 에어리얼 이미지 로그-슬로프(NILS) 메트릭(피처 크기에 대해 정규화됨)을 이용함으로써 결정될 수 있다. 이 값은 이미지 세기(또는 에어리얼 이미지)의 기울기(slope)에 대응한다.
에어리얼 이미지 시뮬레이션을 수행하는 관련 파라미터들은, 기하학적인 광 선에 의해 결정된 바와 같이, 포커스의 최고 평면이 존재하는 평면에서의 거리를 의미하는 가우시안 이미지 평면(Gaussian image plane)의 초점 평면으로부터의 거리 및 반-단색성(quasi-monochromatic)의 방사선 소스의 중심 파장을 포함할 수 있다. 또한, 파라미터들은 조명 시스템의 공간 부분 간섭성의 정도 측정, 기판을 노광하는 투영 시스템의 개구수, 광학 시스템의 수차(aberration)들 및 패턴을 나타내는 공간 투과 함수의 설명을 포함할 수 있다.
또 다른 실시예에서, 리소그래피 시뮬레이션은 레지스트 모델을 이용하여 수행될 수 있다. 일 구현예에서, 레지스트 모델은 임계 치수(또는 크기) 및 도즈/노광 에너지 및 포커스와 같은 변수들을 이용한 변화의 계산에서, 레지스트 노광, 레지스트 베이킹(baking) 및 레지스트 현상을 고려할 수 있다. 또한, 레지스트 모델은 본 발명의 일 실시예에서 비평면 토포그래피(nonplanar topography) 및 벡터 효과들을 고려할 수 있다. 벡터 효과들은, 높은 개구수가 사용되는 경우에 전자기 파장이 비스듬하게 전달된다는 사실을 언급한다. 벡터 효과들은 에어리얼 이미지를 계산하는 경우에 설명될 수 있지만, 낮은 굴절률의 매질에서의 (예를 들어, 물에서의) 벡터 효과들의 계산은, 입사 광선들이 레지스트 내에서 전파되는 경우 직진성(straighten)의 경향 때문에, 레지스트의 높은 굴절률로 인해 기판 상에 얻어진 콘트라스트 손실(loss)을 매우 지나치게 추정할 수 있다. 그러므로, 실제 실험적인 반응을 정확히 추정하기 위한 정밀한 전자기 계산을 이용한 레지스트 모델이 바람직할 수 있다.
또한, 본 발명의 다른 실시예에서 럼프드(lumped) 파라미터 모델 또는 변화 가능한 한계(threshold) 레지스트 모델과 같은 추가 모델들이 사용될 수 있다. 예를 들어, 직접적이고 실제적인 결과들을 제공하기 위하여, 캘리브레이션된 모델이 단계 710에서 패턴의 이미지를 캘리브레이션하는데 사용될 수 있다. 캘리브레이션된 모델은 실험적인 데이터에 매치했었던 모델이다. 일 실시예에서, 캘리브레이션된 모델은 다양한 실험적인 데이터를 이용하여 시뮬레이터(예를 들어, PROLITHTM)의 리소그래피 모델을 캘리브레이션함으로써 얻어질 수 있다. 예를 들어, PROLITHTM의 오토튠 옵션(AutoTune option)이 실험적인 데이터에 대한 시뮬레이션 모델을 자동으로 캘리브레이션하는데 사용될 수 있다.
패터닝 디바이스 패턴의 이미지를 계산하는데 후속하여, 상기 방법은 예를 들어 포커스, 도즈 및 패터닝 디바이스 오차들의 가정된, 그러나 실제적인 계획에 걸쳐 시뮬레이트된 이미지의 속성의 변화를 나타내는 메트릭이 계산되는 단계 715로 진행한다. 일 실시예에서, 속성은 패턴의 피처들 중 하나의 임계 치수 변화(CD 변화)에 대응할 수 있다. 예를 들어, 속성은 접촉홀들의 CD 변화를 포함할 수 있다.
일 실시예에서, 메트릭은 CDtotal variation에 의해 나타내고 수학식(4)에 정의된 바와 같이, 디포커스(defocus), 도즈 및 마스크 변화들에 의해 유도된 CD 변화들의 2차합의 제곱근을 포함할 수 있다:
Figure 112006089215982-pat00002
이때, CDRfoc, CDRdose 및 CDRglobalmask는 가정된 계획에 걸친 포커스, 도즈, 및 마스크 변화들 각각에 의해 유도된 CD 변화에 대응한다.
수학식(4)에 정의된 총 CD 변화는, 실질적으로 마스크 패턴의 전체 CD 변화 범위를 나타내며, 이로써 6 시그마 통계 변화 범위에 근접한다는 것을 이해할 것이다. 따라서, (CD 변화 하프 범위라고도 칭하는) CD 변화의 값의 절반이 실질적으로 3 시그마 CD 균일성에 근접한다.
단계 720에서, 메트릭의 결과가 예를 들어 속성의 변화의 허용가능한 범위 내에서 허용할 수 있는지의 여부에 관한 결정이 이루어지고, 대안적으로 또는 추가적으로 그 최적 값에 수렴되었다. 예를 들어, 속성이 CD 변화에 대응하는 경우, 변화의 번위는 10 % 내에 있을 수 있다. 결정이 양인 경우 상기 방법은 단계 726에서 종료된다. 결정이 음인 경우 상기 방법은 새로운 시행 조건들이 발생되는 단계 700으로 진행하고, 상기 방법은 다시 단계 700에서 단계 720으로 진행하며, 속성(예를 들어, CD 변화)의 새로운 값이 얻어진다. 일 실시예에서, 이 절차는 최소값(예를 들어, 리소그래피 응답이 CD 변화인 경우) 또는 최대값이 얻어질 때까지 반복된다.
새로운 시도 조건들은, 예를 들어 리소그래피 파라미터들 중 1 이상에 대해 새로운 값들을 포함할 수 있다. 대안적으로, 새로운 시도 조건들은 새로운 조명 배치(예를 들어, 형상), 새로운 OPC, 또 다른 패터닝 디바이스 파라미터 또는 앞서 사용된 조명 배치의 또 다른 조명 배치 파라미터를 포함할 수 있다.
초기 조명 배치 형상(예를 들어, 종래의 시그마 폴, 환형, 다이폴, 쿼드러플, 또는 온 및 오프-액시스 조명을 포함한 멀티폴)은 실험 또는 시뮬레이션 중 하나를 통해 결정될 수 있다. 이러한 후자의 경우, 선택된 패턴에 대한 초기 조명 형상은, 2003년 2월 11일에 미국 특허 출원 제 10/361,831호로 출원되어 "Methode for Optimizing an Illumination Source Using Full Resist Simulation and Process Window Metric"라는 제목으로 등록된 미국 특허 제 6,839,125호, 2003년 11월 20일에 미국 특허 출원 제 10/716,439호로 출원되어 공개 공보 제 20040158808호로 공개된 "Lithographic Apparatus and Method for Optimizing an Illumination Source Using Isofocal Compensation", 및 2004년 2월 9일에 미국 특허 출원 제 10/773,397호로 출원되어 공개 공보 제 20040156030호로 공개된 "Lithographic Apparatus and Method for Optimizing an Illumination Source Using Photolithographic Simulations"에 나타낸 방법에 따라 발생하는 수평곡선지도(contour map)들로 추정될 수 있다. 일단 초기 조명 배치 형상이 발생되면, 조명 배치 형상을 정의한 기하학적인 파라미터는 스텝 705에서 복수의 파라미터들 중 하나로서 선택될 수 있다.
수학식(4)에서, CD 변화를 최소화하기 위해 메트릭이 선택된다. 하지만, 본 발명의 다른 실시예들에서 추가 메트릭들 및 속성들이 사용될 수 있다는 것을 이해할 것이다. 예를 들어, 메트릭은 MEEF를 최소화하거나 초점 심도 및/또는 노광 래티튜드를 최대화하기 위해 선택될 수 있다.
본 발명의 일 실시예에서, 단계 720의 메트릭을 계산하기 이전에 단계 705에서 식별된 파라미터들(예를 들어, 도즈, NA, 조명 및 패터닝 디바이스 파라미터들)의 서브세트(subset)가, 그 타겟 크기에 대한 패턴을 프린트하기 위해 반복적으로 최적화될 수 있다. 구체적으로, 패턴의 계산된 이미지는 노미널 패턴(nominal pattern)에 비교될 수 있고, 계산된 이미지가 노밀널 패턴과 실질적으로 다른 경우에 파라미터들의 서브세트에 대한 새로운 값이 발생될 수 있다. 그 후, 노미널 패턴이 얻어지는(또는 허용가능한 공차, 예를 들어 ±5 % 내에 있는) 파라미터들(예를 들어, 도즈, NA, 조명 및 패터닝 디바이스 파라미터들)의 서브세트를 결정하기 위한 컨버전스 루틴(convergence routine)을 이용하여 반복적인 이미지 계산들이 수행된다. 일단 최적 서브세트가 식별되면, 메트릭은 앞서 설명된 패턴의 속성들 중 하나의 변화를 계산한다. 본 발명의 일 실시예에서, 서브세트는 퓨필 필터 파라미터를 포함할 수 있다.
일 실시예에서, 복수의 파라미터 모두는 메트릭을 계산하기 이전에 최적화될 수 있다. 이 구현예에서, 서브세트는 복수의 파라미터 모두를 포함한다.
도 8은 160 nm(최소 피치), 200 nm, 240 nm, 280 nm 및 320 nm 피치 내에 배치되고, 종래의 최적화 방법(즉, 중심의 흡수 영역을 갖는 퓨필 필터를 이용하지 않음) 및 아래에 "새로운" 방법이라고도 언급되는 도 7에 나타낸 실시예의 방법을 이용하여 얻어진 80 nm 접촉홀들의 정사각형 어레이에 대한 평균 시뮬레이트된 CD 변화 하프 범위 값들을 나타낸다. 193 nm 방사선 파장, 1.2 개구수 (침지) 및 k1= 0.4에 대응하는 최소 하프 피치를 이용하여 계산들이 수행된다. 6 % 감쇠 위상 시프트 마스크는 종래의 최적화 방법에 대해 사용되고, 100 % 고투과 위상 시프트 마스크는 도 7의 실시예(새로운 방법)에 따른 패터닝 디바이스 패턴의 전사를 구성하는데 사용된다.
예비 계산들은, (아래에 조명 형상-σ라고도 언급되는 1 시그마 값에 의해 특성화된) 종래의 조명 형상이 80 nm 접촉홀들의 어레이를 이미징하기 위한 적절한 후보 소스 형상임을 나타낸다. 이로써, 종래의 조명 형상은 종래의 최적화 방법(즉, 중심의 흡수 영역을 갖는 퓨필 필터를 이용하지 않음) 및 새로운 방법을 이용하여 접촉홀들의 어레이의 이미징을 수행하는데 사용된다. 종래의 조명 형상의 시그마 값은, 종래의 최적화 방법 및 새로운 방법에 대한 최적화 절차의 일부분이다. 하지만, 본 발명의 다른 실시예들에서 추가 및/또는 상이한 조명 구성 파라미터들이 최적화될 수도 있다는 것을 이해할 것이다. 예를 들어, 초기 후보 조명 구성이 멀티폴 조명 형상에 대응하는 경우, 오프닝 각도, 내측/외측 직경 및 폴들의 상대 위치는 최적화의 일부분일 수도 있다. 테이블 1은 종래의 방법 및 도 7의 새로운 방법의 시뮬레이션을 이용하여 얻어진 다양한 최적화 값들을 나타낸다. 캘리브레이션된 포토레지스트 모델을 이용한 PROLITHTM v8.1로 계산들이 수행된다. 0.15㎛ 포커스 범위, 2 % 도즈 범위 및 2 nm 마스크 범위를 포함하는 오차 계획이 가정된다.
[테이블 1]
Figure 112006089215982-pat00003
도 8 및 테이블 1의 시뮬레이션된 결과들은, CDU에 근접하는 CD 변화 하프 범위가 더 적은 포커스 및 마스크 오차 감응성으로 인해 도 7의 새로운 방법을 이용하여 더욱 개선된다는 것을 나타낸다. 이 특정 리소그래피 공정에 대해, 퓨필 필터의 최적 부분 직경, 즉 퓨필의 투명 어퍼처의 직경에 대한 퓨필 필터의 흡수 원형 영역의 직경 비율이 실질적으로 종래의 조명 모드의 시그마 값의 2 배에 대응한다는 것을 이해할 것이다(0차 비회절 차수가 차단됨).
도 9는, 종래의 방법(6 % att-PSM 및 종래의 조명 형상-σ= 0.74, 및 도 9에서 그래프 "PR4"로 식별됨) 및 새로운 방법(크롬없는 PSM, 종래의 조명 형상-σ= 0.86 및 중심의 흡수 영역을 갖는 퓨필 필터, 및 도 9에서 그래프 "PR5"로 식별됨)을 이용하여 최적화된 공정에 대한 피치(nm 단위)의 함수로서 시뮬레이트된 MEEF를 나타낸다. 도 9에서 알 수 있는 바와 같이, 최적화된 퓨필 필터 및 크롬없는 위상 시프트 마스크(CPL 마스크)를 조합하는 이미징 솔루션은, 전체 130 nm 내지 260 nm 피치 범위를 통해, 종래의 이미징 방법보다 훨씬 더 낮은 MEEF 값들을 제공한다. 도 9에서, 퓨필 필터의 직경은 실질적으로 0차 비회절 차수를 감소시키도록 최적화된다.
도 10은 종래의 방법(6 % att-PSM 및 종래의 조명 형상-σ= 0.74, 및 도 10에 그래프 "PR4"로 식별됨) 및 새로운 방법(크롬없는 PSM, 종래의 조명 형상-σ= 0.86 및 중심의 흡수 영역을 갖는 퓨필 필터, 및 도 10에 그래프 "PR5"로 식별됨)을 이용하여 최적화된 공정에 대한 피치(nm 단위)의 함수로서, 포커스 오차에 대한 접촉홀들의 감응성의 시뮬레이션된 변화를 나타낸다. 이 계산에 대해 0.15 ㎛ 포커스 범위가 가정된다. 도 10은, 접촉홀들이 전체 피치 범위를 통해, 종래의 이미징 방법에 대한 것보다 퓨필 필터 및 크롬없는 위상 시프트 마스크를 포함한 공정에 대한 포커스에서의 오차에 대해 훨씬 덜 민감하다는 것을 나타낸다. 퓨필 필터 및 크롬없는 위상 시프트 마스크를 포함한 공정을 이용한 포커스 오차로 인한 접촉홀들의 CD 변화는 4 나노미터를 초과하지 않는다.
도 7에 나타낸 기판 상으로의 패턴 전사를 구성하는 방법은 여하한 형태의 패턴으로 연장될 수 있다는 것을 이해할 것이다. 일 구현예에서, 상기 방법은 기판 상으로의 피처들의 랜덤 또는 불규칙한 패턴의 전사를 최적화하기 위해 적용될 수 있다.
피처들의 랜덤 또는 불규칙한 패턴, 예를 들어 접촉홀들의 패턴에 대한 이미징 요건들은, 일반적으로 규칙적인 패턴에 대해서보다 더 복잡하다. 접촉홀들의 랜덤 또는 불규칙한 패턴에서, 어떠한 접촉홀들의 가장 가까이 이웃한 접촉홀의 좌표들은 매우 다양하여, 프린팅 공정을 행하기에 어려울 수 있다. 도 11은, 0.9 NA에서 193 nm 방사선 파장에 대해 k1= 0.4에 대응하는 약 171 nm의 최소 피치를 갖는 90 nm 접촉홀들의 개략적인 랜덤 또는 불규칙한 패턴을 나타낸다. 도 11에서 알 수 있는 바와 같이, 제 1 접촉홀과 그것의 가장 가까이 이웃한 접촉홀 사이의 거리는, 제 2 접촉홀과 그것의 가장 가까이 이웃한 접촉홀 사이의 거리보다 상당히 더 클 수 있다. 또한, 제 1 쌍의 접촉홀들의 상대 방위는 제 2 쌍의 상대 방위와 다를 수 있다.
도 12 및 도 13을 언급하면, 이 도면들은 종래의 방법(포함된 퓨필 필터가 없음)을 이용하여 최적화된 공정 및 새로운 방법(도 7의 실시예 참조)에 따라 최적화된 공정 각각에 대한 초점 심도의 함수로서, 시뮬레이트된 노광 래티튜드(%)의 변화들을 나타낸다. 도 11에 식별된 9 개의 접촉홀들(1 내지 9)의 수직("V") 및 수평("H") 구성요소들에 대해 계산들이 수행된다. 계산들은 0.9의 개구수 및 193 nm 방사선 파장을 가정한다. 6 % 감쇠 위상 시프트 마스크 및 0.7 종래의 시그마 조명이 도 12에서 사용되며, 크롬없는 마스크(CPL 마스크) 및 퓨필 필터가 도 13에서 사용된다.
예비 시뮬레이션들은, 종래의 조명 모드가 도 11의 패턴을 최적화하는 적절한 조명 형상임을 나타낸다. 이로써, 종래의 조명 형상이 종래의 최적화 방법(즉, 중심의 흡수 영역을 갖는 퓨필 필터를 이용하지 않음) 및 새로운 방법을 이용하여 접촉홀들의 어레이의 이미징을 수행하는데 사용된다. 퓨필 필터의 원형 흡수 영역의 부분 반경 및 도 7의 새로운 방법에 따라 최적화된 공정에 대한 종래 조명의 시그마 값은, 약 0.7 및 0.5로 각각 설정된다. 이는 퓨필 필터의 원형 흡수 영역의 부분 반경이 조명 퓨필 내의 원형의 조명 세기 분포의 부분 반경보다 더 크다는 것 을 의미한다. 이로써, 0차 비회절 차수가 차단된다. 이러한 배치를 이용하여, 1차 회절 차수들의 일부분도 차단된다. 2 가지 경우에 대한 최적 마스크 바이어스들은 각각 5 nm 및 20 nm이다. 이 실시예에서, 마스크 바이어스는 절차 중에 최적화되는 파라미터들(단계 705 참조) 중 하나이다.
도 12 및 도 13은, 노광 래티튜드 및 초점 심도가 도 12의 종래 방법에 대한 것보다 (도 7의 새로운 방법을 이용하는) 도 13의 퓨필 필터링 공정에 대해 훨씬 더 크다는 것을 나타낸다. 접촉홀들의 패턴에 대한 MEEF 값들은, 종래의 방법에 대해 약 6.5 내지 7.7의 범위 내에 있고, 도 7의 새로운 방법에 따라 최적화된 공정에 대해 2.6보다 더 낮다. 참조를 위해, 테이블 2는 새로운 방법 도 7에 따라 최적화된 공정에 대한 도 11의 9 개의 홀들의 수직 및 수평 구성요소들에 대한 MEEF 값들을 나타낸다.
[테이블 2]
Figure 112006089215982-pat00004
본 발명의 일 실시예에서, 고투과 감쇠 위상 시프트 마스크가 퓨필 필터에 관련하여 사용된다. 이 구현은 복잡한 조명 구성들의 사용에 의존하지 않고 초점 심도 및 MEEF에 관한 만족스러운 결과들을 제공한다. 도 14 및 도 15는 과녁 조명 및 (종래의 방법으로 최적화된) 50 % 감쇠 위상 시프트 마스크을 포함하는 이미징 솔루션(도 14), 및 종래의 원형 조명, (새로운 방법으로 최적화된) 퓨필 필터 및 50 % 감쇠 위상 시프트 마스크를 포함하는 또 다른 이미징 솔루션(도 15)에 대해 초점 심도의 함수로서 시뮬레이트된 노광 래티튜드의 변화를 각각 나타낸다. 도 11의 랜덤 또는 불규칙한 패턴으로 식별된 9 개의 접촉홀들(1 내지 9)에 대해 결과들 이 주어진다.
과녁 조명 형상이 도 16에 나타내어 있다. 이 조명은 0.32 중심 시그마 폴 및 약 1.3/1.1의 외측/내측 시그마를 포함한 환형 구성요소를 포함한다. 환형 구성요소는, 이 조명 구성요소로부터 제거한 0차 비회절 차수가 투영 시스템에 의해 모이지 않는다는 사실을 참조하여, 어두운 필드 구성요소에 대응한다. 참조를 위해, 도 16은 ("160"에 의해 식별되는) 시그마= 1에 대응하는 외측 제한을 나타낸다. 이 실시예에서, 조명 시스템의 개구수와 투영 시스템의 개구수(NAPSOB) 사이의 비율은, 환형 구성요소를 이용하여 얻어진 0차 비회절 차수가 투영 시스템에 의해 모이지 않도록 선택된다. 도 16에서, 환형 구성요소의 조명 시스템의 퓨필 평면 내의 정규화된 반경 위치 σ는 1.1과 1.3 사이에 있다.
50 % 감쇠 위상 시프트 마스크 및 퓨필 필터와 연계된 종래의 조명은 약 0.5의 시그마를 갖는 중심 폴에 의해 특성화된다.
도 7의 새로운 방법에 따른 도 11의 랜덤 또는 불규칙 패턴의 이미징 전사의 최적화는, 약 0.7의 퓨필 필터의 흡수 영역의 부분 반경을 제공한다. 도 15는, 퓨필 필터, 종래의 조명 및 50 % 감쇠 위상 시프트 마스크를 조합한 이미징 솔루션을 이용하여 얻어지고, 도 7의 새로운 방법으로 최적화된 초점 심도의 함수로서 노광 래티튜드의 변화가, 100 % 투과 크롬없는 위상 시프트 마스크(도 13 참조)를 이용하여 얻어진 것과 유사하다는 것을 나타낸다.
또한, 도 14와 도 15의 비교는, 노광 래티튜드 및 초점 심도에 관한 더 좋은 결과들이 어두운 필드 조명을 이용한 이미징 솔루션(과녁 조명 및 퓨필 필터링하지 않는 50 % 감쇠 위상 시프트 마스크)을 이용하는 것보다, 종래의 원형 조명, 50 % 감쇠 위상 시프트 마스크 및 퓨필 필터를 조합한 이미징 솔루션을 이용하여 얻어지고, 도 7의 새로운 방법으로 최적화된다는 것을 나타낸다.
또한, 도 15(즉, 종래의 원형 조명, 50 % 감쇠 위상 시프트 마스크 및 퓨필 필터, 및 도 7의 새로운 방법으로 최적화됨) 및 도 14(도 16의 과녁 조명 및 50 % 감쇠 위상 시프트 마스크)의 이미징 솔루션들은, 도 12에 나타낸 종래의 이미징 솔루션에 비해 노광 래티튜드 및 초점 심도를 실질적으로 개선한다는 것을 이해할 것이다. 도 12의 종래의 이미징 솔루션은 6 % 감쇠 위상 시프트 마스크와 0.7 종래 시그마 조명을 조합한다. 따라서, 두 경우(즉, 도 14 및 도 15의 이미징 솔루선들)에, 0차 비회절 차수의 부분적인 제거가 접촉홀들을 프린트하는데 유리하다. 도 15의 이미징 솔루션(즉, 도 16의 과녁 조명 및 50 % 감쇠 위상 시프트 마스크)에서, 환형 조명을 이용하여 얻어진 0차 비회절 차수는 투영 시스템에 의해 모이지 않는다. 투영 시스템의 유한 개구수는 과녁 조명의 중심 폴을 이용하여 얻어진 0차 차수 방사선만을 모으는 필터로서 동작한다. 도 15의 이미징 솔루션(즉, 종래의 원형 조명, 50 % 감쇠 위상 시프트 마스크 및 퓨필 필터, 및 도 7의 새로운 방법으로 최적화됨)에서, 0차 차수 방사선은 퓨필 필터에 의해 전부 차단되어 초점 심도 및 노광 래티튜드가 개선된다.
투과 비율은 도 7의 방법으로 최적화되는 파라미터들 중 하나일 수 있음을 이해할 것이다. 도 17a는 마스크 투과(% 단위, 100 %에 대응하는 "1")의 함수로서 다양한 회절 차수들 (00), (01), (11) 및 (00pi)의 시뮬레이트된 진폭 변화를 나타낸다. (0차 차수 진폭을 나타내는 곡선을 추론함으로써) 도 17a에서 알 수 있는 바와 같이, 0차 차수가 약 25 % 투과에서 위상(0 내지 pi)을 변화시킨다. 또한, 도 17a에서 알 수 있는 바와 같이, 1차 및 2차 회절 차수 진폭들은 마스크 투과가 증가함에 따라 살짝 증가한다. 2차 회절 차수의 진폭은 마스크 투과에 상관없이 실질적으로 동일하게 유지된다는 것을 이해할 것이다.
도 17b는 마스크 투과(% 단위)의 함수로서, 1차 쌍의 회절 차수들((00) 및 (01)) 및 2차 쌍의 회절 차수들((01) 및 (11))에 대한 최대 세기의 시뮬레이트된 변화를 나타낸다. 도 17b에서 알 수 있는 바와 같이, 더 높은 차수들로의 이미징이 약 10 %보다 더 큰 투과를 이용한 기준 이미징보다 더 큰 세기를 나타낸다. 또한, 도 17b는 약 10 %보다 더 높은 마스크 투과 비율이 만족스러운 세기를 제공함을 나타낸다. 일 실시예에서, 투과의 비율은 약 10 %보다 더 높다.
기판 상으로의 패턴의 광학 전사를 구성하는데 관련된 상이한 동작들은 기계 실행가능한 명령어들에 따라 실행될 수 있다는 것을 이해할 것이다. 이 기계 실행가능한 명령어들은, 예를 들어 리소그래피 장치의 제어 유닛의 데이터 저장 매체에서 구현될 수 있다. 제어 유닛은 조정 디바이스(AM)를 제어하고 조명 시스템(IL)에서 나가는 빔 내의 단면 세기 분포를 수정하도록 구성되는 프로세서를 포함할 수 있다.
본 발명의 일 실시예에서, 기계 실행가능한 명령어들은 ProlithTM, Solid- CTM, LithocruiserTM 등과 같은 시뮬레이션 소프트웨어와 관련하여 사용될 수 있는 컴퓨터 제품에서 구현될 수 있다. 즉, 컴퓨터 제품은 시뮬레이션 소프트웨어 내로 조명 파일들을 발생시키고 입력하며, 예를 들어 에어리얼 또는 전체 레지스트 시뮬레이션을 이용하여 원하는 패턴의 이미지를 계산하라고 시뮬레이션 소프트웨어에 지시하도록 구성될 수 있다. 그 후, 컴퓨터 제품은 계산된 이미지를 출력하고 이미지가 기판 상에 원하는 패턴을 성공적으로 프린트하기 위한 적절한 광학 퀄리티들을 갖는지의 여부를 판단하는 1 이상의 기준에 대한 이 이미지를 평가하도록 구성될 수 있다. 노광 래티튜드 및 초점 심도의 추정들을 제공하기 위해, 이미지는 예를 들어 포커스 범위를 통해 분석될 수 있다. 또한, 컴퓨터 제품은 조명 지점 위치의 함수로서 상이한 리소그래피 응답들에 대한 수평곡선 지도들을 생성하도록 구성될 수 있다.
대안적으로 또는 추가적으로, 기계 실행가능한 명령어들은 패턴의 이미지를 계산하는 능력을 제공하는 리소그래피 시뮬레이션 소프트웨어의 일부분일 수 있다.
또한 본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟 부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 또는 메트롤로지 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외(UV)방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV)방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서, 빔의 단면에 패턴을 부여하는데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 상기 빔에 부여된 패턴은, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 상기 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리형, 교번 위상-시프 트형 및 감쇠 위상-시프트형과 같은 마스크 타입뿐만 아니라, 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다; 이러한 방식으로 반사된 빔이 패터닝된다.
지지 구조체는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지 구조체는 기계적 클램핑, 진공 또는 다른 클램핑 기술들, 예를 들어 진공 조건 하의 정전기 클램핑을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있고, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있는 프레임 또는 테이블일 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 예를 들어 사용되는 노광 방사선에 대하여, 또는 침지 유체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절 광학 시스템, 반사 광학 시스템, 카타디옵트릭(catadioptric) 광학 시스템을 포함하는 다양한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
조명 시스템은 방사선의 빔을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사 및 카타디옵트릭 광학 구성요소들을 포함하는 다양한 타입의 광학 구성요소들을 내포할 수 있으며, 이러한 구성요소들은 아래에서 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블 (및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템의 최종 요소와 기판 사이의 공간을 채우기 위해서, 기판의 표면이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 침지되는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템의 최초 요소 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수를 증가시키는 기술로 당업계에 잘 알려져 있다.
본 명세서에서 설명된 방법들은 소프트웨어, 하드웨어 또는 조합하여 구현될 수 있다. 일 실시예에서는, 컴퓨터 시스템 상에서 실행되는 경우 본 명세서에서 설명된 방법들 중 어느 것 또는 모두를 수행하도록 컴퓨터 시스템에게 지시하는 프로그램 코드를 포함한 컴퓨터 프로그램이 제공된다.
이상 본 발명의 특정 실시예들을 설명하였지만, 본 발명은 설명된 것과 다르게 실시될 수도 있다는 것을 이해할 것이다. 상기 서술내용은 제한하려는 것이 아니다.
본 발명에 따르면, 더 높은 회절 각도를 이용하여, 분해능 및 초점 심도를 개선하기 위해, 방사선의 패터닝된 빔의 0차 비회절 차수를 제거하도록 구성된 필터를 포함하는 리소그래피 장치 및 필터링하는 단계를 포함하는 디바이스 제조 방법이 제공된다.

Claims (28)

  1. 리소그래피 장치를 이용하여 패터닝 디바이스로부터의 패턴의 이미지를 기판 상으로 전사하는 방법에 있어서:
    상기 기판 상으로 전사된 패턴의 이미지로부터 0차 비회절 차수(zeroth non diffracted order)를 실질적으로 제거하기 위해, 10 %보다 더 높은 투과 비율을 갖는 고투과 감쇠 위상 시프트 마스크(high transmission attenuated phase shift mask) 및 크롬없는 위상 시프트 마스크(chromeless phase shift mask) 중 하나로 구성되는 패터닝 디바이스의 패턴으로 패터닝된 방사선의 빔을 필터링하는 단계; 및
    상기 필터링된 방사선의 패터닝된 빔을 기판 상에 투영하는 단계를 포함하여 이루어지는 패턴의 이미지를 전사하는 방법.
  2. 제 1 항에 있어서,
    상기 방사선의 패터닝된 빔의 1차 및 2차 회절 차수들을 캡처(capture)하고 투영하는 단계를 더 포함하여 이루어지는 패턴의 이미지를 전사하는 방법.
  3. 제 1 항에 있어서,
    상기 이미지로부터 상기 0차 비회절 차수를 실질적으로 제거하기 위해, 상기 리소그래피 장치의 투영 시스템 내에 배치된 애포다이제이션 플레이트(apodization plate)가 사용되는 것을 특징으로 하는 패턴의 이미지를 전사하는 방법.
  4. 제 1 항에 있어서,
    퓨필 필터의 영역의 직경을 조정하는 단계를 더 포함하여 이루어지고, 상기 영역은 상기 방사선의 빔의 방사선을 필터링하도록 구성되고 배치되는 것을 특징으로 하는 패턴의 이미지를 전사하는 방법.
  5. 제 1 항에 있어서,
    상기 필터링하는 단계는 상기 0차 비회절 차수들 모두를 필터링하는 단계를 포함하는 것을 특징으로 하는 패턴의 이미지를 전사하는 방법.
  6. 제 1 항에 있어서,
    상기 방사선의 빔의 방사선을 필터링하도록 구성되고 배치되는 퓨필 필터의 영역의 부분 반경(fractional radius)은, 상기 리소그래피 장치의 조명 시스템의 퓨필 평면 내의 상기 방사선의 빔의 부분 반경보다 더 큰 것을 특징으로 하는 패턴의 이미지를 전사하는 방법.
  7. 제 1 항에 있어서,
    상기 필터링하는 방법은 상기 방사선의 빔을 공급하는 조명 시스템의 개구수(numerical aperture)와 상기 방사선의 패터닝된 빔을 투영하는 투영 시스템의 개구수 사이의 비율을 조정하는 단계를 포함하고, 상기 비율은 상기 방사선 빔의 0차 비회절 차수가 상기 투영 시스템에 의해 투영된 이미지 내에 모이지(collect) 않도록 선택되는 것을 특징으로 하는 패턴의 이미지를 전사하는 방법.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 리소그래피 장치에 있어서:
    방사선의 빔을 컨디셔닝하도록 구성된 조명 시스템;
    방사선의 패터닝된 빔을 형성하기 위해 상기 방사선의 빔을 패터닝하도록 구성되고, 10 %보다 더 높은 투과 비율을 갖는 고투과 감쇠 위상 시프트 마스크 및 크롬없는 위상 시프트 마스크 중 하나로 구성되는 패터닝 디바이스를 유지하도록 구성된 지지 구조체;
    기판을 유지하도록 구성된 기판 테이블;
    상기 기판 상에 상기 방사선의 패터닝된 빔을 투영하도록 구성된 광학 시스템; 및
    상기 투영 시스템의 퓨필 평면 내에 배치되고 상기 기판에서 상기 패터닝된 빔으로부터 상기 방사선의 패터닝된 빔의 0차 비회절 차수를 실질적으로 제거하도록 구성된 필터를 포함하여 이루어지는 리소그래피 장치.
  19. 제 18 항에 있어서,
    상기 광학 시스템은 상기 방사선의 패터닝된 빔의 1차 및 2차 회절 차수들을 캡처하고 투영하도록 구성되는 것을 특징으로 하는 리소그래피 장치.
  20. 제 18 항에 있어서,
    상기 필터는 애포다이제이션 플레이트인 것을 특징으로 하는 리소그래피 장치.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
KR1020060120198A 2005-11-30 2006-11-30 리소그래피 장치 및 디바이스 제조 방법 KR100825454B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/289,626 2005-11-30
US11/289,626 US20070121090A1 (en) 2005-11-30 2005-11-30 Lithographic apparatus and device manufacturing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020070130770A Division KR100839972B1 (ko) 2005-11-30 2007-12-14 리소그래피 장치 및 디바이스 제조 방법

Publications (2)

Publication Number Publication Date
KR20070057059A KR20070057059A (ko) 2007-06-04
KR100825454B1 true KR100825454B1 (ko) 2008-04-25

Family

ID=37943772

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020060120198A KR100825454B1 (ko) 2005-11-30 2006-11-30 리소그래피 장치 및 디바이스 제조 방법
KR1020070130770A KR100839972B1 (ko) 2005-11-30 2007-12-14 리소그래피 장치 및 디바이스 제조 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020070130770A KR100839972B1 (ko) 2005-11-30 2007-12-14 리소그래피 장치 및 디바이스 제조 방법

Country Status (7)

Country Link
US (1) US20070121090A1 (ko)
EP (1) EP1793279A3 (ko)
JP (1) JP2007158328A (ko)
KR (2) KR100825454B1 (ko)
CN (1) CN1975582A (ko)
SG (1) SG132652A1 (ko)
TW (1) TW200725191A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150090180A (ko) * 2012-11-27 2015-08-05 케이엘에이-텐코 코포레이션 동공 결상 산란율 측정을 위한 아포다이제이션

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4488926B2 (ja) * 2005-02-21 2010-06-23 株式会社東芝 マスクパターンデータ形成方法、フォトマスク、及び半導体デバイスの製造方法
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
DE102008019341B4 (de) * 2008-04-15 2020-09-24 Carl Zeiss Smt Gmbh Verfahren zur Analyse von Masken für die Photolithographie
JP2009302206A (ja) * 2008-06-11 2009-12-24 Canon Inc 露光パラメータの決定方法、露光パラメータを決定するためのプログラム、露光方法及びデバイス製造方法
WO2010005957A1 (en) * 2008-07-07 2010-01-14 Brion Technologies, Inc. Illumination optimization
US10025198B2 (en) 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
US7975246B2 (en) 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
NL2004323A (en) * 2009-04-16 2010-10-18 Asml Netherlands Bv Device manufacturing method and lithographic apparatus.
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
CN102279516B (zh) * 2010-06-10 2012-11-07 上海华虹Nec电子有限公司 校准标准片的制备方法及用该标准片进行校准的方法
NL2007642A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
CN102221789B (zh) * 2011-06-17 2012-11-14 中国科学院上海光学精密机械研究所 光刻机空间像噪声评估及滤波方法
JP6232709B2 (ja) * 2012-02-15 2017-11-22 大日本印刷株式会社 位相シフトマスク及び当該位相シフトマスクを用いたレジストパターン形成方法
KR102330743B1 (ko) 2012-06-26 2021-11-23 케이엘에이 코포레이션 각도 분해형 반사율 측정에서의 스캐닝 및 광학 계측으로부터 회절의 알고리즘적 제거
US9377696B2 (en) * 2013-10-07 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9535334B2 (en) * 2013-10-31 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process to print low pattern density features
CN105301913B (zh) * 2014-06-06 2017-11-03 台湾积体电路制造股份有限公司 用两种状态的掩模提高分辨率的光刻方法和结构
US9916989B2 (en) 2016-04-15 2018-03-13 Amkor Technology, Inc. System and method for laser assisted bonding of semiconductor die
US10162257B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system, device, and method for printing low pattern density features
EP3336607A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method
DE102017208340A1 (de) 2017-05-17 2018-11-22 Carl Zeiss Smt Gmbh Projektionsbelichtungsverfahren und Projektionsobjektiv mit Einstellung der Pupillentransmission
US11131629B2 (en) * 2017-05-26 2021-09-28 Kla-Tencor Corporation Apparatus and methods for measuring phase and amplitude of light through a layer
US11892776B2 (en) 2018-12-31 2024-02-06 Asml Netherlands B.V. Imaging via zeroth order suppression
EP4034944A4 (en) * 2019-09-23 2023-10-04 Applied Materials, Inc. LITHOGRAPHIC SIMULATION AND OPTICAL APPROACH CORRECTION
JPWO2021059890A1 (ko) * 2019-09-25 2021-04-01
KR20220005913A (ko) * 2020-07-07 2022-01-14 삼성전자주식회사 퓨필 이미지 기반 패턴 균일도 측정 장치와 방법, 및 그 측정 방법을 이용한 마스크 제조방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010102911A (ko) * 2000-05-01 2001-11-17 추후보정 광 근접성 보정

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3245882B2 (ja) * 1990-10-24 2002-01-15 株式会社日立製作所 パターン形成方法、および投影露光装置
US5144362A (en) * 1990-11-14 1992-09-01 Mitsubishi Denki Kabushiki Kaisha Projection aligner
US5264898A (en) * 1991-08-29 1993-11-23 Mitsubishi Denki Kabushiki Kaisha Projection exposure apparatus
US5348837A (en) * 1991-09-24 1994-09-20 Hitachi, Ltd. Projection exposure apparatus and pattern forming method for use therewith
JPH05234846A (ja) * 1992-02-24 1993-09-10 Nikon Corp 投影光学系を用いた露光方法
US6020950A (en) * 1992-02-24 2000-02-01 Nikon Corporation Exposure method and projection exposure apparatus
US5335044A (en) * 1992-02-26 1994-08-02 Nikon Corporation Projection type exposure apparatus and method of exposure
US5677757A (en) * 1994-03-29 1997-10-14 Nikon Corporation Projection exposure apparatus
JP3357928B2 (ja) * 1993-07-15 2002-12-16 株式会社ニコン 露光方法、デバイス形成方法、及び露光装置
JP3463335B2 (ja) * 1994-02-17 2003-11-05 株式会社ニコン 投影露光装置
US5621500A (en) * 1995-05-25 1997-04-15 Nikon Corporation Method and apparatus for projection exposure
JPH0950117A (ja) * 1995-08-07 1997-02-18 Sony Corp フォトマスクおよびこれを用いた露光方法
JPH10115932A (ja) * 1996-10-09 1998-05-06 Mitsubishi Electric Corp 位相シフトマスクを用いた露光方法
US6628370B1 (en) * 1996-11-25 2003-09-30 Mccullough Andrew W. Illumination system with spatially controllable partial coherence compensating for line width variances in a photolithographic system
JPH10189416A (ja) * 1996-12-26 1998-07-21 Hitachi Ltd パターン形成方法及び装置
JP3351401B2 (ja) * 1999-09-10 2002-11-25 日本電気株式会社 投影露光装置
SG99416A1 (en) * 2002-03-05 2003-10-27 Asml Netherlands Bv Apparatus and system for improving phase shift mask imaging performance and associated methods
SG117436A1 (en) * 2002-03-25 2005-12-29 Asml Masktools Bv A method and apparatus for defining mask patterns utilizing a spatial frequency doubling technique
US6846617B2 (en) * 2002-05-15 2005-01-25 Numerical Technologies Facilitating optical proximity effect correction through pupil filtering
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US6839125B2 (en) * 2003-02-11 2005-01-04 Asml Netherlands B.V. Method for optimizing an illumination source using full resist simulation and process window response metric
US20050151949A1 (en) * 2004-01-08 2005-07-14 Lsi Logic Corporation Process and apparatus for applying apodization to maskless optical direct write lithography processes
US7116411B2 (en) * 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010102911A (ko) * 2000-05-01 2001-11-17 추후보정 광 근접성 보정

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150090180A (ko) * 2012-11-27 2015-08-05 케이엘에이-텐코 코포레이션 동공 결상 산란율 측정을 위한 아포다이제이션
KR102079416B1 (ko) 2012-11-27 2020-02-19 케이엘에이 코포레이션 동공 결상 산란율 측정을 위한 아포다이제이션

Also Published As

Publication number Publication date
TW200725191A (en) 2007-07-01
KR20070057059A (ko) 2007-06-04
KR20080007297A (ko) 2008-01-18
US20070121090A1 (en) 2007-05-31
JP2007158328A (ja) 2007-06-21
KR100839972B1 (ko) 2008-06-20
EP1793279A2 (en) 2007-06-06
CN1975582A (zh) 2007-06-06
EP1793279A3 (en) 2008-02-13
SG132652A1 (en) 2007-06-28

Similar Documents

Publication Publication Date Title
KR100825454B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
US7245356B2 (en) Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7030966B2 (en) Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7684013B2 (en) Lithographic apparatus and device manufacturing method
US6839125B2 (en) Method for optimizing an illumination source using full resist simulation and process window response metric
US7180576B2 (en) Exposure with intensity balancing to mimic complex illuminator shape
EP1630614B1 (en) Method for designing an illumination light source, method for designing a mask pattern, method for manufacturing a photomask, method for manufacturing a semiconductor device and a computer program product
JP4383400B2 (ja) 1/4波長リソグラフィの焦点深さを上げるためにモデルに基づき散乱バーを配置する方法、プログラム製品および装置
KR100700367B1 (ko) 리소그래피 장치 및 디바이스 제조방법
US8043797B2 (en) Lithographic apparatus and device manufacturing method
US20080158529A1 (en) Lithographic apparatus and device manufacturing method
US7471375B2 (en) Correction of optical proximity effects by intensity modulation of an illumination arrangement
JP4398852B2 (ja) プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法
US8576377B2 (en) Lithographic apparatus and device manufacturing method
US20060256311A1 (en) Lithographic apparatus and device manufacturing method
US7528934B2 (en) Lithographic apparatus and device manufacturing method
JP4639120B2 (ja) マスク・パターンの光転送を最適化するための方法、リソグラフィ投影装置、および機械可読媒体

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee