KR20070072420A - 다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반기하학적 분해를 위한 방법, 프로그램물 및 장치 - Google Patents

다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반기하학적 분해를 위한 방법, 프로그램물 및 장치 Download PDF

Info

Publication number
KR20070072420A
KR20070072420A KR1020060138980A KR20060138980A KR20070072420A KR 20070072420 A KR20070072420 A KR 20070072420A KR 1020060138980 A KR1020060138980 A KR 1020060138980A KR 20060138980 A KR20060138980 A KR 20060138980A KR 20070072420 A KR20070072420 A KR 20070072420A
Authority
KR
South Korea
Prior art keywords
polygons
phase
mask
features
polygon
Prior art date
Application number
KR1020060138980A
Other languages
English (en)
Other versions
KR100860088B1 (ko
Inventor
로버트 존 소카
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20070072420A publication Critical patent/KR20070072420A/ko
Application granted granted Critical
Publication of KR100860088B1 publication Critical patent/KR100860088B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명은, 피처들이 다중 노광 프로세스로 이미징될 수 있도록 기판 상에 이미징될 상기 피처들을 갖는 타겟 패턴 분해 방법에 관한 것이다. 상기 방법은, (a) 복수의 상기 피처들을 복수의 다각형으로 분할하는 단계; (b) 상기 복수의 다각형들 각각에 대해 이미지 로그 슬로프(image log slope:ILS)를 결정하는 단계; (c) 최소 ILS 값을 갖는 다각형을 결정하고, 상기 다각형을 포함하는 마스크를 정의하는 단계; (d) 간섭 맵을 생성하기 위하여 단계 (c)에서 정의된 상기 마스크를 조명 시스템을 정의하는 투과 교차 계수(transmission cross coefficient)의 고유함수와 콘볼빙(convolving)하는 단계; 및 (e) 상기 다각형들에 각각 대응되는 위치에서 상기 제 1 간섭 맵의 값에 기초하여 상기 다각형에 위상을 할당하는 단계로서, 상기 위상은 상기 다중 노광 프로세스에서 상기 다각형들이 어떠한 노광에 할당되는지를 정의하는 상기 단계를 포함한다.

Description

다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반 기하학적 분해를 위한 방법, 프로그램물 및 장치{A METHOD, PROGRAM PRODUCT AND APPARATUS FOR MODEL BASED GEOMETRY DECOMPOSITION FOR USE IN A MULTIPLE EXPOSURE PROCESS}
도 1은, 타겟 패턴을 다수의 부분들로 분해하는데 활용되며 그 후 그들이 다중 조명 프로세스에서 활용되는, 본 발명의 모델 기반 컬러링 프로세스를 나타낸 예시적 플로우차트;
도 2는 본 발명의 컬러링 프로세스를 활용하는 다수의 부분들로 분해될 예시적 타겟 패턴을 나타낸 도;
도 3은 분열 지점들이 정의되는 본 발명의 컬러링 프로세스의 단계 12를 나타낸 도;
도 4는 단계 12에서 정의된 분열 지점들에 기초하여 다수의 다각형들이 정의되는 컬러링 프로세스의 단계 14를 나타낸 도;
도 5는 최소 ILS를 갖는 단일의 다각형을 포함하는 마스크가 정의되는 컬러링 프로세스의 단계 18을 나타낸 도;
도 6은 단계 18에서 정의된 단일 다각형에 대해 간섭 맵이 정의되는 컬러링 프로세스의 단계 20을 나타낸 도;
도 7은 도 6에 예시된 간섭 맵에 기초하여 타겟 마스크의 영역들이 어떻게 별도의 노광들로 정의되는지를 나타낸 도;
도 8은 사전정의된 코히런스 반경 내의 다음의 최고 비용 함수(cost function)를 갖는 다각형을 포함하는 마스크가 정의되는 컬러링 프로세스의 단계 26을 나타낸 도;
도 9는 최소 ILS를 갖는 단일 다각형과 단계 26에서의 다각형 선택의 조합을 나타내는 간섭 맵이 정의되는 컬러링 프로세스의 단계 30을 나타낸 도;
도 10은 도 9에서 예시된 간섭 맵에 기초하여 타겟 마스크의 영역들이 어떻게 별도의 노광들로 정의되는지를 나타낸 도;
도 11은 모든 다각형들이 처리된 후의 전체 간섭 맵을 나타낸 도;
도 12는 도 11에 예시된 간섭 맵에 기초하여 타겟 마스크의 영역들이 어떻게 별도의 노광들로 정의되는지를 나타낸 도;
도 13은 제 2 실시예의 타겟 패턴을 나타낸 도;
도 14는 도 13의 타겟 패턴에 대응되는 본 발명의 프로세스에 의해 생성되는 간섭 맵을 예시한 도;
도 15는 도 14에 예시된 간섭 맵에 기초하여 타겟 마스크의 영역들이 어떻게 별도의 노광들로 정의되는지를 나타낸 도;
도 16은 본 발명의 일 실시예에 따른 조명 최적화를 구현할 수 있는 컴퓨터 시스템을 나타낸 블록도;
도 17은 개시된 개념들의 도움으로 디자인된 마스크와 함께 사용하기에 적합한 예시적인 리소그래피 투영장치를 개략적으로 나타낸 도이다.
관련 출원들
본 출원은 2005년 12월 29일에 출원된 미국특허출원 제 60/754,312 호 및 2006년 2월 24일에 출원된 미국특허출원 제 60/776,199 호에 대한 우선권을 주장하며, 상기 출원 모두는 본 명세서에서 인용 참조된다.
일반적으로, 본 발명의 기술 분야는, 예를 들어 다중 조명 프로세스에서 다수의 마스크들을 활용하여 타겟 패턴이 이미징될 수 있도록 타겟 패턴의 모델 기반 분해(model based decomposition)를 수행하는 방법, 프로그램물 및 장치에 관한 것이다.
리소그래피 장치는 예를 들어, 집적회로(ICs)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로패턴을 포함하고, 이 패턴은 방사선감응재(레지스트) 층으로 코팅된 기판(실리콘 웨이퍼) 상의 타겟부(예를 들어, 하나 이상의 다이를 포함함) 상으로 이미징될 수 있다. 일반적으로, 단일 웨이퍼는 투영시스템을 통해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영장치에서, 타겟부상에 전체 마스크 패턴을 한번에 노광함으로써 각각의 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)라 불리는 대안적인 장치에서는, 투영빔 하에서 주어진 기준방 향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하면서, 상기 방향과 평행하게 또는 반 평행하게 기판 테이블을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사된다. 일반적으로 투영시스템은 배율인자(M)(일반적으로<1)를 가지므로, 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자(M)배가 된다. 여기에 서술된 리소그래피 장치에 관련된 추가 정보는 예를 들어, 본 명세서에서 인용 참조되는 US 제6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 사용하는 제조 프로세스에서, 마스크 패턴은 적어도 부분적으로 방사선감응재(레지스트) 층으로 덮인 기판상에 이미징된다. 이러한 이미징 단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광후 베이크(PEB), 현상, 하드 베이크 및 이미징된 피처(imaged feature)의 측정/검사와 같은 기타 절차를 거칠 수 있다. 이러한 일련의 절차는, 예를 들어 IC와 같은 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음, 이러한 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 개별층을 마무리하기 위한 다양한 프로세스를 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 절차 또는 그 변형 절차가 반복되어져야만 할 것이다. 종국에는, 디바이스의 어레이가 기판(웨이퍼) 상에 존재하게 될 것이다. 그 다음, 이들 디바이스들은 다이싱 또는 소잉 등의 기술에 의해 서로 격리된 후에, 각각의 디바이스는 캐리어에 탑재되고, 핀 등에 접속될 수 있다.
설명을 간단히 하기 위해, 투영시스템은 이후에 "렌즈"라고 언급될 수 있다; 하지만, 이 용어는 예를 들어, 굴절 광학기, 반사 광학기 및 카타디옵트릭 시스템을 포함한 다양한 형태의 투영시스템을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, 방사선시스템은 방사선 투영빔의 지향, 성형 또는 제어를 하기 위한 이들 디자인 유형 중의 어느 하나에 따라 동작하는 구성요소를 포함할 수 있고, 이러한 구성요소들도 이후 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수 있다. 또한, 상기 리소그래피 장치는 두개 이상의 기판 테이블 (및/또는 두개 이상의 마스크 테이블)을 구비하는 형태가 될 수 있다. 이러한 "다수 스테이지" 디바이스에서, 추가적인 테이블들이 병행하여 사용될 수 있거나, 하나 이상의 다른 테이블들이 노광을 위하여 사용되고 있는 동안에 하나 이상의 테이블에서 준비단계가 수행될 수 있다. 트윈 스테이지 리소그래피 장치는 예를 들어, 본 명세서에서 인용참조되는 US 제 5,969,441 호에 개시되어 있다.
상기 언급된 포토리소그래피 마스크는 실리콘 웨이퍼 상으로 집적되는 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 형성하는데 사용되는 상기 패턴들은, CAD(컴퓨터 지원 설계 : computer-aided design) 프로그램을 사용하여 생성될 수 있고, 이 프로세스는 종종 EDA(전자설계 자동화: electronic design automation)로 언급된다. 대부분의 CAD 프로그램은 기능적인 마스크들을 생성하기 위해 사전설정된 디자인 룰의 세트를 따른다. 이들 룰은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 룰들은, 회로 디바이스들(예컨대, 게이트들, 캐패시터들 등) 또는 배선 라인들(interconnect lines) 사이의 공간 허용오차(space tolerance)를 정의하여, 상기 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 상호 작용하지 않도록 한다. 디자인 룰 제한들은 통상적으로 "임계 치수"(CD)라고 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소 폭 또는 두개의 라인들 또는 두개의 홀들 사이의 최소 공간으로 정의될 수 있다. 따라서, 상기 CD는 디자인된 회로의 전체적인 크기 및 밀도를 결정한다.
물론, 집적 회로 제작에 있어서의 목적들 중 하나는 (마스크를 통해) 웨이퍼상에 원래 회로 디자인을 충실하게 재현(faithfully reproduce)하는 것이다. 타겟 패턴의 임계 치수가 점점 작아지기 때문에, 웨이퍼 상에 타겟 패턴들을 재현하는 것이 점점 힘들어지고 있다. 하지만, 웨이퍼에 이미징 또는 재현될 수 있는 최소 CD의 감소를 허용하는 공지된 기술들이 있다. 이러한 한가지 기술은, 타겟 패턴내의 피처들이 2개의 별도의 노광(separate exposure)에서 이미징되는 이중 노광 기술이다.
예를 들면, 통상적으로 공지된 이중 노광 기술은 쌍극자 조명(dipole illumination)이다. 이 기술에서는, 제 1 노광시에 타겟 패턴의 수직 에지들(즉, 피처들)이 조명되고, 그 후, 제 2 노광시에 타겟 패턴의 수평 에지들이 조명된다. 설명된 바와 같이, 2개의 노광을 이용함으로써, 개선된 이미징 성능이 얻어질 수 있다.
공지된 또 다른 이중 노광 기술들에서는 주어진 타겟 패턴의 피처들이 2개의 상이한 마스크들로 분리되도록 한 다음 원하는 패턴을 형성하도록 개별적으로 이미징된다. 이러한 기술은, 통상적으로 개별 피처들이 이미징될 수 없게 타겟 피처들이 서로 너무 가깝게 이격되어 있는 경우 활용된다. 이러한 상황에서는, 상술된 바 와 같이 주어진 마스크들 상의 모든 피처들이 서로 충분하게 이격되어 각각의 피처들이 개별적으로 이미징될 수 있도록, 타겟 피처들이 2개의 마스크로 분리된다. 그 다음, (적절한 실딩(shielding)을 하고) 순차적인 방식으로 두 마스크 모두를 이미징함으로써, 단일의 마스크를 이용해서는 적절히 이미징될 수 없는 높은 밀도로 이격된 피처들을 갖는 타겟 패턴을 얻는 것이 가능하다.
따라서, 주어진 마스크 상의 각각의 피처들간의 피치가 이미징 시스템의 분해능 능력을 상회하도록, 타겟 피처들을 2개의 별도의 마스크들로 분리시킴으로써, 이미징 성능을 향상시키는 것이 가능하다. 실제로, 상술된 이중 노광 기술들은 k1 < 0.25를 허용한다. 하지만, 현재 알려진 이중 노광 기술들을 이용함에 있어 문제 및 한계들이 여전히 존재한다.
예를 들어, 현재의 분해 알고리즘은 타겟 디자인/패턴의 기하학적 구조의 각 피스를 하나의 유닛으로 취급하며, 이들 유닛들 중 어느 것도 다수의 부분들로 자동적으로 분해되지 않는다. 결과적으로, 특정 타겟 패턴들에 대해, 이중 노광 기술들이 활용됨에도 불구하고, k1 < 0.25를 얻을 수 없다.
현재의 분해 알고리즘들과 연관된 또 다른 문제는, 그들이 주로 룰 기반의 알고리즘들이며, 이는 오늘날 지속적으로 복잡해지는 디자인들을 다루기 위해 과도한 수의 룰들을 필요로 한다는 점이다. 더구나, 룰이 정의되지 않은 디자인들 내에서의 상황들/부조화들(situations/conflicts)이 흔히 존재하며, 이러한 예들은 알고리즘이 적절한 결과를 찾는 데 있어 실패의 원인이 된다.
본 발명의 목적은 공지된 이중 노광 기술들의 이러한 결점들을 극복하는 것이다.
상술된 관점에서, 본 발명의 목적은, 예를 들어 다수의 마스크들을 활용하여 개별적으로 이미징되는 별개의 부분들로 타겟 패턴의 피처들을 분해(즉, 컬러링(coloring))하는 모델 기반 프로세스를 제공함으로써 공지된 종래 기술들의 결점들을 극복하는 것이다. 또한, 상기 모델 기반 컬러링 프로세스는 타겟 패턴의 단일 피처가 별도의 노광으로 이미징될 수 있는 다수의 부분들로 분리/분할될 수 있도록 한다.
요약하면, 다중 노광을 활용하여 조명되는 다수의 레티클들로의 타겟 패턴의 분해를 가능하게 하는 모델 기반 컬러링 프로세스를 제공한다. 상기 모델 기반 컬러링 프로세스는, 필요할 경우 단일 기하학적 구조의들의 타겟 패턴을 다수의 부분들로 분해하며, 이들은 이중(또는 다중) 노광을 이용하여 개별 마스크들로 이미징될 수 있다. 전체 이미지는 모든 노광들의 조합이다(즉, 다수의 노광들에 의해 생성된 이미지들을 스티칭함으로써(stitching) 원래의 타겟 디자인이 생성된다).
보다 구체적으로, 본 발명은 피처들이 다중 노광 프로세스로 이미징될 수 있도록, 기판 상에 이미징될 피처들을 갖는 타겟 패턴을 분해하는 방법에 관한 것이다. 상기 방법은: (a) 복수의 피처들을 복수의 다각형들로 분할하는 단계; (b) 복수의 다각형들 각각을 위한 이미지 로그 슬로프(image log slope:ILS) 값을 결정하는 단계; (c) 최소 ILS 값을 갖는 다각형을 결정하고, 상기 다각형을 포함하는 마 스크를 정의하는 단계; (d) 단계 (c)에서 정의된 마스크를 투과 교차 계수의 고유함수로 콘볼빙(convolving)하여 간섭 맵을 생성하는 단계로서, 여기서 투과 교차 계수(transmission cross coefficient)가 타겟 패턴을 이미징하는데 활용될 조명 시스템을 정의하는 상기 단계 및 (e) 상기 다각형에 대응되는 위치에서 상기 간섭 맵의 값에 기초해 상기 다각형에 위상을 할당하되, 상기 위상은 상기 다각형이 할당되는 상기 다중 노광 프로세스의 어느 노광인지를 정의하는 단계를 포함한다.
본 발명은 종래 기술에서의 중요한 장점들을 제공한다. 가장 중요하게는, 본 발명은 타겟 패턴의 피처들을, 예를 들어 다수의 마스크들을 활용하여 별도로 이미징될 수 있는 개별 부분들로 분해하는 모델 기반 컬러링 프로세스를 제공한다. 또한, 본 발명의 모델 기반 컬러링 프로세스는 타겟 패턴의 단일 피처가, 별도로 이미징될 수 있는 다수의 부분들로 자동적으로 분리/분할될 수 있도록 한다. 나아가, 본 발명의 방법은 유리하게는 0.195의 k1을 얻을 수 있다.
당업자라면 본 발명의 실시예들에 대한 후속하는 상세한 설명을 통해 본 발명의 추가적인 장점들을 명확히 이해할 수 있을 것이다.
본 명세서에서는 본 발명을 사용함에 있어 IC의 제조에 대해서만 특정하여 언급하였으나, 본 발명은 다수의 다른 응용례를 가지고 있음을 분명히 이해하여야 한다. 예를 들어, 상기 장치는 집적 광학 시스템, 자기 도메인 메모리용 유도 및 검출 패턴, 액정표시패널, 박막자기헤드 등의 제조에도 사용될 수 있다. 당업자라면, 전술한 기타 응용분야들을 고려할 때, 본 명세서에서 사용된 "레티클", "웨이 퍼" 또는 "다이"와 같은 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부" 등과 같은 좀 더 일반적인 용어로 대체되는 것으로 간주되어야 함을 이해할 것이다.
다음의 상세한 설명 및 첨부 도면을 참조하면, 또 다른 목적 및 장점들과 함께 본 발명 자체를 더 이해할 수 있다.
보다 상세히 후술되는 바와 같이, 모델 기반 컬러링 프로세스는 타겟 패턴을, 다중 노광 프로세스에서 별도로 조명되는 다수의 부분들로 분해한다. 일 실시예에서, 다수의 부분들이 할당되고 순차적으로 조명되는 2개의 별도 레티클들 중 하나에 포함된다. 또한, 본 명세서에 개시된 실시예에 나타낸 바와 같이, 모델 기반 컬러링 프로세스는, 필요한 경우 타겟 패턴 내의 단일의 기하학적 구조(즉, 피처)를 다수의 부분들로 자동적으로 분해하고, 그 후 그들이 별도의 조명 프로세스에서 이미징된다.
모델 기반 컬러링 프로세스의 예시적 플로우차트인 도 1을 참조하면, 프로세스의 제 1 단계(단계 10)는 타겟 패턴(즉 기판 상에 이미징될 패턴) 및 상기 타겟 패턴을 이미징하는데 활용될 조명 셋팅들을 정의하는 것이다. 타겟 패턴은 표준 데이터 포맷인 "gds"와 같은 데이터 포맷에 기술되는 것이 바람직하다. 하지만, 여타 적합한 데이터 포맷이 활용될 수도 있다. 본 명세서에 기술된 예시에서는, 타겟 패턴이 방정식 O(x, y)로 표현되며, 여기서 x 및 y는 타겟 패턴 내의 위치들을 나타낸다.
도 2는 모델 기반 컬러링 프로세스를 예시하는데 활용될 예시적 타겟 패턴을 나타내고 있다. 나타낸 바와 같이, 타겟 패턴은 복수의 수직방향 피처들(32) 및 단일의 긴 수직방향 피처(33)를 포함한다. 조명 셋팅들은, 예를 들어 조명의 타입(즉, 환형, 사각형, 오프-액시스(off-axis) 등), λ(소스의 파장), NA(투영렌즈의 개구수) 등을 포함하나 이들로 제한되는 것은 아니라는데 유의해야 한다. 주어진 예시에서, 피처들(32, 33)의 피치는 나타낸 바와 같이 64.4nm 또는 80.5nm, k1 = 0.2, λ = 193nm, NA = 1.2이고 환형 조명이 사용되며, σin = 0.77 및 σout = 0.97을 갖는다.
프로세스의 다음 단계(단계 12)는 타겟 패턴 O(x, y)의 피처들(32, 33)의 에지들에 분열 지점들(35)(스니핏(snippet)이라 언급되기도 함)을 적용하는 것이다. 분열 지점들(35)은 피처들(32, 33)의 에지들 주위에서 이격되어 있고 복수의 보다 작은 에지들을 정의하는 기능을 한다. 주어진 예시에서, 도 3에 나타낸 바와 같이, 분열 지점들(35)은 각각의 피처들의 에지 주위에서 등간격으로 이격되나, 분열 지점들을 배치하는데 있어 상이한 체계들이 활용될 수도 있다.
도 4를 참조하면, 분열 지점들(35)이 정의되고 나면, 다음 단계에서는 복수의 다각형들(34)을 형성하도록 분열된 에지들이 그룹핑된다(grouped). 다시 말해, 타겟 패턴 및 거기에 포함되는 피처들은 복수의 보다 작은 다각형들(34)에 의해 분할된다(divided)(즉, 표현된다(represented)). 도 4는 타겟 패턴의 피처들(32, 33) 각각이 어떻게 복수의 보다 작은 다각형들(34)에 의해 표현되는지를 예시하고 있 다. 인접한 다각형들은 공통이 에지를 공유할 수 있다는데 유의해야 한다. 다각형들은 방정식 P(x, y)로 표현되는데, 여기서 x 및 y는 타겟 패턴 내의 위치들을 나타낸다. 초기에, 각각의 다각형들은 투과율이 1 및 위상이 0°로 할당된다. 보다 상세히 후술되는 바와 같이, 주어진 다각형에 할당되는 위상(예를 들어, 0° 또는 180°)은 주어진 다각형(P(x, y))이 제 1 노광에서 이미징될 것인지 제 2 노광에서 이미징될 것인지를 나타내는데 활용될 것이다. 또한, 위상을 할당하는 것은 주어진 다각형이 어떤 노광에 할당될 것인지를 지시하는 단지 하나의 기술이라는데 유의해야 한다. 예를 들어, 각각의 주어진 다각형에 컬러 지시(color designate)를 할당하는 것이 가능한데, 여기서 컬러 지시는 어떤 노광에 다각형이 할당되는지를 나타낸다. 또한, 컬러는 0 이 아닌 임계치를 고유함수에 적용함으로써 할당되거나, 고유함수에 미분(differentiation)과 같은 선형 연산자를 적용함으로써 할당될 수 있다.
프로세스의 다음 단계(단계 16)에서는, 단계 10에서 정의된 조명 셋팅들을 활용하는 (바람직하게는 공유되지 않는 다각형 에지들의 중심에서) 각각의 다각형에 대해 이미지 로그 슬로프(ILS)가 계산된다. 알려진 바와 같이, ILS는 다음과 같이 계산될 수 있다:
Figure 112006098572427-PAT00001
. 바람직한 실시예에서는, 이 단계 동안 전체 타겟 패턴이 동시에 고려되지 않는다는데 유의해야 한다. 해당 제 1 기하학적 영역을 위한 코히런스 반경이 정의되고, 코히런스 반경 내의 모든 다각형들에 대한 ILS가 계산된다. 이것이 수행되고나면, 다음의 해당 기하학적 영역에 대한 코히런스 반경 내의 모든 다각형들에 대한 ILS가 계산된다. 이 프로세스는, 예를 들어 마스크 설계자에 의해 결정적인 것으로 여겨지는 영역들일 수 있는 모든 관심 영역들 또는 단순하게 전체 타겟 패턴이 처리되고, 그 안에 포함되는 각각의 다각형에 대한 ILS가 처리될 때까지 계속된다. 바람직한 실시예에서, 코히런스 반경은 4λ/NA로서 정의된다. 주어진 다각형에 대해 ILS가 계산되는 경우, 다각형은 코히런스 반경 내에서 센터링된다(centered)는데 유의해야 한다.
프로세스의 다음 단계(단계 18)는 최소 ILS를 갖는 다각형을 식별하는 단계와 단일 다각형을 포함하는 마스크(M(x, y))를 생성하는 단계를 수반한다. 단계 16과 유사하게, 최소 ILS를 갖는 다각형을 결정하는 경우, 주어진 코히런스 반경 내의 다각형들만이 고려된다는데 유의해야 한다. 프로세스는, 모든 해당 영역들이 처리될 때까지 반복된다. 마스크(M(x, y))는 상술된 "gds"와 같은 표준 데이터 포맷들을 활용하여 정의된다는데 유의해야 한다. 도 5는 단계 18에 의하여 생성되는 예시적 마스크를 나타내고 있다. 나타낸 바와 같이, 마스크는 단일의 다각형(52)만을 포함한다.
먼저 분석을 위한 패턴이 여러 방식으로 선택될 수 있다는데 유의해야 한다. 일 예시에서, 사용자는 최대 관심 패턴을 특정할 수 있다. ILS는 패턴 내 각 분열 지점에 대해 평가되고, 코히런스 반경은 최저 ILS를 갖는 분열 지점에서 센터링된다. 또 다른 예시에서와 같이, 풀-칩 런(full-chip run)에 대하여, 사용자는 먼저 패턴의 룰 기반 분해의 사용을 시도할 수 있다. 하지만, 룰 기반의 방법들은 풀-칩을 성공적으로 분해하는데 실패하는 일이 흔하며, 이러한 실패의 영역들을 분해하 는데(즉, 나누는데) 사용자의 개입이 요구된다. 사용자의 개입을 요하는 대신, 실패의 영역들은 본 발명의 모델 기반 방법들을 이용하여 처리될 수 있다. 1 이상의 실패 영역들이 존재한다면, 최저 ILS 값을 갖는 영역이 먼저 평가된다. 다음으로 2번째 ILS 값을 갖는 다음 실패 영역이 평가된다. 그 다음, 코히런스 반경이 상기 2번째 최저 ILS를 갖는 실패 영역 주위에 배치된다.
계속해서 프로세스의 다음 단계(단계 20)는 제 1(즉, 초기) 간섭 맵을 생성시키기 위하여 조명시스템을 정의하는 투과 교차 계수(TCC)의 제 1 고유함수(즉, 최고값의 고유함수 Φ(x, y))와 단계 18에서 정의된 마스크(M(x, y))를 콘볼빙하는 것이다. 알려진 바와 같이, 투과 교차 계수(TCC)는, 예컨대 본 명세서에서 인용 참조되는 M. Born 및 E. Wolf의 Principles of Optics 530(6판, Pergamon Press)에 기술된 조명 퓨필과 투영 퓨필의 자동상관(autocorrelation)이다. 다시 말해, TCC는 광학시스템의 이미지 전사 기능을 나타낸다. TCC는 고유함수들의 셋트에 직교화되는데, 이는 또한 조명시스템을 나타낸다. 상술된 바와 같이, 마스크(M(x, y))는 최고 고유값을 갖는 고유함수와 콘볼빙된다. 그 결과는 초기 간섭 맵(F1(x, y))이다. 따라서, F1(x, y)는 다음과 같이 정의될 수 있다:
Figure 112006098572427-PAT00002
간섭 맵들은 잘 알려져 있으며, 예를 들어 본 명세서에서 인용 참조되는 미국특허출원 제 10/756,830 호에 기술되어 있다는 것에 유의해야 한다. 본 명세서에 기술된 바와 같이, 간섭 맵들은 이미지 평면의 e-필드에 대응되며, 포지티브한 에너지와 네거티브한 에너지 둘 모두를 나타낼 수 있다.
도 6은 상술된 조명시스템에 대하여 도 5의 마스크(M(x, y))와 최고 고유함수(Φ1(x, y))와의 앞선 콘볼루션의 결과(즉, 간섭 맵)를 예시하고 있다. 도 6과 그에 인접한 스케일을 참조하면, 간섭 맵은 마스크 패턴의 어떤 부분들이 포지티브한 값들을 가지고 어떠한 부분들이 네거티브한 값들을 갖는지를 예시하고 있다. 포지티브한 영역(즉, 보강 간섭의 영역)에 존재하는 다각형 부분(34)은 제 1 위상(예를 들어, 0°)으로 할당되고, 네거티브한 영역(즉, 상쇄 간섭의 영역)에 존재하는 다각형 부분(34)은 제 2 위상(예를 들어, 180°)로 할당된다. 보다 상세히 후술하겠지만, 주어진 다각형 부분의 위상 값들은 컬러링 프로세스의 과정 동안 변할 수 있다. 하지만, 프로세스가 완료되고 나면, 프로세스의 완료시 주어진 다각형 부분에 할당된 위상은 주어진 다각형 부분이 다중 조명들 중 어느 것을 거치게 되는지를 정의하는데 활용될 것이다. 예를 들어, 피처들이 제 1 레티클과 제 2 레티클로 분해되고 있다면, 주어진 다각형에 할당된 위상은 주어진 다각형 부분이 어느 레티클 내에 형성되는지를 결정할 것이다.
앞선 프로세스는 컬러링 프로세스(단계 22) 중에, 각각의 다각형 부분(34)(P(x, y)의 Pi(x, y))에 대한 질량 중심을 찾고, 이들 지점을 (xci, yci)로 정의함으로써 달성된다. 그 다음, 간섭 맵의 F(xci, yci)가 네거티브라면, 다각형 부분(Pi(x, y))은 180°의 위상으로 할당되고, 간섭 맵의 F(xci, yci)가 포지티브나 0이 라면, 다각형 부분(Pi(x, y))는 0°의 위상으로 할당된다. 주어진 실시예에서, 위상은 주어진 다각형이 간섭 맵의 포지티브한 영역에 있는지 네거티브한 영역에 있는지, 그리고 후속하여 다중 노광 프로세스 동안 주어진 다각형 부분(34)이 어느 노광을 거쳐야 하는지를 식별하는데 활용되고 있다.
도 7은 단 한번의 반복(즉, 도 5에 예시된 단지 단일 다각형 부분(34)의 고려) 후의 결과적인 마스크 레이아웃(P(x, y))을 예시하고 있다. 도 7을 참조하면, 보다 밝은 영역들(36)은 간섭 맵(F1(x, y))이 포지티브한 영역을 나타내는 한편, 보다 어두운 영역들(38)은 간섭 맵(F1(x, y))이 네거티브한 영역들을 나타낸다. 따라서, 본 발명의 방법에 따르면, 보다 밝은 영역들(36)은 제 1 노광으로 이미징되고, 보다 어두운 영역들(38)은 제 2 노광으로 이미징된다. 하지만, 초기 간섭 맵은 단지 단일의 다각형 부분(34)을 고려하였다. 코히런스 반경 내의 다각형 부분들(34) 모두를 효과적으로 고려하기 위해서는, 반복적인 프로세스가 수행되어야 한다.
다시 말해, 선행 단계들(단계 10-22)은 초기 간섭 맵(F1(x, y)) 및 초기 다각형 마스크(P(x, y))를 생성시킨다. 이러한 단계들 후에, 컬러링 프로세스의 나머지 부분은 다음의 반복적인 프로세스를 수행하는 단계를 수반한다. 우선, Pi(x, y)의 다각형 부분들이 비용 함수(cost function)에 의해 먼저 랭크된다(rank). 많은 광학적 파라미터들 또는 물리적 파라미터들이 적절한 비용 함수를 생성시키기 위해 선택될 수 있다는데 유의해야 한다. 일 실시예의 비용 함수는 가우시안 함수이며, 이는 ILS의 함수이며 최소 ILS(
Figure 112006098572427-PAT00003
)를 갖는 지점으로부터의 거리의 함수이다. 이 실시예에서 활용되는 이러한 비용 함수는 다음과 같이 정의될 수 있다.
Figure 112006098572427-PAT00004
비용 함수는 가장 중요한 것에서 가장 중요도가 떨어지는 것까지 분열 지점들을 오더링(order)하는 수학적 표현이다. 알고리즘은 가장 중요한 분열 지점, 즉 최고 비용 함수를 갖는 지점을 평가함으로서 개시된다. 하나의 가능한 비용 함수(g)는 변수들(ILS) 및 거리(r-r0)를 갖는다. 여기서 사용되는 비용 함수는
Figure 112006098572427-PAT00005
이며, 여기서, i는 분열 지점의 지수이다. 비용 함수에서는 다른 측정기준, 예컨대 세기의 구배, 노광 관용도(EL), 마스크 오차 개선 인자(mask error enhancement factor:MEEF), 세기 및 초점 깊이(DOF)가 사용될 수 있으며, 사용되는 측정기준이 이들로 제한되는 것은 아니다.
비용 함수는 가장 중요한 것에서 가장 중요도가 떨어지는 것까지 분열 지점들을 오더링하는 수학적 표현이다. 알고리즘은 가장 중요한 분열 지점, 즉 최고 비용 함수를 갖는 지점을 평가함으로서 개시된다. 하나의 가능한 비용 함수(g)는 변수들(ILS) 및 거리(r-r0)를 갖는다. 여기서 사용되는 비용 함수는
Figure 112006098572427-PAT00006
이며, 여기서, i는 분열 지점의 지수이다.
다음 단계(단계 24)에서, 비용 함수는 코히런스 반경 내의 다각형들(Pi(x, y))의 각 분열 지점에 적용되며, 분열 지점들은 최고 비용 함수에서 최저 비용 함수를 갖는 분열 지점들을 나타내도록 랭크된다. 분열 지점들의 랭킹이 보다 높다는 것은 그 지점을 프린트하기가 더욱 어렵다는 것을 의미한다. 분열 지점의 ILS가 0보다 작다면, 분열 지점은 매우 문제가 큰데, 즉 현상시 분열 지점이 제거되거나 분열 지점이 또 다른 분열 지점에 브릿지(bridge)될 것이라는 점을 이해해야 한다. 네거티브한 ILS를 갖는 이러한 분열 지점들은 보다 높은 비용 함수가 할당될 수 있다. 보다 높은 비용 함수를 갖는 분열 지점은 가장 낮은 비용 함수를 갖는 분열 지점들에 앞서 평가될 것이다. 이는, 문제성 영역들이 다중 노광들로 분리되고 다중 노광 후에 패턴 충실도(fidelity)를 확실히 향상시킬 것이다.
일단 비용 함수가 정의되고 나면, 단계 20에서 결정된 초기의 간섭 맵은 비용 함수에 의해 가중된다(weighted)는 것에 유의해야 한다. 따라서, 초기 간섭 맵은 다음과 같다:
Figure 112006098572427-PAT00007
계속해서, 프로세스의 다음 단계(단계 26)에서는, 최고 비용 함수를 갖는 분열 지점을 갖는 다각형 부분(34)이 선택되며, 단계(22)에서 생성되는 초기 간섭 맵의 결과로서 정의되었던 이 다각형의 위상을 사용하여 이러한 단일의 다각형 M(x, y)을 갖는 마스크가 생성된다. 컬러링 프로세스가 첫 번째로 이 단계를 수행한 후에, 상기 단계에서 활용될 다각형의 형상은 이전의 반복으로 업데이트된 전체 간섭 맵(즉, 후술되는 단계(30)에 의하여 생성된 간섭 맵)에 의하여 정의될 것이라는데 유의해야 한다. 도 8은 예시적 타겟 패턴을 위한 이 단계에 따라 형성되는 마스크를 예시하고 있다.
단계 20과 유사한 프로세스의 다음 단계(단계 28)에서, 단계 26에 의해 생성되는 마스크(M(x, y))는 제 2 간섭 맵(Fi+1(x, y))을 생성하기 위하여 고유함수(Φ(x, y))와 콘볼빙된다. 그 다음, 단계 30에서는, 단계 28에서 생성되는 간섭 맵(Fi+1(x, y))이 전체 간섭 맵을 생성시키기 위하여 비용 함수(
Figure 112006098572427-PAT00008
)와 곱해지는 초기 간섭 맵(Fi(x, y))에 부가된다:
Figure 112006098572427-PAT00009
그 후, 단계 22와 같이 다음 단계(단계 31)에서는, 각각의 다각형 부분(P(x, y)의 Pi(x, y))에 대한 질량 중심이 결정되고, 이들 지점들은 (xci, yci)라 칭해진다. 그 다음, 단계 22에서와 같이, 간섭 맵의 Ftotal(xci, yci)이 네거티브라면, 주어진 다각형 부분(Pi(x, y))은 180°의 위상이 할당되고, 간섭 맵의 Ftotal(xci, yci)가 포지티브나 0이라면, 주어진 다각형 부분(Pi(x, y))은 0°의 위상이 할당된다. 도 9는 제 2 반복 후에 생성된 간섭 맵을 예시하고 있으며, 도 10은 도 9의 간섭 맵에 의해 정의된 대응 마스크 레이아웃(P(x, y))을 예시하고 있다.
이 후, 단계 26에서 선택된 분열 지점이 랭크된 분열 지점들의 리스트로부터 제거되고, 상기 프로세스는 단계 22로 복귀되며, 단계 22-32가 새롭게 선택된 분열 지점에 대해 다시 수행된다. 이러한 반복적인 프로세스는 모든 분열 지점들이 고려되고 처리될 때까지 계속된다.
도 10은 선행 프로세스의 두 번의 반복들로부터 생성된 마스크를 예시하고 있다. 도 7과 도 10간의 비교로부터 알 수 있는 바와 같이, 생성된 2개의 마스크 패턴들 사이에는 작은 차이들이 존재한다. 하지만, 도 11은 모든 반복들이 완료된 후의 본 발명의 프로세스에 의해 생성되는 간섭 맵을 예시하고 있다. 도 11을 참조하면, 주어진 예시에 대해 생성된 간섭 맵은 어떠한 피처들 및 피처들의 부분들이 포지티브한 값들을 나타내며(참조 번호 110 참조), 어떠한 피처들 및 피처들의 부분들이 네거티브한 값들을 나타내는지(참조 번호 112 참조)를 명확하게 나타낸다. 결과적으로, 컬러링 프로세스는 제 1 노광에서 어떠한 피처들이 이미징되어야 하는지(상기 피처들은 포지티브한 값들을 나타냄) 그리고 제 2 노광에서 어떠한 피처들이 이미징되어야 하는지(상기 피처들은 네거티브한 값들을 나타냄)를 쉽고 자동적으로 결정할 수 있다. 상기 프로세스는 단일 피처가 다수의 부분들로 분해될 수 있도록 한다는데 유의하는 것이 중요하다. 도 11을 참조하면, 피처(33)의 일 부분은 제 1 노광에서 이미징되는 한편, 동일 피처의 또 다른 부분은 제 2 노광에서 이미징될 것이라는 점은 명백하다. 도 12는 도 11의 간섭 맵으로부터 생성된 마스크 레이아웃의 할당을 예시하고 있다. 도시된 바와 같이, 주어진 예시에서는, 밝은 영역들이 제 1 마스크에 할당되고 제 1 노광을 거치며, 어두운 영역들이 제 2 마스크에 할당되고 제 2 노광을 거친다.
k1<0.25인 피처들을 프린트하기 위해서는, 이미징 매체 내로의 비-선형 전사(non-linear photoresist)가 필요하다는데 유의해야 한다. 이는, 비-선형 포토레지스트를 이용하여 달성되거나, 또는 제 1 노광 후에 에칭을 이용하여 달성될 수 있다. 또한, k1≥0.25인 피처들을 프린트하기 위해서는, 2개의 마스크들을 활용하는 것이 가능하다는데 유의해야 한다. k1≥0.25인 피처들을 프린트하기 위하여, 이미징 매체 내로의 비-선형 전사는 필요하지 않다. 일 실시예에서, 웨이퍼는 먼저 제 1 마스크로 노광되고, 웨이퍼는 웨이퍼 척 상에 남겨진다. 그 다음, 레티클 홀더 제 2 마스크에 스위칭되고, 웨이퍼는 제 2 마스크로 노광된다.
도 13은 본 발명의 마지막 간섭 맵 분해 프로세스를 거친 또 다른 예시적 타겟 패턴을 예시하고 있다. 도 14는 타겟 패턴을 위한 프로세스에 의해 생성되는 마지막 간섭 맵을 예시하고 있다. 간섭 맵의 대응되는 영역에 기초한 두 노광들 중 하나에 피처들 또는 피처들의 부분들을 다시 할당함으로써, 타겟 패턴이 본 발명의 프로세스에 의한 제 1 및 제 2 노광 영역들로 쉽게 분해된다. 도 14의 간섭 패턴과 연관된 마스크 레이아웃은 도 15에 예시되어 있다.
선행 프로세스의 변형례들 또한 가능하다. 예를 들어, 다각형들을 2 이상의 카테고리로 분할/할당하고 생성된 마스크 레이아웃을 이미징하기 위하여 2 이상의 노광을 활용할 수 있다. 또한, 본 발명의 기술들은 어두운 필드 마스크들 또는 밝은 마스크들 중 어느 하나를 이용하여 활용될 수 있다는데 유의해야 한다. 본 발명 의 기술들은 또한 교번 위상-시프트 마스크들을 위한 모델-기반 컬러링 알고리즘으로서 활용될 수 있다. 나아가, 본 발명의 기술들은 ASML의 이전에 개시된 조명 최적화 기술들 또는 ASML의 조명 및 소스 최적화 기술들과 연계하여 활용될 수 있다는데 유의해야 한다.
상술된 바와 같이, 본 발명은 종래 기술을 넘어선 중요한 장점들을 제공한다. 가장 중요하게는, 본 발명은, 예를 들어 다수의 마스크들을 활용함으로써 타겟 패턴의 피처들을, 개별적으로 이미징될 수 있는 별개의 부분들로 분해하는 모델 기반 컬러링 프로세스를 제공한다. 또한, 본 발명의 모델 기반의 컬러링 프로세스는 타겟 패턴의 단일 피처가 개별적으로 이미징될 수 있는 다수의 부분들로 자동적으로 분리/분할될 수 있도록 한다. 나아가, 본 발명의 방법은 k1=0.177을 갖는 2D 패턴을 2 노광들로 분해할 수 있다. 2 이상의 노광이 사용된다면, 본 발명은 k1<0.177을 갖는 2D 패턴을 분해할 수 있다. 마지막으로, 주어진 노광 마스크의 피처들 및/또는 부분들 간의 거리는 2k1λ/NA의 최소 분해가능 피치보다 더 커야한다는데 유의해야 한다.
도 16은 상술된 조명 최적화를 구현할 수 있는 컴퓨터 시스템(100)을 예시한 블록도이다. 컴퓨터 시스템(100)은 버스(102) 또는 정보를 통신하기 위한 여타의 통신 메커니즘, 및 정보를 처리하기 위해 버스(102)와 커플링된 프로세서(104)를 포함한다. 컴퓨터 시스템(100)은 또한 랜덤 액세스 메모리(RAM)와 같은 메인 메모리(106), 또는 프로세서(104)에 의해 실행될 명령어들 및 정보를 저장하기 위하여 버스(102)에 커플링되는 여타의 동적 저장 디바이스(dynamic storage device)를 포함한다. 메인 메모리(106)는 또한 프로세서(104)에 의해 실행될 명령어들의 실행 동안에 임시 변수들 또는 여타의 중간 정보를 저장하는데 사용될 수도 있다. 컴퓨터 시스템(100)은 또한 읽기 전용 메모리(ROM)(108) 또는 프로세서(104)에 대한 정적(static) 정보와 명령어들을 저장하기 위하여 버스(102)에 커플링된 여타의 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가, 정보 및 명령어들을 저장하기 위한 버스(102)에 제공 및 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 정보를 컴퓨터 사용자에게 디스플레이하는 CRT(cathode ray tube)나 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 커플링될 수도 있다. 영문자 및 기타 키들을 포함하는 입력 디바이스(114)는 프로세서(104)에 정보 및 명령 선택들을 통신하기 위하여 버스(102)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는, 프로세서(104)에 직접 정보 및 명령 선택들을 통신하고 디스플레이(112)상의 커서 움직임을 제어하기 위한 마우스, 트랙볼 또는 커서 방향 키들과 같은 커서 컨트롤(116)이다. 이러한 입력 디바이스는 통상적으로 2개의 축, 즉, 제 1 축(예컨대, x) 및 제 2 축(예컨대, y)으로 2 자유도를 가지며, 이는 상기 디바이스가 한 평면에서의 위치들을 특정할 수 있도록 한다. 터치 패널(스크린) 디스플레이가 입력 디바이스로 사용될 수도 있다.
본 발명의 일 실시예에 따르면, 컬러링 프로세스는 컴퓨터 시스템(100)에 의해, 메인 메모리(106)에 포함된 1이상의 명령어들의 1이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 수행될 수도 있다. 이러한 명령어들은 저장 디바이스 (110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 메인 메모리(106) 안으로 판독될 수도 있다. 메인 메모리(106) 안에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 기술된 프로세스 단계들을 수행하도록 한다. 멀티-프로세싱 구성예에서 1이상의 프로세스들이 메인 메모리(106) 안에 포함된 명령어들의 시퀀스들을 실행하는데 채택될 수도 있다. 대안적인 실시예들에서는, 하드-와이어 회로가 본 발명을 구현하기 위한 소프트웨어 명령어들을 대신하여 또는 명령어들과 조합하여 사용될 수도 있다. 따라서, 본 발명의 실시예들은 하드웨어 회로나 소프트웨어의 어떠한 특정 조합예에 국한되지 아니한다.
본 명세서에서 사용되는 바와 같이, "컴퓨터-판독가능 매체"라는 용어는, 실행을 위해 프로세서(104)에 명령어를 제공하는데 관여한 여하한의 매체를 지칭한다. 이러한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하나 이러한 것들로 제한되지 않는 다양한 형식을 취할 수 있다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 메인 메모리(106)와 같은 다이내믹 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여 구리선, 광섬유(fiber optics) 및 동축 케이블(coaxial cable)을 포함한다. 또한, 전송 매체는 무선 주파수(RF)와 적외선(IR) 데이터 통신 중에 생성된 파와 같은 음파 또는 광 파의 형식을 취할 수 있다. 컴퓨터-판독가능 매체의 일반적인 형식은, 예를 들어 플로피 디스크, 플렉서블 디스크, 하드 디스크, 자기 테이프, 여하한의 자기 매체, CD-ROM, DVD, 여하한의 광학 매체, 펀치 카드, 페이퍼 테이프, 홀의 패턴을 갖는 여타 물리적 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 여타 메모리 칩 또는 카트리지, 후술하는 반송파, 또는 컴퓨터가 판독할 수 있는 여타 매체를 포함한다.
컴퓨터 판독가능 매체의 다양한 형식들은, 실행을 위해 프로세스(104)에 1이상의 명령어의 1이상의 시퀀스를 전달하는 것과 관련되어 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 내장될 수도 있다. 상기 원격 컴퓨터는 그 다이내믹 메모리 안으로 명령어들을 로딩하고 모뎀을 이용하여 전화선을 거쳐 명령어들을 전송할 수 있다. 컴퓨터 시스템(100)에 대한 모뎀 로컬은 전화선 상의 데이터를 수신하고 적외선 송신기를 사용하여 상기 데이터를 적외 신호로 변환할 수 있다. 버스(102)에 커플링된 적외 검출기는 적외 신호를 지닌 데이터를 수신하고 버스(102) 상에 상기 데이터를 위치시킬 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 전송하고, 이로부터 프로세서(104)가 명령어들을 검색 및 실행한다. 메인 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110) 상에 선택적으로 저장될 수도 있다.
컴퓨터 시스템(100)은 또한 버스(102)에 커플링된 통신 인터페이스(118)를 포함하는 것이 바람직하다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링되는 2-방향 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는, 상응하는 타입의 전화선에 데이터 통신 접속을 제공하기 위한 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수도 있다. 또 다른 예로는, 통신 인터페이스(118)는 데이터 통신 접속을 호환가능한 LAN에 제공하기 위한 근거리망(LAN) 카드일 수도 있다. 무선 링크들이 구현될 수도 있다. 이러한 여 하한의 구현예에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 지니는 전기, 전자기 또는 광학 신호들을 송신 및 수신한다.
네트워크 링크(120)는 통상적으로 1이상의 네트워크를 통하여 여타의 데이터 디바이스들로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통한 접속을 호스트 컴퓨터(124) 또는 인터넷 서비스 제공자(ISP)(126)에 의해 작동되는 데이터 장비로 제공할 수도 있다. ISP(126)는 현재 흔히 "인터넷"(128)이라고 불리우는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스들을 제공한다. 로컬 네트워크(122) 및 인터넷(128) 양자 모두는 디지털 데이터 스트림들을 지니는 전기, 전자기 또는 광학 신호들을 사용한다. 다양한 네트워크들을 통한 신호와 네트워크 링크(120) 상의 신호 및 디지털 데이터를 컴퓨터 시스템(100)으로/으로부터 전송하는 통신 인터페이스(118)을 통한 신호들이 정보를 전송하는 반송파들의 예시적인 형태이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)을 통해 프로그램 코드를 포함하는 메시지를 송신하고 데이터를 수신할 수 있다. 예를 들어 인터넷에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 응용 프로그램을 위한 요청된 코드를 전송할 수도 있다. 본 발명에 따르면, 이러한 다운로딩된 어플리케이션 하나는, 예를 들어 실시예의 조명 최적화를 제공한다. 수신된 코드는, 그것이 수신되고 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 여타의 비휘발성 저장 디바이스에 저장됨에 따라, 프로세서(104)에 의하여 실행될 수도 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수도 있다.
도 17은 본 발명의 도움으로 디자인된 마스크에 사용하기 적절한 리소그래피 투영장치를 개략적으로 도시한다. 상기 장치는,
- 방사선의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL)(이 특정한 경우에, 방사선시스템은 방사선 소스(LA)도 포함한다);
- 마스크(MA)(예를 들어, 레티클)를 지지하는 마스크 홀더가 제공되고, 아이템 PL에 대하여 마스크를 정확히 위치시키는 제 1 위치설정수단에 연결된 제 1 대물테이블(마스크테이블)(MT);
- 기판(W)(예를 들어, 레지스트코팅된 실리콘웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템 PL에 대하여 기판을 정확히 위치시키는 제 2 위치설정수단에 연결된 제 2 대물테이블(기판테이블)(WT); 및
- 기판(W)의 타겟부(C)(1 이상의 다이를 포함)상으로 마스크(MA)의 조사된 부분을 이미징시키는 투영시스템("렌즈")(PL)(예를 들어, 굴절형, 카톱트릭 또는 카타디옵트릭 광학시스템)을 포함한다.
묘사된 바와 같이, 상기 장치는 (예를 들어, 투과마스크를 구비한) 투과형으로 구성된다. 하지만, 일반적으로 상기 장치는 (예를 들어, 반사마스크를 구비한) 반사형일 수도 있다. 대안적으로, 상기 장치는 마스크의 사용의 대안례로서 또 다른 종류의 패터닝수단을 채용할 수도 있다; 그 예로서는 프로그램가능한 거울 어레이 또는 LCD 매트릭스가 있다.
상기 소스(LA)(예를 들어, 수은 램프 또는 엑시머 레이저)는 방사선의 빔을 생성한다. 상기 빔은 곧바로 또는, 예를 들어 빔 익스펜더(Ex)와 같은 컨디셔닝수단을 거친 다음에 조명시스템(일루미네이터)(IL)으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기분포의 외측반경 및/또는 내측반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한, 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다양한 구성요소들을 포함한다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(PB)은 그 단면에 소정의 균일성과 세기분포를 갖게 된다.
도 17과 관련하여, 상기 소스(LA)는 리소그래피 투영장치의 하우징내에 놓이지만(흔히 방사선 소스(LA)가 예를 들어 수은램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔은 (가령, 적절한 지향거울에 의해) 장치내부로 들어오게 할 수도 있다; 후자의 시나리오는 흔히 방사선 소스(LA)가 (예를 들어, KrF, ArF 또는 F2 레이징에 기초한) 엑시머 레이저인 경우이다. 본 발명은 적어도 이들 시나리오들을 모두 포괄한다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 잡혀있는 마스크(MA)를 인터셉트한다(intercept). 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C) 위에 초점이 맞추어진다. 제 2 위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동 안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 17에 명확히 도시되지는 않았지만, 장행정모듈(long stroke module)(개략위치설정) 및 단행정모듈(미세위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 웨이퍼스테퍼의 경우에는 마스크테이블(MT)이 단지 짧은 행정모듈에만 연결될 수도 있고 또는 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C) 상으로 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 시프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "섬광"에 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V= Mv로, 동일한 방향 또는 그 반대방향으로 동시에 이동하는데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
추가적으로, 소프트웨어는 개시된 개념들을 수행하거나 그 수행을 도울 수 있다. 컴퓨터 시스템의 소프트웨어 기능들에는, 실행가능한 코드를 포함하는 프로 그래밍이 수반되며 상술된 이미징 모델을 구현하는데 사용될 수 있다. 소프트웨어 코드는 범용 컴퓨터에 의해 실행가능하다. 작동시, 코드, 및 아마도 그와 연관된 데이터 기록들은 범용 컴퓨터 플랫폼 내에 저장된다. 하지만, 다른 시간들에, 소프트웨어는 다른 위치들에 저장되거나 및/또는 적절한 범용 컴퓨터 시스템 내로 로딩하기 위해 이송될 수도 있다. 따라서, 상술된 실시예들은 1 이상의 기계-판독가능한 매체가 지니는 1 이상의 모듈들의 형태의 1 이상의 소프트웨어 제품들을 포함한다. 컴퓨터 시스템의 프로세서에 의한 이러한 코드의 실행은 본 질적으로 본 명세서에서 기술 및 예시된 실시예들에서 수행되는 방식으로 카탈로그 및/또는 소프트웨어 다운로딩 기능들을 구행할 수 있도록 한다.
본 명세서에서 사용되는 바와 같이, 컴퓨터 또는 기계 "판독가능 매체"라는 용어는, 실행을 위해 프로세서에 명령어를 제공하는데 관여한 여하한의 매체를 지칭한다. 이러한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하나 이러한 것들로 제한되지 않는 다양한 형식을 취할 수 있다. 비휘발성 매체는, 예를 들어 저장 디바이스와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 상기 컴퓨터 플랫폼의 메인 메모리와 같은 다이내믹 메모리를 포함한다. 물리적 전송 매체는 컴퓨터 시스템 내에 버스를 포함하는 와이어들을 포함하여 구리선, 광섬유 및 동축 케이블을 포함한다. 또한, 반송파 전송 매체는 무선 주파수(RF)와 적외선(IR) 데이터 통신 중에 생성된 파와 같은 음파나 광파, 또는 전기 또는 전자기 신호들의 형식을 취할 수 있다. 그러므로, 컴퓨터-판독가능 매체의 일반적인 형식은, 예를 들어 플로피 디스크, 플렉서블 디스크, 하드 디스크, 자기 테이프, 여하한의 자기 매체, CD-ROM, DVD, 여하한의 광학 매체, 펀치 카드, 페이퍼 테이프, 홀의 패턴을 갖는 여타 물리적 매체와 같이 덜 광범위하게 사용되는 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 여타 메모리 칩 또는 카트리지, 데이터 또는 명령어들을 전달하는 반송파, 상기 반송파를 전달하는 케이블 또는 링크들, 또는 컴퓨터가 프로그래밍 코드 및/또는 데이터를 판독할 수 있는 여타 매체를 포함한다. 컴퓨터 판독가능 매체의 이러한 형태들 중 많은 것이 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서로 전달하는 것과 관련되어 있다.
지금까지 본 발명이 기술되고 상세하게 예시되었지만, 이는 단지 예시 및 실예의 방법으로서, 이것에 국한되는 것은 아니며, 본 발명의 범위는 첨부된 청구범위의 청구항들에 의해서만 제한된다는 것은 자명하다.
본 발명에 따르면, 다수의 마스크들을 활용하여 개별적으로 이미징되는 별개의 부분들로 타겟 패턴의 피처들을 분해하는 모델 기반 프로세스를 제공함으로써 공지된 종래 기술들의 결점들을 극복되며, 또한 모델 기반 컬러링 프로세스가 타겟 패턴의 단일 피처가 별도의 노광으로 이미징될 수 있는 다수의 부분들로 분리/분할될 수 있도록 한다.

Claims (25)

  1. 피처들이 다중 노광 프로세스에서 이미징될 수 있도록 기판 상에 이미징될 상기 피처들을 갖는 타겟 패턴 분해 방법에 있어서,
    (a) 복수의 상기 피처들을 복수의 다각형으로 분할하는 단계;
    (b) 상기 복수의 다각형들 각각에 대해 이미지 로그 슬로프(image log slope:ILS)를 결정하는 단계;
    (c) 최소 ILS 값을 갖는 다각형을 결정하고, 이 다각형을 포함하는 마스크를 정의하는 단계;
    (d) 제 1 간섭 맵을 생성하기 위하여 단계 (c)에서 정의된 상기 마스크를 조명 시스템을 정의하는 투과 교차 계수(transmission cross coefficient)의 고유함수와 콘볼빙하는 단계; 및
    (e) 상기 다각형들에 각각 대응되는 위치에서 상기 제 1 간섭 맵의 값에 기초하여 상기 다각형들에 위상을 할당하는 단계로서, 상기 위상은 상기 다중 노광 프로세스에서 상기 다각형들이 어떠한 노광에 할당되는지를 정의하는 상기 단계를 포함하는 것을 특징으로 하는 타겟 패턴 분해 방법.
  2. 제 1 항에 있어서,
    (f) 또 다른 다각형을 선택하고, 이 다각형을 포함하는 마스크를 정의하는 단계;
    (g) 제 2 간섭 맵을 생성하기 위하여 단계 (f)에서 정의된 상기 마스크를 상기 투과 교차 계수의 상기 고유 함수와 콘볼빙하는 단계;
    (h) 상기 제 1 간섭 맵과 상기 제 2 간섭 맵을 조합함으로써 전체 간섭 맵을 생성시키는 단계; 및
    (i) 상기 다각형들에 각각 대응되는 위치에서 상기 전체 간섭 맵의 값에 기초하여 상기 다각형들에 위상을 할당하는 단계로서, 상기 위상은 상기 다중 노광 프로세스에서 상기 다각형들이 어떤 노광에 할당되는지를 결정하는 상기 단계를 더 포함하고,
    상기 단계 (f) 내지 (i)는 모든 다각형들이 처리될 때까지 반복되는 것을 특징으로 하는 타겟 패턴 분해 방법.
  3. 제 2 항에 있어서,
    단계 (f)는 비용 함수를 활용하는 다각형들을 랭크시키는 것을 포함하고, 최고 비용 함수를 갖는 다각형이 프로세싱을 위한 다음 다각형으로서 선택되는 것을 특징으로 하는 타겟 패턴 분해 방법.
  4. 제 2 항에 있어서,
    상기 다각형들은 제 1 위상 또는 제 2 위상 중 어느 하나가 할당되고, 상기 제 1 위상이 할당된 상기 다각형들은 제 1 노광 프로세스에서 이미징되고, 상기 제2 위상이 할당된 상기 다각형들은 제 2 노광 프로세스에서 이미징되는 것을 특징으 로 하는 타겟 패턴 분해 방법.
  5. 제 4 항에 있어서,
    상기 전체 간섭 맵의 대응 위치에서 포지티브한 값을 갖는 각각의 다각형은 상기 제 1 위상이 할당되고, 상기 전체 간섭 맵의 대응 위치에서 네거티브한 값을 갖는 각각의 다각형은 상기 제 2 위상이 할당되는 것을 특징으로 하는 타겟 패턴 분해 방법.
  6. 제 2 항에 있어서,
    상기 타겟 패턴에 포함되는 단일 피처는 상이한 위상들이 할당되고 상이한 노광 프로세스들에서 이미징되는 다수의 부분들로 분해될 수 있는 것을 특징으로 하는 타겟 패턴 분해 방법.
  7. 피처들이 다중 노광 프로세스에서 이미징될 수 있도록 기판 상에 이미징될 상기 피처들을 갖는 타겟 패턴을 분해하도록 상기 컴퓨터에 지시하기 위한 수단이 기록된 컴퓨터에 의해 판독가능한 기록 매체를 포함하는 컴퓨터를 제어하는 컴퓨터 프로그램물에 있어서,
    상기 프로세스는:
    (a) 복수의 상기 피처들을 복수의 다각형으로 분할하는 단계;
    (b) 상기 복수의 다각형들 각각에 대해 이미지 로그 슬로프(ILS)를 결정하는 단계;
    (c) 최소 ILS 값을 갖는 다각형을 결정하고, 이 다각형을 포함하는 마스크를 정의하는 단계;
    (d) 제 1 간섭 맵을 생성하기 위하여 단계 (c)에서 정의된 상기 마스크를 조명 시스템을 정의하는 투과 교차 계수의 고유함수와 콘볼빙하는 단계; 및
    (e) 상기 다각형들에 각각 대응되는 위치에서 상기 제 1 간섭 맵의 값에 기초하여 상기 다각형들에 위상을 할당하는 단계로서, 상기 위상은 상기 다중 노광 프로세스에서 상기 다각형들이 어떠한 노광에 할당되는지를 정의하는 상기 단계를 포함하는 것을 특징으로 하는 컴퓨터 프로그램물.
  8. 제 7 항에 있어서,
    상기 프로세스는:
    (f) 또 다른 다각형을 선택하고, 이 다각형을 포함하는 마스크를 정의하는 단계;
    (g) 제 2 간섭 맵을 생성하기 위하여 단계 (f)에서 정의된 상기 마스크를 상기 투과 교차 계수의 상기 고유 함수와 콘볼빙하는 단계;
    (h) 상기 제 1 간섭 맵과 상기 제 2 간섭 맵을 조합함으로써 전체 간섭 맵을 생성시키는 단계; 및
    (i) 상기 다각형들에 각각 대응되는 위치에서 상기 전체 간섭 맵의 값에 기초하여 상기 다각형들에 위상을 할당하는 단계로서, 상기 위상은 상기 다중 노광 프로세스에서 상기 다각형들이 어떤 노광에 할당되는지를 결정하는 상기 단계를 더 포함하고,
    상기 단계 (f) 내지 (i)는 모든 다각형들이 처리될 때까지 반복되는 것을 특징으로 하는 컴퓨터 프로그램물.
  9. 제 8 항에 있어서,
    단계 (f)는 비용 함수를 활용하는 다각형들을 랭크시키는 것을 포함하고, 최고 비용 함수를 갖는 다각형이 프로세싱을 위한 다음 다각형으로서 선택되는 것을 특징으로 하는 컴퓨터 프로그램물.
  10. 제 8 항에 있어서,
    상기 다각형들은 제 1 위상 또는 제 2 위상 중 어느 하나가 할당되고, 상기 제 1 위상이 할당된 상기 다각형들은 제 1 노광 프로세스에서 이미징되고, 상기 제2 위상이 할당된 상기 다각형들은 제 2 노광 프로세스에서 이미징되는 것을 특징으로 하는 컴퓨터 프로그램물.
  11. 제 10 항에 있어서,
    상기 전체 간섭 맵의 대응 위치에서 포지티브한 값을 갖는 각각의 다각형은 상기 제 1 위상이 할당되고, 상기 전체 간섭 맵의 대응 위치에서 네거티브한 값을 갖는 각각의 다각형은 상기 제 2 위상이 할당되는 것을 특징으로 하는 컴퓨터 프로 그램물.
  12. 제 8 항에 있어서,
    상기 타겟 패턴에 포함되는 단일 피처는 상이한 위상들이 할당되고 상이한 노광 프로세스들에서 이미징되는 다수의 부분들로 분해될 수 있는 것을 특징으로 하는 컴퓨터 프로그램물.
  13. 디바이스 제조방법에 있어서,
    (a) 전체 또는 부분적으로 방사선 감응재의 층으로 덮이는 기판을 제공하는 단계;
    (b) 조명시스템을 사용하여 방사선 투영빔을 제공하는 단계;
    (c) 마스크 상의 패턴을 사용하여 상기 투영빔의 단면에 패턴을 부여하는 단계;
    (d) 상기 방사선 감응재 층의 타겟부 상으로 상기 패터닝된 방사선 빔을 투영하는 단계를 포함하고,
    단계 (c)에서, 상기 마스크는:
    (e) 복수의 상기 피처들을 복수의 다각형으로 분할하는 단계;
    (f) 상기 복수의 다각형들 각각에 대해 이미지 로그 슬로프(ILS)를 결정하는 단계;
    (g) 최소 ILS 값을 갖는 다각형을 결정하고, 이 다각형을 포함하는 마스크를 정의하는 단계;
    (h) 제 1 간섭 맵을 생성하기 위하여 단계 (g)에서 정의된 상기 마스크를 조명 시스템을 정의하는 투과 교차 계수의 고유함수와 콘볼빙하는 단계; 및
    (i) 상기 다각형들에 각각 대응되는 위치에서 상기 제 1 간섭 맵의 값에 기초하여 상기 다각형들에 위상을 할당하는 단계로서, 상기 위상은 상기 다중 노광 프로세스에서 상기 다각형들이 어떠한 노광에 할당되는지를 정의하는 상기 단계;
    를 포함하는 방법에 의해 형성되는 것을 특징으로 하는 디바이스 제조방법.
  14. 피처들이 다중 노광 프로세스에서 이미징될 수 있도록 기판 상에 이미징될 상기 피처들을 갖는 타겟 패턴 분해 방법에 있어서,
    (a) 복수의 상기 피처들을 복수의 다각형으로 분할하는 단계; 및
    (b) 상기 다각형들에 각각 대응되는 위치에서 간섭 맵의 값에 기초하여 상기 다각형에 위상 또는 컬러를 할당하는 단계로서, 상기 위상 또는 컬러는 상기 다중 노광 프로세스에서 상기 다각형들이 어떠한 노광에 할당되는지를 정의하는 상기 단계를 포함하는 것을 특징으로 하는 타겟 패턴 분해 방법.
  15. 제 14 항에 있어서,
    상기 간섭 맵은 상기 다각형들을 나타내는 마스크를 투과 교차 계수의 고유 함수와 콘볼빙함으로써 생성되고, 상기 투과 교차 계수는 조명시스템을 정의하는 것을 특징으로 하는 타겟 패턴 분해 방법.
  16. 피처가 다중 노광 프로세스로 이미징될 수 있도록 기판 상에 이미징될 상기 피처들을 갖는 타겟 패턴 분해 방법에 있어서,
    (a) 복수의 상기 피처들을 복수의 다각형으로 분할하는 단계; 및
    (b) 상기 다각형들을 나타내는 마스크와 조명시스템을 정의하는 투과 교차 계수의 고유 함수간의 콘볼루션의 결과에 기초하여 상기 다각형들에 위상 또는 컬러를 할당하는 단계를 포함하는 것을 특징으로 하는 타겟 패턴 분해 방법.
  17. 제 1 항의 방법을 활용하여 형성되는 마스크.
  18. 제 14 항의 방법을 활용하여 형성되는 마스크.
  19. 제 16 항의 방법을 활용하여 형성되는 마스크.
  20. 웨이퍼 이미징 방법에 있어서,
    (a) 복수의 다각형으로 이미징되도록 복수의 피처들을 분할하는 단계; 및
    (b) 상기 다각형들에 각각 대응되는 위치에서 간섭 맵의 값에 기초하여 상기 다각형에 위상 또는 컬러를 할당하는 단계로서, 상기 위상 또는 컬러는 상기 다중 노광 프로세스에서 상기 다각형들이 어떠한 노광에 할당되는지를 정의하는 상기 단계를 포함하는 것을 특징으로 하는 웨이퍼 이미징 방법.
  21. 제 20 항에 있어서,
    상기 간섭 맵은 상기 다각형들을 나타내는 마스크와 조명시스템을 정의하는 투과 교차 계수의 고유 함수를 콘볼빙함으로써 생성되는 것을 특징으로 하는 웨이퍼 이미징 방법.
  22. 웨이퍼 이미징 방법에 있어서,
    (a) 복수의 다각형으로 이미징되도록 복수의 피처들을 분할하는 단계; 및
    (b) 상기 다각형들을 나타내는 마스크와 조명시스템을 정의하는 투과 교차 계수의 고유 함수간의 콘볼루션의 결과에 기초하여 상기 다각형들에 위상 또는 컬러를 할당하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 이미징 방법.
  23. 피처들이 다중 노광 프로세스에서 이미징될 수 있도록 기판 상에 이미징될 상기 피처들을 갖는 타겟 패턴을 분해하도록 상기 컴퓨터에 지시하기 위한 수단이 기록된 컴퓨터에 의해 판독가능한 기록 매체를 포함하는 컴퓨터를 제어하는 컴퓨터 프로그램물에 있어서,
    상기 프로세스는:
    (a) 복수의 상기 피처들을 복수의 다각형으로 분할하는 단계; 및
    (b) 상기 다각형들에 각각 대응되는 위치에서 간섭 맵의 값에 기초하여 상기 다각형에 위상 또는 컬러를 할당하는 단계로서, 상기 위상 또는 컬러는 상기 다중 노광 프로세스에서 상기 다각형들이 어떠한 노광에 할당되는지를 정의하는 상기 단계를 포함하는 것을 특징으로 하는 컴퓨터 프로그램물.
  24. 제 23 항에 있어서,
    상기 간섭 맵은 상기 다각형들을 나타내는 마스크를 투과 교차 계수의 고유 함수와 콘볼빙함으로써 생성되고, 상기 투과 교차 계수는 조명시스템을 정의하는 것을 특징으로 하는 컴퓨터 프로그램물.
  25. 피처들이 다중 노광 프로세스에서 이미징될 수 있도록 기판 상에 이미징될 상기 피처들을 갖는 타겟 패턴을 분해하도록 상기 컴퓨터에 지시하기 위한 수단이 기록된 컴퓨터에 의해 판독가능한 기록 매체를 포함하는 컴퓨터를 제어하는 컴퓨터 프로그램물에 있어서,
    상기 프로세스는:
    (a) 복수의 상기 피처들을 복수의 다각형으로 분할하는 단계;
    (b) 상기 다각형들을 나타내는 마스크와 조명시스템을 정의하는 투과 교차 계수의 고유 함수 간의 콘볼루션에 기초하여 상기 다각형들에 위상 또는 컬러를 할당하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 프로그램물.
KR1020060138980A 2005-12-29 2006-12-29 다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반기하학적 분해를 위한 방법, 프로그램물 및 장치 KR100860088B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US75431205P 2005-12-29 2005-12-29
US60/754,312 2005-12-29
US77619906P 2006-02-24 2006-02-24
US60/776,199 2006-02-24
US11/496,742 2006-08-01
US11/496,742 US7493589B2 (en) 2005-12-29 2006-08-01 Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process

Publications (2)

Publication Number Publication Date
KR20070072420A true KR20070072420A (ko) 2007-07-04
KR100860088B1 KR100860088B1 (ko) 2008-09-25

Family

ID=37963501

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060138980A KR100860088B1 (ko) 2005-12-29 2006-12-29 다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반기하학적 분해를 위한 방법, 프로그램물 및 장치

Country Status (6)

Country Link
US (3) US7493589B2 (ko)
EP (1) EP1804123A3 (ko)
JP (1) JP4602962B2 (ko)
KR (1) KR100860088B1 (ko)
SG (1) SG133587A1 (ko)
TW (1) TWI360021B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140014831A (ko) * 2012-07-26 2014-02-06 삼성전자주식회사 반도체 소자의 레이아웃 생성 방법
KR20140105405A (ko) * 2013-02-22 2014-09-01 캐논 가부시끼가이샤 마스크 패턴의 결정 방법, 기록 매체, 및 정보 처리 장치

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2867588B1 (fr) * 2004-03-12 2006-04-28 Commissariat Energie Atomique Procede de caracterisation geometrique de structures et dispositif pour la mise en oeuvre dudit procede
JP4945367B2 (ja) * 2006-08-14 2012-06-06 エーエスエムエル マスクツールズ ビー.ブイ. 回路パターンを複数の回路パターンに分離する装置および方法
JP5032948B2 (ja) * 2006-11-14 2012-09-26 エーエスエムエル マスクツールズ ビー.ブイ. Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
US7934177B2 (en) * 2007-02-06 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for a pattern layout split
JP4779003B2 (ja) * 2007-11-13 2011-09-21 エーエスエムエル ネザーランズ ビー.ブイ. フルチップ設計のパターン分解を行うための方法
US7861196B2 (en) * 2008-01-31 2010-12-28 Cadence Design Systems, Inc. System and method for multi-exposure pattern decomposition
US8340394B2 (en) * 2008-07-28 2012-12-25 Asml Netherlands B.V. Method, program product and apparatus for performing a model based coloring process for geometry decomposition for use in a multiple exposure process
US8224061B2 (en) * 2008-07-28 2012-07-17 Asml Netherlands B.V. Method, program product, and apparatus for performing a model based coloring process for pattern decomposition for use in a multiple exposure process
US8069423B2 (en) 2008-08-11 2011-11-29 Cadence Design Systems, Inc. System and method for model based multi-patterning optimization
US8209656B1 (en) 2008-10-14 2012-06-26 Cadence Design Systems, Inc. Pattern decomposition method
NL2003707A (en) * 2008-11-11 2010-05-12 Asml Netherlands Bv A method, program product, and apparatus for performing a model based coloring process for pattern decomposition for use in a multiple exposure process.
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
US8321818B2 (en) * 2009-06-26 2012-11-27 International Business Machines Corporation Model-based retargeting of layout patterns for sub-wavelength photolithography
JP5665398B2 (ja) * 2009-08-10 2015-02-04 キヤノン株式会社 生成方法、作成方法、露光方法、デバイスの製造方法及びプログラム
US8146026B2 (en) 2009-11-17 2012-03-27 International Business Machines Corporation Simultaneous photolithographic mask and target optimization
US8230372B2 (en) 2009-12-03 2012-07-24 International Business Machines Corporation Retargeting for electrical yield enhancement
US8331646B2 (en) 2009-12-23 2012-12-11 International Business Machines Corporation Optical proximity correction for transistors using harmonic mean of gate length
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
US8372565B2 (en) 2010-08-31 2013-02-12 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
NL2007306A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Source polarization optimization.
US8473874B1 (en) 2011-08-22 2013-06-25 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8516402B1 (en) 2011-08-22 2013-08-20 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US9733640B2 (en) * 2012-01-13 2017-08-15 Kla-Tencor Corporation Method and apparatus for database-assisted requalification reticle inspection
JP6140954B2 (ja) * 2012-09-06 2017-06-07 キヤノン株式会社 マスクデータ作成方法、それを実行するプログラムおよび情報処理装置
CN104570586B (zh) * 2013-10-23 2019-03-29 中芯国际集成电路制造(北京)有限公司 光学邻近修正模型的获取方法
KR102257031B1 (ko) * 2015-03-13 2021-05-27 삼성전자주식회사 반도체 집적 회로 설계 방법
CN106652029B (zh) * 2016-12-29 2020-07-07 徐工集团工程机械有限公司 三维装配模型自动分解方法和装置
EP3588190A1 (en) * 2018-06-25 2020-01-01 ASML Netherlands B.V. Method for performing a manufacturing process and associated apparatuses

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0236738A3 (en) 1986-02-05 1988-12-21 OMRON Corporation Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus
JPH03174716A (ja) 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
JP3426647B2 (ja) 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
US5536603A (en) * 1993-12-21 1996-07-16 Kabushiki Kaisha Toshiba Phase shift mask and method of fabricating the same
JP3409493B2 (ja) 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US5795688A (en) 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
JP2000505958A (ja) 1996-12-24 2000-05-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 2個の物品ホルダを有する二次元バランス位置決め装置及びこの位置決め装置を有するリソグラフ装置
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6081658A (en) 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6081859A (en) * 1998-03-12 2000-06-27 Vlsi Technology, Inc. Address dependent retry system to program the retry latency of an initiator PCI agent
JP3347670B2 (ja) * 1998-07-06 2002-11-20 キヤノン株式会社 マスク及びそれを用いた露光方法
JP2000105452A (ja) 1998-09-29 2000-04-11 Toppan Printing Co Ltd データ変換装置及びデータ変換方法
JP3819711B2 (ja) * 1998-10-23 2006-09-13 株式会社ルネサステクノロジ 半導体装置の製造方法
JP3275863B2 (ja) * 1999-01-08 2002-04-22 日本電気株式会社 フォトマスク
US6492066B1 (en) * 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6856572B2 (en) * 2000-04-28 2005-02-15 Matrix Semiconductor, Inc. Multi-headed decoder structure utilizing memory array line driver with dual purpose driver device
US7083879B2 (en) * 2001-06-08 2006-08-01 Synopsys, Inc. Phase conflict resolution for photolithographic masks
DE10051134B4 (de) * 2000-10-16 2005-05-25 Infineon Technologies Ag Verfahren zur Feststellung und automatischen Behebung von Phasenkonflikten auf alternierenden Phasenmasken
US6553562B2 (en) * 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
KR100576752B1 (ko) 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
US6753115B2 (en) * 2001-12-20 2004-06-22 Numerical Technologies, Inc. Facilitating minimum spacing and/or width control optical proximity correction
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US7093228B2 (en) * 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
US7594199B2 (en) 2003-01-14 2009-09-22 Asml Masktools B.V. Method of optical proximity correction design for contact hole mask
TWI290262B (en) * 2003-01-14 2007-11-21 Asml Masktools Bv Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US20040229135A1 (en) * 2003-02-27 2004-11-18 Jun Wang Multiple exposure method for circuit performance improvement
SG169901A1 (en) * 2003-03-31 2011-04-29 Asml Masktools Bv Source and mask optimization
US7550235B2 (en) * 2003-09-05 2009-06-23 Asml Masktools B.V. Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
US7155689B2 (en) * 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
US6968532B2 (en) * 2003-10-08 2005-11-22 Intel Corporation Multiple exposure technique to pattern tight contact geometries
US7398508B2 (en) * 2003-11-05 2008-07-08 Asml Masktooks B.V. Eigen decomposition based OPC model
US7135692B2 (en) 2003-12-04 2006-11-14 Asml Netherlands B.V. Lithographic apparatus, illumination system and method for providing a projection beam of EUV radiation
US7106415B2 (en) * 2003-12-09 2006-09-12 Anvik Corporation Illumination compensator for curved surface lithography
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7046339B2 (en) * 2004-03-05 2006-05-16 Micron Technology, Inc. Optimized optical lithography illumination source for use during the manufacture of a semiconductor device
JP2005276852A (ja) * 2004-03-22 2005-10-06 Nec Electronics Corp 微細ホールパターンの形成方法
US7653890B2 (en) * 2004-04-02 2010-01-26 Cadence Design Systems, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US7065738B1 (en) * 2004-05-04 2006-06-20 Advanced Micro Devices, Inc. Method of verifying an optical proximity correction (OPC) model
US7549119B2 (en) * 2004-11-18 2009-06-16 Neopets, Inc. Method and system for filtering website content
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
JP4806020B2 (ja) * 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140014831A (ko) * 2012-07-26 2014-02-06 삼성전자주식회사 반도체 소자의 레이아웃 생성 방법
KR20140105405A (ko) * 2013-02-22 2014-09-01 캐논 가부시끼가이샤 마스크 패턴의 결정 방법, 기록 매체, 및 정보 처리 장치

Also Published As

Publication number Publication date
SG133587A1 (en) 2007-07-30
EP1804123A2 (en) 2007-07-04
KR100860088B1 (ko) 2008-09-25
EP1804123A3 (en) 2009-04-22
JP4602962B2 (ja) 2010-12-22
US8060842B2 (en) 2011-11-15
US8640058B2 (en) 2014-01-28
TWI360021B (en) 2012-03-11
US20090148783A1 (en) 2009-06-11
US7493589B2 (en) 2009-02-17
TW200731026A (en) 2007-08-16
US20120077114A1 (en) 2012-03-29
JP2007183630A (ja) 2007-07-19
US20070157154A1 (en) 2007-07-05

Similar Documents

Publication Publication Date Title
KR100860088B1 (ko) 다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반기하학적 분해를 위한 방법, 프로그램물 및 장치
KR100882260B1 (ko) 패턴 분해된 피처들을 위한 모델-기반 opc를 수행하는장치 및 방법
KR100899359B1 (ko) 이중 노광 리소그래피를 수행하는 장치, 프로그램물 및방법
US8132130B2 (en) Method, program product and apparatus for performing mask feature pitch decomposition for use in a multiple exposure process
KR100961686B1 (ko) 모델-기반 스캐너 튜닝을 수행하는 방법
KR100892748B1 (ko) 피처 피치에 기초하여 패턴 분해를 수행하는 방법
JP5032948B2 (ja) Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
US8340394B2 (en) Method, program product and apparatus for performing a model based coloring process for geometry decomposition for use in a multiple exposure process
KR20050041958A (ko) 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
US7617476B2 (en) Method for performing pattern pitch-split decomposition utilizing anchoring features
US8040573B2 (en) Method, program product and apparatus for translating geometrical design rules into boundary conditions in the imaging space so as to define test patterns for use in optical model calibration

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120907

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130906

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150911

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160909

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170908

Year of fee payment: 10