JP4729527B2 - ダークフィールド二重双極子リソグラフィ(ddl)を実行する方法および装置 - Google Patents

ダークフィールド二重双極子リソグラフィ(ddl)を実行する方法および装置 Download PDF

Info

Publication number
JP4729527B2
JP4729527B2 JP2007100108A JP2007100108A JP4729527B2 JP 4729527 B2 JP4729527 B2 JP 4729527B2 JP 2007100108 A JP2007100108 A JP 2007100108A JP 2007100108 A JP2007100108 A JP 2007100108A JP 4729527 B2 JP4729527 B2 JP 4729527B2
Authority
JP
Japan
Prior art keywords
mask
dark field
horizontal
vertical
creating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007100108A
Other languages
English (en)
Other versions
JP2007328323A (ja
Inventor
スティーブン スウ,ダン−フー
パク,サンボン
デン ブローケ,ダグラス ヴァン
フン チェン,ジャン
Original Assignee
エーエスエムエル マスクツールズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル マスクツールズ ビー.ブイ. filed Critical エーエスエムエル マスクツールズ ビー.ブイ.
Publication of JP2007328323A publication Critical patent/JP2007328323A/ja
Application granted granted Critical
Publication of JP4729527B2 publication Critical patent/JP4729527B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature

Description

[002] 本発明は、二重双極子リソグラフィで使用するマスクを形成する新規のプロセス、特にダークフィールド二重双極子リソグラフィプロセスで使用するマスクを形成する方法を提供する。また、本発明は、放射の投影ビームを提供する放射システムと、投影ビームをパターン化する働きをするレチクルを保持するマスクテーブルと、基板を保持する基板テーブルと、パターン化した投影ビームを基板のターゲット部分に投影する投影システムとを備えるリソグラフィ装置を使用するデバイス製造方法に関する。
[001] 本特許出願、およびそこから発行されるすべての特許は、2006年4月6日付けの”Method For Performing Dark Field Double Dipole Lithography (DDL)”と題した米国仮特許出願第60/789,560号に対する優先権を主張し、その内容は参照により全体を本明細書に組み込むものとする。
[003] リソグラフィ投影装置(ツール)は、例えば、集積回路(IC)の製造に使用することができる。このような場合、マスクは、ICの個々の層に対応する回路パターンを含み、このパターンを、放射感応性材料(レジスト)の層で被覆されている基板(シリコンウェーハ)上のターゲット部分(例えば、1つまたは複数のダイを備える)にイメージングすることができる。一般に、1枚のウェーハは、投影システムを介して1回に1つずつ連続的に照射される隣接ターゲット部分のネットワーク全体を含む。1タイプのリソグラフィ投影装置では、マスクパターン全体をターゲット部分に1回で露光することによって各ターゲット部分が照射され、このような装置は、一般的にウェーハステッパと呼ばれる。一般的に、ステップアンドスキャン装置と呼ばれる代替装置では、所与の基準方向(「スキャン」方向)にて投影ビームでマスクパターンを漸進的にスキャンしながら、この方向と平行または逆平行で基板テーブルを同期スキャンすることによって、各ターゲット部分を照射する。何故なら、一般に、投影システムは、倍率M(通常<1)を有し、基板テーブルをスキャンする速度Vは、マスクテーブルをスキャンする速度のM倍だからである。本明細書に記載するリソグラフィ装置に関するさらなる情報は、例えば、参照により本明細書に組み込むものとする米国特許第6,046,792号から集めることができる。
[004] リソグラフィ投影装置を使用する製造プロセスでは、放射感応性材料(レジスト)の層で少なくとも部分的に覆われた基板に、マスクパターンをイメージングする。このイメージングステップの前に、基板は、プライミング、レジスト塗布およびソフトベークなどの様々な手順を経てもよい。露光後、基板は、露光後ベーク(PEB)、現像、ハードベーク、およびイメージングフィーチャの測定/検査のような他の手順を経てもよい。この一連の手順は、例えば、ICのようなデバイスの個々の層にパターンを形成するための基準として使用される。このようなパターン形成された層は、次に、すべて個々の層を仕上げる目的であるエッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械的研磨等のような様々なプロセスを経る。幾つかの層が必要である場合には、全体の手順、またはその変形を新しい層毎に繰り返す必要がある。最終的に、デバイスのアレイが基板(ウェーハ)上に存在する。次に、これらのデバイスはダイシングやソーイングのような技術で相互から分離される。その後、個々のデバイスは、キャリアに装着したり、ピンに接続したりすることができる。このようなプロセスに関するさらなる情報は、例えば、参照によって本明細書に組み込むものとするPeter van Zant, ”Microchip Fabrication: A Practical Guide to Semiconductor Processing”, Third Edition, McGraw Hill Publishing Co., 1997, ISBN0-07-067250-4から得ることができる。
[005] リソグラフィツールは、2つ以上の基板テーブル(および/または2つ以上のマスクテーブル)を有するタイプであってもよい。このような「マルチステージ」デバイスにおいては、追加のテーブルを並行して使用するか、1つまたは複数の他のテーブルを露光に使用している間に1つまたは複数のテーブルで予備ステップを実行することができる。ツインステージのリソグラフィツールが、例えば、参照により本明細書に組み込むものとする米国特許第5,969,441号および国際特許出願第WO98/40791号に記載されている。
[006] 上記フォトリソグラフィマスクは、シリコンウェーハに組み込まれる回路コンポーネントに対応する幾何学的パターンを備える。このようなマスクの作成に使用されるパターンは、CAD(computer-aided designコンピュータ支援設計)プログラムを使用して生成され、多くの場合、このプロセスはEDA(electronic design automation電子設計自動化)と呼ばれる。大部分のCADプログラムは、機能的マスクを作成するために、1組の所定の設計ルールに従う。これらの規則は、処理および設計の限界によって設定される。例えば、設計ルールは、回路デバイスまたはラインが望ましくない方法で相互作用しないことを確保するように、回路デバイス(ゲート、キャパシタなど)または相互接続線の間の空間公差を画定する。
[007] もちろん、集積回路作製の目的の1つは、元の回路設計を(マスクを介して)ウェーハ上に忠実に再現することである。別の目的は、可能な限り多くの半導体ウェーハの面積を使用することである。しかし、集積回路のサイズが減少し、その密度が増大するにつれ、それに対応するマスクパターンのCD(最小寸法)が、光学露光ツールの解像度限界に近づく。露光ツールの解像度は、露光ツールが繰り返しウェーハ上に露光できる最小フィーチャと定義される。現在の露光機器の解像度値は、多くの先進のIC回路設計で、往々にしてCDを制限する。
[008] さらに、高性能の半導体デバイスに対する要求が引き続きあるので、設計ルールの収縮速度が、露光波長の短縮と高い開口数(NA)レンズの発達との両方の進歩を上回っている。この要素は、リソグラフィ作業者に問題を呈示し、光学リソグラフィを数年前に可能と考えられていた限界より先に押しやった。周知のように、超解像技術(RET)は、kが低い光学リソグラフィには不可欠になっている。レンズの瞳に対称の0次および1次でイメージングする2つのビームを使用する強力な軸外照明(OAI)は、解像度およびコントラストを大幅に向上させることができる。双極子照明はOAIの最も極端なケースであり、非常に低いkのイメージングのためにプロセスラチチュードが改善された状態で、イメージングのコントラストを向上させることができる。
[009] 双極子照明を使用する現在の技術は、通常、複数の露光プロセスを使用することを含み、第1の露光は、第1の方向に配向されたフィーチャ(すなわち、水平に配向されたフィーチャ)をイメージングするために使用され、第2の露光は、第2の方向に配向されたフィーチャ(すなわち、垂直に配向されたフィーチャ)をイメージングするために使用される。これは、ターゲットパターンを、例えば、それぞれ水平方向および垂直方向を有する2つのマスクなどに変換することによって達成される。ターゲットパターンがこの方法で変換されると、y双極子露光を使用して、水平に配向されたフィーチャをイメージングし、x双極子露光を使用して、垂直に配向されたフィーチャをイメージングする。
[010] 既知の双極子イメージング技法がクリアフィールドマスクを使用するので、二重双極子照明の1つの重要な態様は、水平に配向されたフィーチャをイメージングする場合に、垂直に配向されたフィーチャが劣化しないように、垂直に配向されたフィーチャを保護(すなわち、遮蔽)しなければならないことである。垂直配向フィーチャをイメージングする場合は、逆が真となる(すなわち、水平配向フィーチャを保護しなければならない)。この遮蔽要件は、マスク作成の複雑化につながり、さらにイメージングプロセスの全体的性能を制限することがある。
[011] したがって、二重双極子リソグラフィプロセスでクリアフィールドマスクを使用する場合に必要となる遮蔽要件の結果として生じる複雑性を解消するように、ダークフィールドマスクを使用する二重双極子リソグラフィを実行することができる方法に対する要求が存在する。
[012] 本発明の1つの目的は、以上の要求を解決するために、ダークフィールド二重双極子リソグラフィを実行する方法を提供することである。上述したように、イメージングプロセスにダークフィールドを使用することにより、クリアフィールドイメージングプロセスを使用する場合に、以前にイメージングしたフィーチャの遮蔽に関連する問題を解消することが可能である。
[013] 特に、本発明は、ダークフィールド二重双極子イメージングプロセスで使用するために相補マスクを作成する方法に関する。この方法は、水平および垂直のフィーチャを含む複数のフィーチャを有するターゲットパターンを識別するステップと、ターゲットパターンに基づいて水平マスクを作成するステップとを含み、水平マスクは、低コントラスト垂直フィーチャを含む。水平マスクの作成は、水平マスクに含まれる低コントラスト垂直フィーチャのバイアスを最適化するステップと、スキャッタバーを水平マスクに適用するステップとを含む。この方法は、ターゲットパターンに基づいて垂直マスクを作成することをさらに含み、垂直マスクは低コントラスト水平フィーチャを含む。垂直マスクの作成は、垂直マスクに含まれる低コントラスト水平フィーチャのバイアスを最適化するステップと、スキャッタバーを垂直マスクに適用するステップとを含む。
[014] 本発明は、また、プロセッサが実行するためにプログラム命令を記憶するように構成されたコンピュータ読み取り可能媒体に関する。プログラム命令によって、プロセッサは、ダークフィールド二重双極子イメージングプロセスで使用する相補マスクに対応するファイルを作成することができる。ファイルの作成は、水平および垂直フィーチャを含む複数のフィーチャを有するターゲットパターンを識別するステップと、ターゲットパターンに基づいて水平マスクを作成するステップとを含み、水平マスクは低コントラスト垂直フィーチャを含む。水平マスクの作成は、水平マスクに含まれる低コントラスト垂直フィーチャのバイアスを最適化するステップと、スキャッタバーを水平マスクに適用するステップとを含む。ファイルの作成はさらに、ターゲットパターンに基づいて垂直マスクを作成することを含み、垂直マスクは低コントラスト水平フィーチャを含む。垂直マスクの作成は、垂直マスクに含まれる低コントラスト水平フィーチャのバイアスを最適化するステップと、スキャッタバーを垂直マスクに適用するステップとを含む。
[015] 本明細書中ではICの製造における本発明の使用に特に言及しているが、本発明のリソグラフィ装置には他に多くの可能な用途もあることを明確に理解されたい。例えば、これは、集積光学システム、磁気ドメインメモリ用誘導および検出パターン、液晶ディスプレイパネル、薄膜磁気ヘッドなどの製造に使用することができる。当業者であれば、こうした代替用途に照らして、本明細書で「レチクル」、「ウェーハ」または「ダイ」という用語を使用している場合、それぞれ、「マスク」、「基板」および「ターゲット部分」というより一般的な用語により置き換えることができることを理解することができるだろう。
[016] 本明細書では、「放射」および「ビーム」という用語は、紫外線(例えば、365nm、248nm、193nm、157nmまたは126nmの波長を有する)およびEUV(極端紫外線、例えば5nm〜20nmの範囲の波長を有する)を含むあらゆるタイプの電磁放射を包含するように使用される。
本明細書で使用するマスクという用語は、入射する放射ビームに、基板のターゲット部分に作成すべきパターンに対応するパターン形成断面を与えるために使用し得る一般的なパターニング手段を指すものとして広義に解釈することができる。また、「ライトバルブ」という用語もこうした状況において使用することができる。古典的なマスク(透過性または反射性:バイナリ、位相シフト、ハイブリッドなど)以外に、他のこのようなパターニング手段の例は、以下を含む。
a)プログラマブルミラーアレイ。このようなデバイスの一例として、粘弾性制御層および反射面を有するマトリクスアドレス可能面がある。このような装置の基本的原理は、(例えば)反射面のアドレスされた領域は入射光を回折光として反射するが、アドレスされていない領域は入射光を非回折光として反射するということである。適切なフィルタを使用することにより、回折光のみを残して前記非回折光を反射ビームからフィルタで除去することができる。この方法で、ビームはマトリクスアドレス可能面のアドレスパターンに従ってパターン形成される。必要なマトリクスアドレスは、適切な電子的手段を使用して実行することができる。このようなミラーアレイに関するさらなる情報は、例えば、参照により本明細書に組み込むものとする米国特許第5,296,891号および第5,523,193号から集めることができる。
b)プログラマブルLCDアレイ。このような構成の例は、参照により本明細書に組み込むものとする米国特許第5,229,872号にて与えられている。
[017] 本発明の方法は、従来技術に対して重大な利点を提供する。最も重要なことは、二重双極子イメージングプロセスにダークフィールドマスクを使用することにより、クリアフィールドイメージングプロセスを使用した場合に、以前にイメージングしたフィーチャの遮蔽に関連する問題を解消することが可能なことである。
[018] 本発明の他の利点は、本発明の例示としての実施形態に関する以下の詳細な説明から当業者には明白になるだろう。
[019] 本発明自体は、他の目的および利点とともに、以下の詳細な説明および添付の図面を参照することにより、さらによく理解することができる。
[036] 以下でさらに詳細に説明するように、本発明の好ましい実施形態は、ダークフィールド二重双極子リソグラフィdark field double dipole lithography「DDL」を実行する方法および装置を提供する。特に、本発明は、ターゲットパターンを、第1の双極子照明(すなわち、x双極子)を使用してイメージングされる第1のマスクと、第2の双極子照明(すなわち、y双極子)を使用してイメージングされる第2のマスクとに分解する方法を提供し、マスクはダークフィールドイメージングを使用する。本発明について検討する前に、クリアフィールドおよびダークフィールドイメージングについて簡単に検討する。
[037] 液浸および偏光とともに使用可能なクリアフィールドDDLは、ポリゲート層のイメージングのような様々なイメージングタスクに対する良好な超解像技術Resolution Enhancement Technology「RET」の解決法である。クリアフィールドDDL技法に関連する利点には、例えば、散乱バー(SB)またはアシストフィーチャ(AF)のスケーラビリティおよび印刷可能性の問題を解決することと、印刷デバイスが高コントラストの双極子照明を使用できるようにすることと、モデルに基づくレイアウト変換方法に基づいてパターン形成デバイス構造に直線偏光を適用できるようにすることとがある。
[038] 図1aを参照すると、連続的デバイス作成の基本的措置の1つとして、往々にしてIC設計の金属1のピッチが使用される。何故なら、所与の設計の金属1ピッチは、通常、集積の密度を画定し、したがってピンチ縮小の観点から最も要求が厳しい寸法である。現在、バックエンド金属相互接続部の処理は、通常、kが低い誘電体と銅のダマスク象眼との集積機構を使用し、これは非常に狭いピッチで小さい寸法のトレンチを印刷する必要がある。双極子照明に関連する本来の高いコントラストのせいで、このように密トレンチのイメージングにとって、これは良好な照明の選択肢になる。図1bは、45nmのハーフピッチのシミュレートした正規化イメージログスロープnormalized image log slope(NILS=CD*ILS)「NILS」を示し、ここでマスクのCDは、様々なkに対して45nmから100nmまで変動し、ここで、k=0.5ピッチ*NA/λであり、NAは開口数に対応し、λは照明デバイスの露光波長に対応する。図1bの全体的な傾向は、kが低いほど、結果のNILSが悪化することを示す。45nmのノードでは、ウェーハ上のフィーチャのCDが露光波長の1/4に近づき、これによってダークフィールドイメージングが問題となる。したがって、双極子照明は、NILSを改善するための優れた候補となる(NILSが高いほどコントラストが強くなり、したがってイメージングが改善される)。図1bでは、45nmのノードでは、σ−inとσ−outの間のσΔが非常に小さい最もアグレッシブな「ハード」(hard)双極子設定でも、NILSはなお厳密なイメージングを達成するには低すぎることに留意されたい。双極子イメージングを直線偏光と組み合わせた場合のみ、0.3に近いkでイメージングに十分なコントラストがある。
[039] 図2aから図2fを参照すると、クリアフィールドおよびダークフィールドイメージングに及ぼす照明の影響を比較するために、比較用に3つの照明モードを選択した。すなわち、(1)環状、(2)c象限(交差象限)および(3)双極子xであり、すべては0.93NA液浸である。比較するために使用したマスクは、175nmのピッチで70nmの最小寸法(CD)のバイナリ強度マスクbinary intensity mask「BIM」であった。図2aおよび図2bは、それぞれクリアフィールド(70nmのクロムライン、105nmのスペース)およびダークフィールド(70nmのクリア、105nmのクロム)のレンズの瞳における回折パターンを示す。その結果の1次回折パターンは同じであるが、0次回折の振幅は3つの照明全部で異なる。図2cは、各照明についてクリアフィールドマスクで得られた空間像の強度であり、図2dは、各照明についてダークフィールドマスクで得られた空間像の強度である。図2eは、クリアフィールドマスクの各照明で70nmラインに印刷するための対応するNILS(正規化像ログ勾配)、最小強度(Imin)および閾値を示し、一方、図2fは、ダークフィールドマスクについて、同じことを示す。
[040] 図示のように、x双極子照明は、クリアフィールドとダークフィールドの両方のケースで、最善のNILSおよび最善のIminを提供する。C象限は最悪のコントラストおよび最高のImin(クリアフィールドの場合であり、ダークフィールドの場合には最低のImin)を有し、これは1次回折光の25%しかイメージングに寄与せず、一方、x双極子では、1次回折光の50%がイメージングのために捕捉されるからである。クリアフィールドの場合、3つの照明すべてのイメージング閾値は、0.35の強度レベルに非常に近く、したがってラインCDのターゲットまで印刷するのに必要な線量は、同等でなければならない。クリアフィールドとダークフィールドの両方の場合で、双極子が最善の像品質を与え、一方、c象限が3つのうち最悪である。
[041] 双極子照明でクリアフィールドイメージングとダークフィールドイメージングとの基本的な違いを理解するために、最初に個々の回折パターンの違いを理解する必要がある。光源上の1つのポイント、および幅(w)、ピッチ(p)および強度伝達(T)の1次元回折格子(図3参照)を考えてみる。このマスクは、下式のように表すことができる。
[042] 式1から、トレンチのCDが固定されたダークフィールドマスクでは、ピッチが大きいほど、0次回折次数が小さいことが明白である。バビネの原理によると、2つの相補マスクの回折パターンは、0次を除いて同じ回折パターンを有する。バビネの原理は下式のように書くことができる。
[043] 式3から、クリアフィールドマスクとダークフィールドマスクとの唯一の違いは、0次回折次数の振幅である。1次回折次数は、反対の記号で同じ振幅を有する。
[044] 式4から、クリアフィールドマスクの場合は、ピッチが大きいほど0次回折次数が低いことが明白である。上記の検討は、光源からの1つのポイントしか考慮していないが、それぞれ1:1、1:1.5、1:2の比率で70nmライン(すなわち、クリアフィールド)および70nmスペース(ダークフィールド)の回折パターンを示す図4aおよび図4bの例を理解するのに役に立つ。1:1の比率(すなわち、フィーチャの幅:ピッチ)では、クリアフィールドとダークフィールドの両方のケースは同じである。1:1.5から1:2以上の比率では、0次回折次数の振幅に有意の違いがある。例えば、1:2の比率では、クリアフィールドマスクの0次回折次数振幅は0.67であるが、ダークフィールドマスクでは0次回折次数振幅が0.33になる。1次および2次回折次数では、回折次数振幅の大きさが、クリアフィールドマスクとダークフィールドマスクで同じであり、記号が反対である。
[045] 図4cおよび図4dは、それぞれクリアフィールドマスクおよびダークフィールドマスクの対応する空間像を示す。所与の例では、照明設定は、0.93NAおよび双極子xを有し、極角度が35°、σ_out=0.86およびσ_in=0.45のASMLスキャナを使用するものと仮定する。図4eは、70nmライン(クリアフィールド)・アンド・スペース(ダークフィールド)を印刷するための個々のNILS、最小または最大強度(Imin/Imax)、および強度閾値である。ここで見られるように、Imaxがダークフィールドマスクの方が大幅に変化するばかりでなく、NILSもクリアフィールドの相補マスクより20〜30%低い。したがって、ダークフィールドマスクの方が高いマスクエラーファクタ(MEF)を有し、これはOPCの印刷および適用にさらに困難を引き起こす。
[046] ダークフィールドイメージングの場合は、0次回折次数が強度変調に寄与していなくても、主に0次回折次数に寄与されるパターン形成トレンチのために、レジストをクリアするのに十分なエネルギーを有することが重要である。部分コヒーレントイメージングの場合、NA内の各回折次数の重なりを考慮する必要があり、回折次数毎にレンズの瞳で捕捉されるエネルギーを比較する必要がある。図5は、175nmのピッチで70nmのCDを有するフィーチャ(すなわち、ラインまたはトレンチ)のラインアンドスペースについて、レンズの瞳によって捕捉された正規化エネルギーを示す例である。瞳孔に捕捉された正規化エネルギーを比較すると、ラインおよびトレンチの±1次から来るエネルギーは等しいが、0次回折次数エネルギーに2倍の差があることが明白である。これは、トレンチ層が通常、より多くの光/エネルギーが通過できるようにプラスのマスクバイアスを有することの理由の1つである。
[047] クリアフィールドDDLを使用する場合は、通常、厳密なスルーピッチプロセスウィンドウを達成するために「フルサイズ」散乱バー(FSB)を使用する必要がある。さらに、上述したように、ピッチが大きいほど、0次回折次数が高くなり、したがって個々の低コントラストの方向で重要なフィーチャに遮蔽部を追加する必要がある。さらに、クリアフィールドDDLでは、総露光の合計が、印刷残留物を残さずにFSBをトリムすることができる。これはダークフィールドDDLでは実行することができない。しかし、製造に適したプロセスで焦点深さを改善するために、光学近接補正optical proximity correction「OPC」プロセス中に、「ダークフィールドSB」スロットまたはサブ解像度アシストスロットを追加することができる。
[048] 45nm以上のノードにダークフィールドSBスロットを適用することが問題となることがある。特に、4倍レチクル上のダークフィールドSBスロットの幅が露光波長より小さくなると、キルヒホッフのスカラー回折理論がくずれ始め、SBスロット強度レベルを過剰に予測してしまう。厳密EMFの片側ダークフィールドSBスロットがある孤立トレンチの結果の空間像(すなわち、モデルは、空間像を決定する場合に完全電磁界を考えている)と、スカラーモデル(すなわち、完全電磁界の効果を含まない、より理想的なモデル)とを比較する図6を参照すると、EMF空間像は、ダークフィールドSBスロットが実際に、スカラー理論の予測より「小さい」ことを示す。これは、SBスロットが予測されたスカラー理論より光学的重みが少ないことを示唆する。したがって、サブ解像度ダークフィールドSBスロットが大きいほど、印刷する可能性が低下する。主要フィーチャの間隔が小さくなると、ダークフィールドSBスロットの印刷の危険が増大する。これは、いつSBを効果的に適用し始めるかを制限する。都合のよいことに、厳密EMFモデルとスカラーモデルとは両方とも、ダークフィールドSBスロットを適用するために同じ位置を予測する。したがって、それほど厳密でなく、時間も消費しないスカラーモデルを使用して、ダークフィールドSBスロットの配置を最適化することが可能である。より正確なモデルOPCの結果を獲得するために、境界層方法のような準厳密アプローチが必要である。
[049] 図7bから図7eは、本発明による二重双極子ダークフィールドイメージングプロセスのシミュレートした空間像を示す。図7aは、本発明のダークフィールド二重双極子イメージングプロセスと性能を比較するように、環状照明を使用してシミュレートした空間像を提供する。所与の例では、55nmおよび210nmピッチの幅を有するトレンチがイメージングされ、強度閾値レベルは、最も密なピッチを解像するために34%に設定される。このように小さいトレンチを印刷するための従来通りの従来技術のアプローチは、印刷性を向上させるためにトレンチにプラスのバイアスを与えることである。示されるように、図7aは、1.2NA、σ_out=0.98、σ_in=0.53の環状照明のシミュレーション結果を提供する。所望のCDまでトレンチを印刷するために、マスクは77nmまでバイアス付与する必要があるが、NIL値Sはわずか0.82であり、これは低すぎて製造可能ではない。
[050] 二重露光プロセスでは、2つの露光からの相対強度レベルをそのまま合計することができない。しかし、2つの露光から生成される線量および光酸は蓄積する。化学的に増幅したレジストの場合、光酸濃度は式6によって与えられる。
式6から、強度は光酸生成および二重露光イメージングの分析の良好な近似であることが分かる。以下の検討では、照明設定が1.2NAのx双極子およびy双極子を含み、ここで、それぞれyおよびx直線偏光でσ_out=0.98、σ_in=0.53であることに留意されたい。本発明のDDLイメージングプロセスによると、図7aで印刷された同じトレンチを印刷するために、x双極子(すなわち、図7bでパス1とラベルされている)を使用して、垂直マスクのトレンチをイメージングし、その後に水平マスクを露光するy双極子(すなわち、図7bでマスク2バイアスとラベルされている)を使用して、水平方向のトレンチを解像する。図7eは、組み合わせた(すなわち、二重双極子)イメージングプロセスの結果の空間像を示す。図示のように、結果のNILSは、34%の閾値で1.83であり、これは図7aに示した単一の環状照明プロセスと比較して、NILSの約120%を表す。
[051] 重要なことは、図7bに示した二重露光シミュレーションの結果が、NILS(すなわち、イメージング性能)が垂直トレンチサイズの関数であるばかりでなく、マスク2のバイアスの関数でもあることを示すことである。実際、y双極子露光のマスク2のバイアスの変動は、その結果の全体的NILSに有意の変化を引き起こす。したがって、両方のマスクからのバイアスを組み合わせて、全体のNILSを最適化することができる。これは、第2の露光では、組み合わせた強度のNILSの最適化を補助するために、より多くの光が通過できるように(図7d参照)垂直トレンチ縁部を開いたままにすることが可能であるという事実の結果である。図7c、図7dおよび図7eは、最適化プロセスの例を示す。上記の例では、垂直マスクを偏倚して65nmにし(図7c参照)、水平マスクの垂直縁をマイナスに偏倚して35nmにする。示されたように、組み合わせた強度のNILSは1.83であり、これは単一の露光基線と比較するとNILSが約120%改善されている。したがって、本発明によると、イメージング性能を最適化しようとして、各マスクに関連するバイアスを調節することができる。所与の例では、偏倚最適化プロセスのイメージング性能を規定する基準として、NILSが使用されるが、例えば、DOF、MEF、ILS、NILS、コントラストなど、任意の他の適切な基準を使用してもよいことに留意されたい。さらに、両方のマスクのバイアスを同時に、または順番に最適化するような最適化プロセスも可能である。
[052] 図8は、本発明によるダークフィールド二重双極子リソグラフィで使用するためにターゲットパターンを垂直マスクと水平マスクに分解するプロセスの例示としての流れ図を示す。図9aから図9jは、図8のプロセスを使用してマスクを作成する方法の例を示す。図8を参照すると、プロセスの第1のステップ(ステップ90)は、ウェーハまたは基板にイメージングする所望のターゲットパターンを獲得する。ターゲットパターンは、例えば、GDSII設計データフォーマット、または任意の他の適切なデータフォーマットで表すことができる。図9aは、例に使用される例示としてのターゲットパターンを示す。ターゲットパターンが画定されたら、これが垂直マスクと水平マスクに分解され、図8に図示されたステップ91、93、95および97は、水平マスクまたはHマスクの作成に対応し、ステップ92、94、96および98は、垂直マスクまたはVマスクの作成に対応する。
[053] 特に、ステップ91および92で、所与のマスクの低コントラストフィーチャのバイアスが、例えば、DOF、MEF、NILS、ILS、コントラストなどであるが、それらに制限されない画定された基準を最大にするように動作する適切なシミュレーションモデルなどを使用して最適化される。したがって、ステップ91では図9bで示すように、垂直マスク(すなわち、Hマスクの低コントラストフィーチャ)のバイアスが、Hマスク内で最適化される。同様に、ステップ92では図9cで示すように、水平フィーチャ(すなわち、Vマスクの低コントラストフィーチャ)のバイアスが、Vマスク内で最適化される。次に、ステップ93および94で、初期外部散乱バーおよび内部散乱バー(ダークフィールドマスクの場合はスロット)を個々のマスクに適用し、次に最終的偏倚を獲得するように、個々のマスクの低コントラストフィーチャの偏倚を再度実行する。したがって、ステップ93では、外部および内部散乱バーを、必要に応じてHマスクに適用する。散乱バーは、散乱バーを適用するために任意の適切な規則またはモデルに基づく方法を使用して適用することができる。次に、散乱バーをHマスク設計に適用した状態で、垂直フィーチャ(すなわち、Hマスクの低コントラストフィーチャ)のバイアスを再度最適化する。図9dは、所与の例に従ってステップ93を実行した後のHマスクを示す。同様に、ステップ94では外部および内部散乱バーを、必要に応じてVマスクに適用し、次に散乱バーをVマスク設計に適用した状態で、水平フィーチャ(すなわち、Hマスクの低コントラストフィーチャ)のバイアスを再度最適化する。図9eは、所与の例に従ってステップ94を実行した後のVマスクを示す。次に、ステップ95で、HマスクをモデルOPC処理(任意の適切なモデルOPC処理を使用してもよい)およびマスク製造性検証にかける。所与の例の結果のHマスクが図9fに図示されている。ステップ95の終了後、Hマスクが仕上げられ(ステップ97)、ダークフィールド二重双極子イメージングプロセスに使用する準備が整う。Hマスクは、図9hで示したy双極子照明を使用して照明される。同様にステップ96で、VマスクをモデルOPC処理(任意の適切なモデルOPC処理を使用してよい)およびマスク製造性検証にかける。所与の例の結果のVマスクが図9gに示されている。ステップ96の終了後、Vマスクが仕上げられ(ステップ98)、ダークフィールド二重双極子イメージングプロセスに使用する準備が整う。Vマスクは、図9iで示したx双極子照明を使用して照明される。図9jは、ダークフィールドHマスクおよびVマスクプロセスを使用する二重双極子プロセスの結果の像を示す。
[054] 図10は、本発明のレイアウト変換方法の実際の例および結果の像を示す。特に、140nmピッチで70nmトレンチの櫛形ターゲットテストパターンを、以上のプロセスに従ってHマスクとVマスクに分解し、HマスクおよびVマスクをそれぞれy双極子およびx双極子照明で照明した。図示のように、結果の像はターゲットパターンを正確に再現する。
[055] 本発明の方法は、従来技術に対して重要な利点を提供する。最も重要なことは、二重双極子イメージングプロセスでダークフィールドマスクを使用することにより、クリアフィールドイメージングプロセスを使用した場合の以前にイメージングしたフィーチャの遮蔽に伴い問題を解消することが可能なことである。
[056] 図11は、本発明の分解プロセスを実行する例示としての処理システムを示す。図11で示すように、例示としての処理システムは、入力部1003から入力を受信するプロセッサ1000を含むことができる。プロセッサ1000は、従来のマイクロプロセッサであるか、あるいはEEPROMまたはEPROMまたは組み立てた集積回路のような特別設計の処理ユニットであってもよい。入力部1003は、キーボードまたはマウスのような任意のタイプの電子的入力装置、またはメモリまたはインターネット接続部であってもよい。プロセッサ1000は、本発明によりカスタム化したDOEを分解するために上記で詳述した方法を実施するプロトコルなど、ROM1002およびRAM1001から記憶されたプロトコルを受信し、情報をRAM1001に記憶することが好ましい。プロセッサ1000の計算結果(例えば、DOEの設計)は、ディスプレイ1004に表示するか、マスク作製装置または製造業者に提供することができる。
[057] このようなコンピュータシステムのソフトウェア機能は、散乱バーを所与のターゲットパターン内に配置するために上記のOPC方法を実施するために使用できる実行可能なコードなどのプログラミングを含む。ソフトウェアコードは汎用コンピュータで実行可能である。使用時には、コード、および場合によっては関連するデータレコードを、汎用コンピュータのプラットホーム内に記憶する。しかし、他の場合には、ソフトウェアを他の位置に記憶するか、適切な汎用コンピュータシステムにロードするために転送する、あるいはその両方であってもよい。したがって、上記で検討した実施形態は、少なくとも1つのマシン読み取り可能媒体によって実行される1つまたは複数のモジュールのコードの形態で、1つまたは複数のソフトウェア製品を含む。コンピュータシステムのプロセッサでこのようなコードを実行すると、プラットホームがカタログおよび/またはソフトウェアダウンロード機能を、基本的に本明細書で検討し、図示した実施形態で実行される方法で実施することができる。
[058] 本明細書では、コンピュータまたはマシン「読み取り可能媒体」などの用語は、実行するためにプロセッサに命令を提供することに関わる任意の媒体を指す。このような媒体は多くの形態をとることができ、不揮発性媒体、揮発性媒体、および伝送媒体を含むが、それらに制限されない。不揮発性媒体は、例えば、上記で検討したサーバプラットホームの1つとして動作する任意のコンピュータの記憶装置のいずれかのように光または磁気ディスクなどを含む。揮発性媒体は、このようなコンピュータプラットホームの主メモリなどの動的メモリを含む。物理的伝送媒体は、同軸ケーブル、銅線および光ファイバを含み、コンピュータシステム内のバスを備える線を含む。搬送波伝送媒体は、電気または電磁信号、または無線周波(RF)および赤外線(IR)データ通信中に生成されるような音波または光波の形態をとってもよい。したがって、コンピュータ読み取り可能媒体の一般的な形態は、例えば、フロッピーディスク、可撓性ディスク、ハードディスク、磁気テープ、任意の他の磁気媒体、CD−ROM、DVD、任意の他の光媒体、それほど一般的に使用されていない媒体、例えば、パンチカード、紙テープ、穴のパターンがある任意の他の物理的媒体、RAM、PROM、およびEPROM、FLASH−EPROM、任意の他のメモリチップまたはカートリッジ、データまたは命令を転送する搬送波、このような搬送波を転送するケーブルまたはリンク、またはコンピュータがプログラミングコードおよび/またはデータを読み取ることができる任意の他の媒体を含む。このような形態のコンピュータ読み取り可能媒体の多くは、1つまたは複数の命令の1つまたは複数のシーケンスを実行するためにプロセッサへと搬送することに関わる。
[059] 図12は、本発明の助けにより設計されるDOEで使用するのに適切なリソグラフィ投影装置を概略的に示す。この装置は、
−放射線の投影ビームPBを供給する放射システムEx、ILを備え、またこの特定のケースでは、放射システムは放射源LAを備え、さらに、
−マスクMA(例えば、レチクル)を保持するためにマスクホルダが設けられ、品目PLに対してマスクを正確に位置決めするために第1の位置決め手段に接続された第1のオブジェクトテーブル(マスクテーブル)MTと、
−基板W(例えば、レジストを塗布したシリコンウェーハ)を保持するために基板ホルダが設けられ、品目PLに対して基板を正確に位置決めするために第2の位置決め手段に接続された第2のオブジェクトテーブル(基板テーブル)WTと、
−マスクMAの照射部分を基板Wのターゲット部分C(例えば、1つまたは複数のダイを備える)にイメージングする投影システム(「レンズ」)PL(例えば、屈折性、光反射性、反射屈折性光学システム)とを備える。
[060] 本明細書で示すように、装置は透過タイプである(すなわち、透過性マスクを有する)。しかし、一般に、これは例えば反射タイプ(反射性マスクがある)でもよい。あるいは、装置は、マスクを使用する代わりに別の種類のパターン形成手段を使用してもよく、その例は、プログラマブルミラーアレイまたはLCDマトリクスを含む。
[061] 光源LA(例えば、水銀灯、エキシマレーザまたはプラズマ放電源)が放射ビームを生成する。このビームは、直接に、または例えば、ビームエキスパンダExなどの調製手段を横断した後に照明システム(イルミネータ)ILに供給される。イルミネータILは、ビームにおける強度分布の外側および/または内側半径範囲(一般的にそれぞれ、σ−outerおよびσ−innerと呼ばれる)を設定する調節手段AMを備えてもよい。また、これは一般的にインテグレータINおよびコンデンサCOのような他のさまざまな構成要素を備える。このようにして、マスクMAに入射するビームPBは、その断面にわたり所望の均一性と強度分布とを有する。
[062] 図12に関して、光源LAおよびDOEは(例えば、光源LAが水銀ランプである場合によくあるように)リソグラフィ投影装置のハウジング内にあってもよいが、リソグラフィ投影装置から離れていてもよく、これが生成する放射ビームは(例えば、適切な誘導ミラーの助けにより)装置内に導かれることに留意されたい。この後者のシナリオは、光源LAがエキシマレーザである場合が多い(例えば、KrF、ArF、またはFレーザに基づく)。本発明は、これら両方のシナリオを包含するものである。
[063] その後、ビームPBはマスクテーブルMT上に保持されているマスクMAに入射する。ビームPBは、マスクMAを横断し、基板Wのターゲット部分C上にビームPBを集束するレンズPLを通過する。第2の位置決め手段(および干渉計測手段IF)の助けにより、基板テーブルWTは、例えば、ビームPBの経路における異なるターゲット部分Cを位置決めするように、正確に運動可能である。同様に、第1の位置決め手段は、例えば、マスクライブラリからマスクMAを機械的に検索した後に、またはスキャンの間に、ビームPBの経路に対してマスクMAを正確に位置決めするように使用することができる。一般的に、オブジェクトテーブルMT、WTの運動はロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)の助けにより実現されるが、これは図12には明示されていない。しかし、ウェーハステッパの場合(ステップアンドスキャン装置とは反対に)、マスクテーブルMTはショートストロークアクチュエータに接続されるだけであるか、または固定される。
[064] ここに示した装置は2つの異なるモードで使用可能である。
− ステップモードでは、マスクテーブルMTは基本的に静止状態に保たれている。そして、マスクの像全体が1回の作動(すなわち、1回の「フラッシュ」)でターゲット部分Cに投影される。次に基板テーブルWTがx方向および/またはy方向にシフトされ、異なるターゲット部分CがビームPBにより照射され得る。
− スキャンモードでは、基本的に同一シナリオが適用されるが、任意のターゲット部分Cは1回の「フラッシュ」では露光されない。代わって、マスクテーブルMTが、速度vにて所与の方向(いわゆる「スキャン方向」、例えば、y方向)に運動可能であり、したがって投影ビームPBがマスクの像をスキャンする。これと同時に、基板テーブルWTが速度V=Mvで、同一方向または反対方向に運動する。ここで、MはレンズPLの倍率(通常、M=1/4または1/5)である。このように、解像度を妥協することなく、比較的大きなターゲット部分Cを露光することができる。
[065] また、本明細書で開示した概念は、サブ波長フィーチャをイメージングするための任意の一般的イメージングシステムをシミュレートするか、または数学的にモデル化することができ、ますますサイズが小さくなる波長を生成可能な新興のイメージングテクノロジーで特に有用である。既に使用されている新興のテクノロジーは、ArFレーザを使用して193nmの波長を生成することができ、フッ素レーザを使用して157nmの波長さえ生成することができるEUV(極端紫外線)リソグラフィを含む。さらに、EUVリソグラフィは、20nmから5nmの範囲内で光子を生成するために、シンクロトロンを使用するか、高エネルギー電子で材料(固体またはプラズマ)を打つことによって、この範囲内の波長を生成することができる。大部分の材料はこの範囲内で吸収性であるので、モリブデンとシリコンが複数積み重ねられた反射性ミラーで、照明を生成することができる。複数積み重ねたミラーは、モリブデンとシリコンの40層の対を有し、各層の厚さは波長の1/4である。X線リソグラフィでは、さらに小さい波長を生成することができる。通常、X線波長の生成にはシンクロトロンが使用される。大部分の材料はx線波長で吸収性であるので、吸収材料の薄い部片が、フィーチャの印刷される箇所(正レジスト)または印刷されない箇所(負レジスト)を画定する。
[066] 本明細書で開示した概念は、シリコンウェーハなどの基板へのイメージングに使用することができるが、開示された概念は、例えば、シリコンウェーハ以外の基板へのイメージングに使用するなど、任意のタイプのリソグラフィイメージングシステムでも使用することができることを理解すべきであることにも留意されたい。
[067] 本発明のある特定の実施形態を開示してきたが、本発明の精神または本質的な特徴から逸脱することなしに、他の形態で実現することもできることに留意されたい。したがって、本発明の実施形態は、すべての点で本発明を説明するためのものであって、本発明を制限するものではなく、本発明の範囲は特許請求の範囲内に記載してあり、したがって特許請求の範囲と等価の意味および範囲内に入るすべての変更は、特許請求の範囲内に含まれる。
[020] 典型的なICの設計に見られる様々なコンポーネントの正規化した設計ルール要件を示す図である。 [021] 異なるkの条件でマスクのCDが45nmから100nmへと変動した場合に、45nmハーフピッチの様々な照明条件の結果のNILSを示す図である。 [022] (a)−(f)は、照明がクリアフィールドおよびダークフィールドイメージングに及ぼす影響を比較する図である。ングに及ぼす影響を比較する図である。 [023] 例示的な1次元マスク回折格子を示す図である。 [024] (a)および(b)は、70nmライン(すなわち、クリアフィールド)および70nmスペース(ダークフィールド)に関連する例示としての回折パターンを示す図である。(c)および(d)は、(a)および(b)の70nmライン(すなわち、クリアフィールド)および70nmスペース(ダークフィールド)に関連するクリアフィールドマスクおよびダークフィールドマスクについて対応する空間像を示す図である。(e)は、(a)および(b)に関連する70nmライン(クリアフィールド)・アンド・スペース(ダークフィールド)をプリントするそれぞれNILSの最小または最大強度(Imin/Imax)および強度閾値である。 [027] 175nmのピッチで70nmのCDを有するフィーチャ(すなわち、ラインまたはトレンチ)のラインアンドスペースについて、レンズの瞳で捕捉した正規化エネルギーを示す例である。 [028] 厳密EMFのために片側ダークフィールドSBスロットがある孤立トレンチの結果の空間像とスカラーモデルとの比較を示す図である。 [029] (a)は、環状照明を使用するトレンチのシミュレートした空間像を示す図である。[030] (b)−(e)は、本発明による二重双極子ダークフィールドイメージングプロセスのシミュレートした空間像を示す図である。 [031] 本発明によるダークフィールド二重双極子リソグラフィで使用する垂直マスクと水平マスクへとターゲットパターンを分解するプロセスの例示としての流れ図である。 [032] (a)−(j)は、図8のプロセスを使用してマスクを作成する方法の例を示す図である。 [033] 本発明のレイアウト変換方法の実際の例およびその結果の像を示す図である。 [034] 本発明の分解方法を実施する例示としての処理システムを示す図である。 [035] 本発明の助けにより設計したマスクで使用するのに適切なリソグラフィ投影装置を概略的に示す図である。

Claims (11)

  1. ダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法であって、
    水平および垂直フィーチャを備えた複数のフィーチャを有するターゲットパターンを識別するステップと
    前記ターゲットパターンに基づいて低コントラストフィーチャを含む前記水平マスクを作成するステップであって、
    二重露光後における全体のモデル基準によって規定されるイメージング性能を最適化するために、シミュレーションモデルに基づくバイアス最適化を用いて、前記水平マスクに含まれる前記低コントラストフィーチャのバイアスを最適化するステップ、および
    散乱バーを前記水平マスクに適用するステップを含む、水平マスク作成ステップと、
    そして、
    前記ターゲットパターンに基づいて低コントラストフィーチャを含む前記垂直マスクを作成するステップであって、
    二重露光後における全体のモデル基準によって規定されるイメージング性能を最適化するために、シミュレーションモデルに基づくバイアス最適化を用いて、前記垂直マスクに含まれる低コントラストフィーチャのバイアスを最適化するステップ、および
    散乱バーを前記垂直マスクに適用するステップを含む、前記垂直マスク作成ステップと、
    を含む方法。
  2. 前記モデル基準がDOF、MEF、ILS、NILS、またはコントラストを含む、請求項1に記載のダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法。
  3. 前記両方のマスクの最適化プロセスが、同時に、または順番に行われる、請求項1または2に記載のダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法。
  4. 前記低コントラストフィーチャのバイアスを最適化するステップが、イメージング性能に関連して予め画定された基準を最大にするように、前記水平マスクに含まれる垂直フィーチャの幅を調節するステップを含む、請求項1に記載のダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法。
  5. 前記水平マスク作成ステップが、前記散乱バーを前記水平マスクに適用するステップの後に、前記低コントラストフィーチャのバイアスを最適化するステップを再度実行するステップをさらに含む、請求項1または4に記載のダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法。
  6. 前記水平マスク作成ステップが、前記水平マスク上でモデルOPCを実行するステップをさらに含む、請求項1、4、及び5のいずれか1項に記載のダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法。
  7. 前記低コントラストフィーチャのバイアスを最適化するステップが、イメージング性能に関連して予め画定された基準を最大にするように、前記垂直マスクに含まれる水平フィーチャの幅を調節するステップを含む、請求項1乃至6のいずれか1項に記載のダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法。
  8. 前記垂直マスク作成ステップが、前記散乱バーを前記垂直マスクに適用するステップの後に、前記低コントラストフィーチャのバイアスを最適化するステップを再度実行するステップをさらに含む、請求項1乃至7のいずれか1項に記載のダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法。
  9. 前記垂直マスク作成ステップが、前記垂直マスク上でモデルOPCを実行するステップをさらに含む、請求項1乃至8のいずれか1項に記載のダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法。
  10. プロセッサによって実行される命令を有するコンピュータプログラムであって、該命令は、プロセッサが請求項1乃至9のいずれか1項に記載の方法を実行可能にする、コンピュータプログラム。
  11. デバイス製造方法であって、
    (a)放射感応性材料の層によって少なくとも部分的に覆われた基板を提供するステップと、
    (b)放射システムを使用して放射の投影ビームを提供するステップと、
    (c)パターン形成手段を使用して投影ビームの断面にパターンを与えるステップと、
    (d)パターン付き放射ビームを放射感応性材料の層のターゲット部分に投影するステップとを含み、
    ステップ(c)のパターン形成手段が、請求項1乃至9のいずれか1項に記載の方法を使用して作成される方法。
JP2007100108A 2006-04-06 2007-04-06 ダークフィールド二重双極子リソグラフィ(ddl)を実行する方法および装置 Expired - Fee Related JP4729527B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US78956006P 2006-04-06 2006-04-06
US60/789,560 2006-04-06

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010287304A Division JP5588853B2 (ja) 2006-04-06 2010-12-24 ダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法、デバイス製造方法、および相補マスクセット

Publications (2)

Publication Number Publication Date
JP2007328323A JP2007328323A (ja) 2007-12-20
JP4729527B2 true JP4729527B2 (ja) 2011-07-20

Family

ID=38283157

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007100108A Expired - Fee Related JP4729527B2 (ja) 2006-04-06 2007-04-06 ダークフィールド二重双極子リソグラフィ(ddl)を実行する方法および装置
JP2010287304A Expired - Fee Related JP5588853B2 (ja) 2006-04-06 2010-12-24 ダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法、デバイス製造方法、および相補マスクセット

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010287304A Expired - Fee Related JP5588853B2 (ja) 2006-04-06 2010-12-24 ダークフィールド二重双極子イメージングプロセスで使用する相補マスクを作成する方法、デバイス製造方法、および相補マスクセット

Country Status (7)

Country Link
US (3) US7824826B2 (ja)
EP (2) EP1843202B1 (ja)
JP (2) JP4729527B2 (ja)
KR (1) KR100865768B1 (ja)
CN (2) CN102033422B (ja)
SG (1) SG136118A1 (ja)
TW (1) TWI349162B (ja)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1843202B1 (en) * 2006-04-06 2015-02-18 ASML Netherlands B.V. Method for performing dark field double dipole lithography
US7966585B2 (en) * 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
US7802226B2 (en) * 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
US8713483B2 (en) 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
US7945869B2 (en) * 2007-08-20 2011-05-17 Infineon Technologies Ag Mask and method for patterning a semiconductor wafer
US9274438B1 (en) * 2008-06-25 2016-03-01 Western Digital (Fremont), Llc Method and system for exposing photoresist in a microelectric device
US8250495B2 (en) * 2009-01-16 2012-08-21 Mentor Graphics Corporation Mask decomposition for double dipole lithography
US8271910B2 (en) * 2010-03-29 2012-09-18 International Business Machines Corporation EMF correction model calibration using asymmetry factor data obtained from aerial images or a patterned layer
US8234603B2 (en) * 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
JP6140616B2 (ja) * 2011-03-02 2017-05-31 日本テキサス・インスツルメンツ株式会社 ダブルパターニングされるリソグラフィプロセスのためのパターン分割分解ストラテジー
US8575020B2 (en) 2011-03-02 2013-11-05 Texas Instruments Incorporated Pattern-split decomposition strategy for double-patterned lithography process
US8580675B2 (en) * 2011-03-02 2013-11-12 Texas Instruments Incorporated Two-track cross-connect in double-patterned structure using rectangular via
NL2009168A (en) * 2011-08-19 2013-02-21 Asml Netherlands Bv Lithographic apparatus and method.
EP2570854B1 (en) 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8806391B2 (en) * 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US8954898B2 (en) 2013-03-15 2015-02-10 International Business Machines Corporation Source-mask optimization for a lithography process
US8993217B1 (en) 2013-04-04 2015-03-31 Western Digital (Fremont), Llc Double exposure technique for high resolution disk imaging
JP5750476B2 (ja) * 2013-07-22 2015-07-22 東京応化工業株式会社 レジストパターン形成方法
CN111443576B (zh) 2015-04-07 2023-04-07 联华电子股份有限公司 照明系统以及使用其形成鳍状结构的方法
US9524361B2 (en) 2015-04-20 2016-12-20 United Microelectronics Corp. Method for decomposing a layout of an integrated circuit

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001126983A (ja) * 1999-09-29 2001-05-11 Asm Lithography Bv リソグラフィー方法および装置
JP2001203139A (ja) * 2000-01-19 2001-07-27 Hitachi Ltd 半導体装置の製造方法
JP2001223155A (ja) * 2000-02-10 2001-08-17 Huabang Electronic Co Ltd フォトリソグラフィ方法
WO2001063653A1 (fr) * 2000-02-25 2001-08-30 Hitachi, Ltd. Dispositif de circuit integre a semi-conducteurs et procede de production, et procede de production de masques
JP2003162042A (ja) * 2001-11-05 2003-06-06 Asml Masktools Bv ダイポール式照明技術に関連して使用されるマスクの生成方法と生成装置
JP2003332232A (ja) * 2002-03-25 2003-11-21 Asml Masktools Bv 双極照明を利用してルールベースのゲート短縮を行うための方法および装置
JP2004177968A (ja) * 2002-11-12 2004-06-24 Asml Masktools Bv 双極子照明に使用するモデルベースのレイアウト変換を実施するための方法および装置

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (de) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
EP0529971B1 (en) 1991-08-22 2003-07-23 Nikon Corporation High resolution printing technique by using a mask pattern adapted to the technique
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5881125A (en) 1992-09-25 1999-03-09 Intel Corporation Attenuated phase-shifted reticle using sub-resolution pattern
US5446521A (en) 1993-06-30 1995-08-29 Intel Corporation Phase-shifted opaquing ring
US5841517A (en) 1993-08-03 1998-11-24 Noritsu Koki Co., Ltd. Printer system for printing combined images on a same photosensitive sheet
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JPH09288345A (ja) 1996-04-19 1997-11-04 Sony Corp 投影プリント用マスク
JP2000505958A (ja) 1996-12-24 2000-05-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 2個の物品ホルダを有する二次元バランス位置決め装置及びこの位置決め装置を有するリソグラフ装置
DE69829614T2 (de) 1997-03-10 2006-03-09 Asml Netherlands B.V. Lithographiegerät mit einer positioniervorrichtung mit zwei objekthaltern
US6106979A (en) 1997-12-30 2000-08-22 Micron Technology, Inc. Use of attenuating phase-shifting mask for improved printability of clear-field patterns
US6077630A (en) 1998-01-08 2000-06-20 Micron Technology, Inc. Subresolution grating for attenuated phase shifting mask fabrication
EP1091252A3 (en) 1999-09-29 2004-08-11 ASML Netherlands B.V. Lithographic method and apparatus
US6671035B2 (en) 1999-09-29 2003-12-30 Asml Netherlands B.V. Illuminator for a lithography apparatus, a lithography apparatus comprising such an illuminator, and a manufacturing method employing such a lithography apparatus
JP2001222097A (ja) 2000-02-09 2001-08-17 Fujitsu Ltd 位相シフトマスク及びその製造方法
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
TWI228642B (en) 2001-10-09 2005-03-01 Asml Masktools Inc Method of two dimensional feature model calibration and optimization
US6875545B2 (en) 2001-11-28 2005-04-05 Asml Masktools B.V. Method of removing assist features utilized to improve process latitude
US7013439B2 (en) 2002-01-31 2006-03-14 Juan Andres Torres Robles Contrast based resolution enhancing technology
CN100405221C (zh) 2002-03-25 2008-07-23 Asml蒙片工具有限公司 用于无铬相位光刻技术中将半导体器件图案分解为相位和镀铬区域的方法和装置
US6807662B2 (en) 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
KR100583697B1 (ko) 2002-07-26 2006-05-25 에이에스엠엘 마스크툴즈 비.브이. 자동 광근접성보정(opc) 규칙 생성방법
JP2004133427A (ja) 2002-07-26 2004-04-30 Asml Masktools Bv ダイポール照明技術とともに用いる配向依存遮蔽
TWI334962B (en) 2005-04-12 2010-12-21 Asml Masktools Bv A method, program product and apparatus for performing double exposure lithography
EP1843202B1 (en) * 2006-04-06 2015-02-18 ASML Netherlands B.V. Method for performing dark field double dipole lithography

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001126983A (ja) * 1999-09-29 2001-05-11 Asm Lithography Bv リソグラフィー方法および装置
JP2001203139A (ja) * 2000-01-19 2001-07-27 Hitachi Ltd 半導体装置の製造方法
JP2001223155A (ja) * 2000-02-10 2001-08-17 Huabang Electronic Co Ltd フォトリソグラフィ方法
WO2001063653A1 (fr) * 2000-02-25 2001-08-30 Hitachi, Ltd. Dispositif de circuit integre a semi-conducteurs et procede de production, et procede de production de masques
JP2003162042A (ja) * 2001-11-05 2003-06-06 Asml Masktools Bv ダイポール式照明技術に関連して使用されるマスクの生成方法と生成装置
JP2003332232A (ja) * 2002-03-25 2003-11-21 Asml Masktools Bv 双極照明を利用してルールベースのゲート短縮を行うための方法および装置
JP2004177968A (ja) * 2002-11-12 2004-06-24 Asml Masktools Bv 双極子照明に使用するモデルベースのレイアウト変換を実施するための方法および装置

Also Published As

Publication number Publication date
TWI349162B (en) 2011-09-21
EP1843202A2 (en) 2007-10-10
CN102033422A (zh) 2011-04-27
TW200745739A (en) 2007-12-16
KR20070100182A (ko) 2007-10-10
US7824826B2 (en) 2010-11-02
JP2011141544A (ja) 2011-07-21
JP5588853B2 (ja) 2014-09-10
CN101135861B (zh) 2010-12-22
EP2267530A1 (en) 2010-12-29
SG136118A1 (en) 2007-10-29
EP1843202A3 (en) 2007-12-12
CN102033422B (zh) 2015-05-06
KR100865768B1 (ko) 2008-10-28
CN101135861A (zh) 2008-03-05
US7981576B2 (en) 2011-07-19
JP2007328323A (ja) 2007-12-20
EP1843202B1 (en) 2015-02-18
US20110236808A1 (en) 2011-09-29
US20080020296A1 (en) 2008-01-24
US20110014552A1 (en) 2011-01-20
US8632930B2 (en) 2014-01-21

Similar Documents

Publication Publication Date Title
JP4729527B2 (ja) ダークフィールド二重双極子リソグラフィ(ddl)を実行する方法および装置
JP4383400B2 (ja) 1/4波長リソグラフィの焦点深さを上げるためにモデルに基づき散乱バーを配置する方法、プログラム製品および装置
JP4464365B2 (ja) 近傍の影響を考慮した光学的近接効果補正を実行する装置、方法およびコンピュータ・プログラム
JP4101770B2 (ja) ディープ・サブ波長の光リソグラフィのためのレチクル・パターンに光近接フィーチャを提供する方法および装置
EP1712954B1 (en) A method and program product for performing double exposure lithography
KR101185463B1 (ko) Na-시그마 노광세팅 및 디바이스 레이아웃을 이용하는 스캐터링 바아 opc에 대한 동시 최적화 방법, 프로그램물 및 장치
JP5121117B2 (ja) 強度プロフィールを最適化する方法及びプログラム
TWI519901B (zh) 用於三維抗蝕分佈模擬之微影模型
JP2004177968A (ja) 双極子照明に使用するモデルベースのレイアウト変換を実施するための方法および装置
JP2004133427A (ja) ダイポール照明技術とともに用いる配向依存遮蔽
KR20050025095A (ko) 서브-파장 광학 리소그래피용 위상-평형 스캐터링바아들의 모델 기반 배치를 수행하는 방법 및 장치
EP1530084A1 (en) A method for performing transmission tuning of a mask pattern to improve process latitude
TWI495961B (zh) 用於三維拓樸晶圓之微影模型
JP4727288B2 (ja) 基板上に形成されるデザインを最適化する方法及びプログラム
JP3913191B2 (ja) 空間周波数倍加技術を利用するマスクパターン形成方法および装置
US7804646B2 (en) Method for decomposition of a customized DOE for use with a single exposure into a set of multiple exposures using standard DOEs with optimized exposure settings
US20070122719A1 (en) Method and apparatus for generating OPC rules for placement of scattering bar features utilizing interface mapping technology

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100813

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101224

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110317

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110418

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140422

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees