KR102641864B1 - 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법 - Google Patents

패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법 Download PDF

Info

Publication number
KR102641864B1
KR102641864B1 KR1020227045133A KR20227045133A KR102641864B1 KR 102641864 B1 KR102641864 B1 KR 102641864B1 KR 1020227045133 A KR1020227045133 A KR 1020227045133A KR 20227045133 A KR20227045133 A KR 20227045133A KR 102641864 B1 KR102641864 B1 KR 102641864B1
Authority
KR
South Korea
Prior art keywords
image
mask
pattern
cost function
patterning device
Prior art date
Application number
KR1020227045133A
Other languages
English (en)
Other versions
KR20230004954A (ko
Inventor
콴 장
빈-데르 첸
라파엘 씨. 호웰
징 수
이 조우.
옌웬 루
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20230004954A publication Critical patent/KR20230004954A/ko
Application granted granted Critical
Publication of KR102641864B1 publication Critical patent/KR102641864B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Abstract

본 명세서에서, 패터닝 디바이스의 곡선적 패턴을 결정하는 방법이 설명되고, 이는 (ⅰ) 패터닝 공정을 거치는 기판 상에 프린트될 타겟 패턴에 대응하는 패터닝 디바이스의 초기 이미지, 및 (ⅱ) 초기 이미지로부터 기판 상의 패턴을 예측하도록 구성되는 공정 모델을 얻는 단계, 하드웨어 컴퓨터 시스템에 의해 초기 이미지로부터 향상된 이미지를 생성하는 단계, 하드웨어 컴퓨터 시스템에 의해 향상된 이미지를 이용하여 레벨 세트 이미지를 생성하는 단계, 및 하드웨어 컴퓨터 시스템에 의해 레벨 세트 이미지, 공정 모델, 및 비용 함수에 기초하여 패터닝 디바이스에 대한 곡선적 패턴을 반복적으로 결정하는 단계를 포함하며, 비용 함수(예를 들어, EPE)는 예측된 패턴과 타겟 패턴 사이의 차이를 결정하고, 차이는 반복적으로 감소된다.

Description

패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법{METHOD FOR DETERMINING CURVILINEAR PATTERNS FOR PATTERNING DEVICE}
본 출원은 2018년 3월 19일에 출원된 미국 출원 62/645,155의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 명세서의 기재내용은 일반적으로 마스크 제조 및 패터닝 공정에 관한 것이다. 특히, 디자인 레이아웃을 위한 패터닝 디바이스 패턴들을 결정하는 방법 또는 장치에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별층에 대응하는 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 스테퍼(stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판이 이동된다. 패터닝 디바이스 상의 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 축소율(M)(예를 들어, 4)을 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 1/M 배가 될 것이다. 본 명세서에 서술된 바와 같은 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792로부터 얻을 수 있다.
패터닝 디바이스로부터 기판으로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 패턴의 측정/검사와 같은 다른 절차들("노광-후 절차들")을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 핀에 연결되는 캐리어 등에 장착될 수 있다.
따라서, 반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처들 및 다수 층들을 형성하기 위해 다수의 제작 공정들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 제조되고 처리된다. 다수 디바이스들은 기판의 복수의 다이들 상에 제작된 후, 개별적인 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 기판에 패터닝 디바이스 상의 패턴을 전사하기 위해 리소그래피 장치에서 패터닝 디바이스를 이용하는 광학 및/또는 나노임프린트(nanoimprint) 리소그래피와 같은 패터닝 단계를 수반하며, 통상적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용하는 패턴을 이용한 에칭 등과 같은 1 이상의 관련 패턴 처리 단계를 수반한다.
유의되는 바와 같이, 리소그래피는 IC와 같은 디바이스의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 디바이스의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 심(deep)-자외선 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수들, 즉 조명 소스(예를 들어, 193 nm 조명 소스)로부터의 방사선의 파장의 절반보다 작은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택되는 방사선의 파장(통상적으로, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세-조정 단계들이 리소그래피 투영 장치, 디자인 레이아웃, 또는 패터닝 디바이스에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다. 본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처(aperture) 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
일 실시예에 따르면, 패터닝 디바이스의 곡선적 패턴(curvilinear pattern)을 결정하는 방법이 제공된다. 상기 방법은 (ⅰ) 패터닝 공정을 거치는 기판 상에 프린트될 타겟 패턴에 대응하는 패터닝 디바이스의 초기 이미지, 및 (ⅱ) 초기 이미지로부터 기판 상의 패턴을 예측하도록 구성되는 공정 모델을 얻는 단계, 하드웨어 컴퓨터 시스템에 의해 초기 이미지로부터 향상된 이미지를 생성하는 단계, 하드웨어 컴퓨터 시스템에 의해 향상된 이미지를 이용하여 레벨 세트 이미지(level set image)를 생성하는 단계, 및 하드웨어 컴퓨터 시스템에 의해 레벨 세트 이미지, 공정 모델, 및 비용 함수에 기초하여 패터닝 디바이스에 대한 곡선적 패턴을 반복적으로 결정하는 단계를 포함하며, 비용 함수는 예측된 패턴과 타겟 패턴 사이의 차이를 결정하고, 차이는 반복적으로 감소된다.
일 실시예에서, 향상된 이미지를 생성하는 단계는 초기 이미지 전체에 걸친 픽셀들의 평균 세기에 비해 상대적으로 낮은 세기들을 갖는 픽셀들을 선택하는 단계, 초기 이미지의 선택된 픽셀들의 세기들을 증폭시키는 단계, 및 향상된 이미지를 생성하기 위해 초기 이미지 내의 픽셀들의 세기들과 선택된 픽셀들의 세기들을 조합하는 단계를 포함한다.
일 실시예에서, 초기 이미지의 픽셀들의 세기들을 증폭시키는 단계는 에지 검출 필터, 안티-블러링(anti-bluring), 평균화, 및/또는 피처 추출 또는 다른 유사한 작업들과 같은 이미지 기반 작업에 기초한다.
일 실시예에서, 선택된 픽셀들은 1차, 2차, 3차 및/또는 다른 고차 분해능-이하 어시스트 피처(sub-resolution assist feature)에 대응하고, 2차 분해능-이하 어시스트 피처는 1차 분해능-이하 피처보다 타겟 패턴에 대응하는 피처들로부터 더 먼 거리에 위치된 어시스트 피처이다.
일 실시예에서, 향상된 이미지를 생성하는 단계는 향상된 이미지로부터 잡음을 필터링하는 단계; 및 향상된 이미지에 평활화 작업(smoothing operation)을 수행하는 단계를 더 포함한다.
일 실시예에서, 곡선적 마스크 패턴들을 생성하는 단계는 향상된 이미지를 레벨 세트 함수/이미지로 전환하는 단계, 및 레벨 세트 함수를 교차하는 임계치 평면 상의 윤곽을 추적하는 단계를 포함하고, 윤곽은 곡선적 패턴에 대응한다.
일 실시예에서, 곡선적 패턴을 결정하는 반복은 레벨 세트 이미지에 대응하는 마스크 변수들을 수정하는 단계, 비용 함수의 기울기를 결정하는 단계, 및 비용 함수가 감소되도록 비용 함수의 기울기에 기초하여 레벨 세트 이미지의 마스크 변수들의 값들을 최적화하는 단계를 포함한다.
일 실시예에서, 비용 함수는 최소화된다.
일 실시예에서, 비용 함수는 에지 배치 오차, 사이드로브 프린팅 페널티(sidelobe printing penalty), 및/또는 마스크 규칙 체크 위반 페널티를 포함한다. 페널티는 위반량, 예를 들어 마스크 측정과 주어진 MRC 또는 마스크 파라미터[예를 들어, 마스크 패턴 폭과 허용된(예를 들어, 최소 또는 최대) 마스크 폭] 사이의 차이에 의존하는 비용 함수의 항일 수 있다. 비용 함수에 페널티 항을 포함하는 것은 이러한 차이를 감소시키는(일 실시예에서, 최소화하는) 것을 허용한다.
일 실시예에서, 상기 방법은 하드웨어 컴퓨터 시스템에 의해, 곡선적 마스크를 사용하여 패터닝 공정을 통해 기판 상에 패턴들을 프린트하는 패터닝 단계를 수행하는 단계를 더 포함한다.
일 실시예에서, 초기 이미지는 타겟 패턴에 대응하는 피처들 및 어시스트 피처들을 포함하는 연속 투과 마스크 이미지이다.
일 실시예에서, 상기 방법은 곡선적 패턴에 대응하는 구조적 피처들을 포함하는 패터닝 디바이스를 제조하는 단계를 더 포함한다.
일 실시예에서, 구조적 피처들은 어시스트 피처들 및/또는 윤곽 수정을 포함한 광 근접 보정들에 대응한다.
일 실시예에서, 상기 방법은 리소그래피 장치를 통해 패터닝 디바이스의 곡선적 패턴을 기판에 전사하는 단계를 더 포함한다.
또한, 일 실시예에 따르면, 패터닝 디바이스의 곡선적 패턴을 결정하는 방법이 제공된다. 상기 방법은 (ⅰ) 패터닝 공정을 거치는 기판 상에 프린트될 타겟 패턴에 대응하는 패터닝 디바이스의 초기 이미지, 및 (ⅱ) 초기 이미지로부터 기판 상의 패턴을 예측하도록 구성되는 공정 모델을 얻는 단계, 하드웨어 컴퓨터 시스템에 의해 연속 마스크 이미지로서 초기 이미지로부터 (예를 들어, 시그모이드 또는 다른 이진화 함수들을 사용하여) 바이너리 변환(binary transformation)을 적용함으로써 변환된 이미지를 생성하는 단계, 및 하드웨어 컴퓨터 시스템에 의해 변환된 이미지, 공정 모델, 및 비용 함수에 기초하여 패터닝 디바이스에 대한 곡선적 패턴을 반복적으로 결정하는 단계를 포함하며, 비용 함수는 예측된 패턴과 타겟 패턴 사이의 차이를 결정하고, 차이는 반복적으로 감소된다.
일 실시예에서, 곡선적 패턴을 결정하는 반복은 변환된 이미지의 초기 이미지에 대응하는 마스크 변수들을 수정하는 단계, 비용 함수의 기울기를 결정하는 단계, 및 비용 함수가 감소되도록 비용 함수의 기울기에 기초하여 레벨 세트 이미지의 마스크 변수들의 값들을 결정하는 단계를 포함한다.
일 실시예에서, 비용 함수는 최소화된다.
일 실시예에서, 비용 함수는 에지 배치 오차, 사이드로브 프린팅 페널티, 및/또는 마스크 규칙 체크 위반 페널티를 포함한다.
일 실시예에서, 상기 방법은 하드웨어 컴퓨터 시스템에 의해, 곡선적 마스크를 사용하여 패터닝 공정을 통해 기판 상에 패턴들을 프린트하는 패터닝 단계를 수행하는 단계를 더 포함한다.
일 실시예에서, 상기 방법은 하드웨어 컴퓨터 시스템에 의해, 초기 이미지로부터 향상된 이미지를 생성하는 단계, 하드웨어 컴퓨터 시스템에 의해 연속 마스크 이미지로서 향상된 이미지에 바이너리 변환을 적용함으로써 변환된 이미지를 생성하는 단계, 및 하드웨어 컴퓨터 시스템에 의해 변환된 이미지, 공정 모델, 및 비용 함수에 기초하여 패터닝 디바이스에 대한 곡선적 패턴을 반복적으로 결정하는 단계를 더 포함하며, 비용 함수는 예측된 패턴과 타겟 패턴 사이의 차이를 결정하고, 차이는 반복적으로 감소된다.
일 실시예에서, 바이너리 변환은 로지스틱 함수, 계단 함수, 및/또는 시그모이드 함수에 의해 수행된다.
일 실시예에서, 초기 이미지는 타겟 패턴에 대응하는 피처들 및 분해능-이하 어시스트 피처들을 포함하는 연속 투과 마스크 이미지이다.
일 실시예에서, 상기 방법은 곡선적 패턴에 대응하는 구조적 피처들을 포함하는 패터닝 디바이스를 제조하는 단계를 더 포함한다.
또한, 일 실시예에 따르면, 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품이 제공되고, 명령어들은 컴퓨터에 의해 실행될 때 앞서 열거된 방법들을 구현한다.
도 1은 리소그래피 시스템의 다양한 서브시스템들의 블록 다이어그램을 나타낸다.
도 2는 일 실시예에 따른 기판 상에 프린트될 타겟 패턴의 패터닝 디바이스 패턴을 결정하는 방법의 흐름도이다.
도 3은 일 실시예에 따른 타겟 패턴의 간단한 예시를 나타낸다.
도 4a는 일 실시예에 따른 타겟 패턴에 대응하는 예시적인 CTM 이미지를 나타낸다.
도 4b는 일 실시예에 따른 도 4a의 CTM 이미지 내의 신호의 일 예시를 나타낸다.
도 5a는 일 실시예에 따른 도 4a의 CTM 이미지에 대응하는 예시적인 향상된 이미지를 나타낸다.
도 5b는 일 실시예에 따른 도 5a의 향상된 이미지 내의 신호의 일 예시를 나타낸다.
도 6a는 일 실시예에 따른 도 5a의 향상된 이미지의 평활화 버전을 나타낸다.
도 6b는 일 실시예에 따른 도 6a 또는 도 5a에 대응하는 예시적인 초기 곡선적 패턴을 나타낸다.
도 7a는 일 실시예에 따른 최적화된 레벨 세트 이미지를 나타낸다.
도 7b는 일 실시예에 따른 도 3의 타겟 패턴에 대응하는 최종 곡선적 패턴을 나타낸다.
도 8a는 일 실시예에 따른 패턴들의 영역이 충전된 도 7b의 최종 곡선적 패턴의 이미지를 나타낸다.
도 8b는 일 실시예에 따른 도 7b의 곡선적 패턴 및 도 3의 타겟 패턴의 윤곽들을 나타낸다.
도 9는 일 실시예에 따른 예시적인 컴퓨터 시스템의 블록 다이어그램이다.
도 10은 일 실시예에 따른 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 11은 일 실시예에 따른 또 다른 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 12는 일 실시예에 따른 도 10의 장치의 더 상세한 도면이다.
도 13은 일 실시예에 따른 도 11 및 도 12의 장치의 소스 컬렉터 모듈(SO)의 더 상세한 도면이다.
본 명세서에서는, IC의 제조에 대하여 특히 언급되지만, 본 명세서의 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 함을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 약 5 내지 100 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 타입들의 전자기 방사선을 포괄하는 데 사용된다.
패터닝 디바이스는 1 이상의 디자인 레이아웃을 포함하거나 형성할 수 있다. 디자인 레이아웃은 CAD(computer-aided design) 프로그램을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들 중 1 이상은 "임계 치수"(CD)라고 칭해질 수 있다. 디바이스의 임계 치수는 라인 또는 홀의 최소 폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 디바이스의 전체 크기 및 밀도를 결정한다. 물론, 디바이스 제작의 목표들 중 하나는 원래 디자인 의도를 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현(reproduce)하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여, 회절 방사선만이 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
간략한 도입부로서, 도 1은 예시적인 리소그래피 투영 장치(10A)를 나타낸다. 주요 구성요소들은 심자외선 엑시머 레이저 소스(deep-ultraviolet excimer laser source) 또는 극자외선(EUV) 소스를 포함한 다른 형태의 소스일 수 있는 방사선 소스(12A)(앞서 언급된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음); 예를 들어, 소스(12A)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있고, (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하는 조명 광학기; 패터닝 디바이스(18A); 및 기판 평면(22A) 상으로 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16Ac)이다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(20A)가 기판 평면(22A) 상에 부딪치는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수 NA = n sin(Θmax)를 정의하고, 여기서 n은 투영 광학기의 최종 요소와 기판 사이의 매질의 굴절률이며, Θmax는 기판 평면(22A) 상에 여전히 충돌할 수 있는 투영 광학기로부터 나오는 빔의 최대 각도이다.
리소그래피 투영 장치에서, 소스는 패터닝 디바이스에 조명(즉, 방사선)을 제공하고, 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 조명을 지향하고 성형한다. 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2009-0157630호에서 찾아볼 수 있다. 레지스트 모델은 레지스트 층의 속성들[예를 들어, 노광, 노광-후 베이크(PEB) 및 현상 시 일어나는 화학 공정들의 효과들]에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우하고, 광학 모델에서 정의될 수 있다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다. 디자인 레이아웃을 다양한 리소그래피 이미지들(예를 들어, 에어리얼 이미지, 레지스트 이미지 등)로 변환하는 데 사용되는 기술들 및 모델들, 이 기술들 및 모델들을 이용한 OPC의 적용, 및 (예를 들어, 공정 윈도우에 관한) 성능의 평가의 세부사항들은 미국 특허 출원 공개공보 US 2008-0301620호, 2007-0050749호, 2007-0031745호, 2008-0309897호, 2010-0162197호, 및 2010-0180251호에 설명되어 있으며, 이들은 각각 본 명세서에서 그 전문이 인용참조된다.
리소그래피 공정을 이해하는 한 측면은 방사선과 패터닝 디바이스의 상호작용을 이해하는 것이다. 방사선이 패터닝 디바이스를 통과한 후의 방사선의 전자기장은, 방사선이 패터닝 디바이스에 도달하기 전의 방사선의 전자기장 및 상호작용을 특성화하는 함수로부터 결정될 수 있다. 이 함수는 마스크 투과 함수(이는 투과 패터닝 디바이스 및/또는 반사 패터닝 디바이스에 의한 상호작용을 설명하는 데 사용될 수 있음)라고 칭해질 수 있다.
마스크 투과 함수는 여러 가지 상이한 형태들을 가질 수 있다. 일 형태는 바이너리이다. 바이너리 마스크 투과 함수는 패터닝 디바이스 상의 여하한의 주어진 위치에서 두 값들(예를 들어, 0 및 양의 상수) 중 어느 하나를 갖는다. 바이너리 형태의 마스크 투과 함수는 바이너리 마스크라고 칭해질 수 있다. 또 다른 형태는 연속적이다. 즉, 패터닝 디바이스의 투과율(또는 반사율)의 모듈러스(modulus)는 패터닝 디바이스 상의 위치의 연속 함수이다. 또한, 투과율(또는 반사율)의 위상이 패터닝 디바이스 상의 위치의 연속 함수일 수 있다. 연속적인 형태의 마스크 투과 함수는 연속톤 마스크(continuous tone mask) 또는 연속 투과 마스크(CTM)라고 칭해질 수 있다. 예를 들어, CTM은 픽셀화된 이미지(pixelated image)로서 표현될 수 있으며, 여기서 각각의 픽셀에는 0 또는 1 중 어느 하나의 이진 값 대신에 0과 1 사이의 값(예를 들어, 0.1, 0.2, 0.3 등)이 할당될 수 있다. 일 실시예에서, CTM은 각각의 픽셀이 값들(예를 들어, 범위 [-255, 255] 내의 값들, 범위 [0, 1] 또는 [-1, 1] 내의 정규화된 값들, 또는 다른 적절한 범위들 내의 값들)을 갖는 픽셀화된 그레이 스케일 이미지일 수 있다.
키르히호프 경계 조건이라고도 불리는 얇은-마스크 근사가 방사선과 패터닝 디바이스의 상호작용의 결정을 단순화하기 위해 널리 사용된다. 얇은-마스크 근사는 패터닝 디바이스 상의 구조체들의 두께가 파장에 비해 매우 작고 마스크 상의 구조체들의 폭들이 파장에 비해 매우 크다고 가정한다. 그러므로, 얇은-마스크 근사는 패터닝 디바이스 이후 전자기장이 마스크 투과 함수와 입사 전자기장의 곱이라고 가정한다. 하지만, 리소그래피 공정들이 점점 더 짧은 파장들의 방사선을 사용하고, 패터닝 디바이스 상의 구조체들이 점점 더 작아짐에 따라, 얇은-마스크 근사의 가정은 무너질 수 있다. 예를 들어, 그들의 유한한 두께들로 인한 구조체들(예를 들어, 최상부 표면과 측벽 사이의 에지들)과 방사선의 상호작용("마스크 3D 효과" 또는 "M3D")이 중요해질 수 있다. 이러한 산란을 마스크 투과 함수에 포함하는 것은 마스크 투과 함수가 패터닝 디바이스와 방사선의 상호작용을 더 잘 포착가능하게 할 수 있다. 얇은-마스크 근사 하에서의 마스크 투과 함수는 얇은-마스크 투과 함수라고 칭해질 수 있다. M3D를 포함하는 마스크 투과 함수는 M3D 마스크 투과 함수라고 칭해질 수 있다.
본 발명의 일 실시예에 따르면, 1 이상의 이미지가 생성될 수 있다. 이미지들은 픽셀 값들 또는 각 픽셀의 세기 값들에 의해 특징지어질 수 있는 다양한 타입들의 신호를 포함한다. 이미지 내의 픽셀의 상대 값들에 따라, 신호는 예를 들어 당업자에 의해 이해될 수 있는 바와 같이 약한 신호 또는 강한 신호라고 칭해질 수 있다. "강한" 및 "약한"이라는 용어는 이미지 내의 픽셀들의 세기 값들에 기초한 상대적인 용어들이며, 특정 세기 값들이 본 발명의 범위를 제한하지는 않을 수 있다. 일 실시예에서, 강한 및 약한 신호는 선택된 임계값에 기초하여 식별될 수 있다. 일 실시예에서, 임계값은 고정될 수 있다(예를 들어, 이미지 내의 픽셀의 최고 세기 및 최저 세기의 중간점). 일 실시예에서, 강한 신호는 이미지에 걸친 평균 신호 값보다 크거나 같은 값들을 갖는 신호를 지칭할 수 있고, 약한 신호는 평균 신호 값보다 작은 값들을 갖는 신호를 지칭할 수 있다. 일 실시예에서, 상대 세기 값은 백분율에 기초할 수 있다. 예를 들어, 약한 신호는 이미지 내의 픽셀의 최고 세기의 50 % 미만의 세기를 갖는 신호일 수 있다(예를 들어, 타겟 패턴에 대응하는 픽셀들이 최고 세기를 갖는 픽셀들로 간주될 수 있음). 또한, 이미지 내의 각각의 픽셀이 변수로서 고려될 수 있다. 본 실시예에 따르면, 도함수 또는 편도함수가 이미지 내의 각각의 픽셀에 대해 결정될 수 있고, 각각의 픽셀의 값들은 비용 함수 기반 평가 및/또는 비용 함수의 기울기 기반 연산(gradient based computation)에 따라 결정 또는 수정될 수 있다. 예를 들어, CTM 이미지는 픽셀들을 포함할 수 있으며, 여기서 각각의 픽셀은 여하한의 실수 값을 취할 수 있는 변수이다.
도 2는 리소그래피 공정을 수반하는 패터닝 공정을 통해 기판 상에 프린트될 타겟 패턴에 대응하는 이미지(예를 들어, 연속 투과 마스크 이미지, 바이너리 마스크 이미지, 곡선적 마스크 이미지 등)로부터 패터닝 디바이스 패턴(또는, 이후 마스크 패턴)을 결정하는 방법(2000)의 흐름도이다. 일 실시예에서, 디자인 레이아웃 또는 타겟 패턴은 바이너리 디자인 레이아웃, 연속톤 디자인 레이아웃, 또는 다른 적절한 형태의 디자인 레이아웃일 수 있다.
상기 방법(2000)은 반복 프로세스이며, 여기서 초기 이미지(예를 들어, 향상된 이미지, CTM 이미지로부터 초기화된 레벨 세트 이미지 등)는 본 발명의 상이한 공정들에 따라 상이한 타입들의 이미지들을 생성하도록 점진적으로 수정되어, 결국 마스크를 제작/제조하는 데 사용될 수 있는 마스크 패턴들의 정보 또는 이미지(예를 들어, 최종 곡선적 마스크에 대응하는 레벨 세트 이미지)를 생성한다. 초기 이미지의 반복 수정은 비용 함수에 기초할 수 있으며, 여기서 반복 동안 초기 이미지는 비용 함수가 감소되도록, 일 실시예에서는 최소화되도록 수정될 수 있다. 일 실시예에서, 상기 방법(2000)은 CTM+ 프로세스라고 칭해질 수도 있으며, 여기서 초기 이미지는 곡선적 마스크 패턴들(예를 들어, 곡선적 마스크 또는 곡선적 패턴의 지오메트리 또는 다각형 표현 형상들)을 생성하기 위해 본 발명에 따라 더 처리되는 최적화된 CTM 이미지이다. 일 실시예에서, 초기 이미지는 CTM 이미지의 향상된 이미지일 수 있다. 곡선적 마스크 패턴들은 벡터, 테이블, 수학 방정식, 또는 기하학적/다각형 형상들을 나타내는 다른 형태들의 형태일 수 있다.
일 실시예에서, 프로세스 P201은 초기 이미지(예를 들어, CTM 이미지 또는 최적화된 CTM 이미지, 또는 바이너리 마스크 이미지)를 얻는 것을 수반할 수 있다. 일 실시예에서, 초기 이미지(2001)는 기판 상에 프린트될 타겟 패턴에 기초하여 CTM 생성 프로세스에 의해 생성되는 CTM 이미지일 수 있다. 그 후, CTM 이미지는 프로세스 P201에 의해 수신될 수 있다. 일 실시예에서, 프로세스 P201은 CTM 이미지를 생성하도록 구성될 수 있다. 예를 들어, CTM 생성 기술에서, 역 리소그래피 문제가 최적화 문제로서 공식화된다. 변수들은 마스크 이미지 내의 픽셀들의 값들과 관련될 수 있고, EPE 또는 사이드로브 프린팅과 같은 리소그래피 메트릭이 비용 함수로서 사용된다. 최적화의 반복에서, 마스크 이미지는 변수들로부터 구성되고, 그 후 공정 모델(예를 들어, Tachyon 모델)이 적용되어 광학 또는 레지스트 이미지들을 얻으며, 비용 함수들이 연산된다. 비용 함수 연산은 변수(예를 들어, 픽셀 세기)들을 업데이트하기 위해 최적화 솔버에서 사용되는 기울기 값들을 제공한다. 최적화 동안 여러 번의 반복들 후에, 최종 마스크 이미지가 생성되며, 이는 (예를 들어, Tachyon iOPC 및 SMO 소프트웨어에서 구현되는 바와 같이) 패턴 추출을 위한 안내 맵(guidance map)으로서 더 사용된다. 이러한 초기 이미지(예를 들어, CTM 이미지)는 패터닝 공정을 통해 기판 상에 프린트될 타겟 패턴에 대응하는 1 이상의 피처(예를 들어, 타겟 패턴의 피처, SRAF, 세리프 등)를 포함할 수 있다.
일 실시예에서, CTM 이미지(또는 CTM 이미지의 향상된 버전)는 레벨 세트 이미지(또는 레벨 세트 함수)를 초기화하는 데 사용될 수 있으며, 이는 아래에서 논의되는 바와 같이 반복적으로 수정되는 초기 이미지(2001)로서 사용될 수 있다.
일 실시예에서, 도 3은 기판 상의 상이한 위치들에 위치된 접촉홀들(301 및 302)을 포함하는 타겟 패턴(300)의 간단한 예시를 나타낸다. 전형적으로, 타겟 패턴은 서로 비교적 근접하게 위치되는 상이한 크기 및 형상의 수백 및 수천 또는 심지어 수백만의 피처들을 포함하여 훨씬 더 복잡하다. 이러한 타겟 패턴(300)에 대해, CTM 이미지가 생성될/얻어질 수 있다.
도 4a는 타겟 패턴(300)에 대응하는 예시적인 CTM 이미지(400)[초기 이미지(2001)의 일 예시]이다. CTM 이미지(400)는 타겟 피처, 즉 접촉홀(302)에 대응하는 피처(402) 및 피처(402) 주위의 추가적인 피처들[예를 들어, SRAF(404)]을 포함한다. 일 실시예에서, 타겟 피처로부터의 어시스트 피처(예를 들어, SRAF)의 거리에 따라, 어시스트 피처는 1차, 2차, 3차 등으로 칭해질 수 있다. 예를 들어, SRAF는 1차 SRAF(즉, 타겟 패턴에 가장 가까움), 1차 SRAF 뒤에 위치된 2차 SRAF, 2차 SRAF 너머에 위치된 3차 SRAF 등으로 칭해질 수 있다. 일 실시예에서, 1차, 2차, 3차 SRAF들은 픽셀 값들에 의해 특징지어질 수 있다. 일 실시예에서, 타겟에 근접한 SRAF들은 더 높은 세기를 가질 수 있고, 세기는 SRAF가 타겟 패턴으로부터 더 멀리 위치됨에 따라 점진적으로 감소될 수 있다. 다시 말하면, CTM 이미지 내에서 점진적으로 더 낮은 픽셀 값들 또는 더 약한 신호들을 갖는 피처들(예를 들어, SRAF들)이 관찰될 수 있다. 일 실시예에 따르면, 일 예시로서, 타겟 패턴으로부터 벗어나, 백색 구역은 신호가 없음(세기를 갖지 않음)을 나타낼 수 있고, 흑색(또는 어두운 구역)은 (소정 세기를 갖는) "신호"를 나타낼 수 있다. 예를 들어, CTM 이미지(400)에서, 어두운 구역은 강한 신호를 나타내고, 백색 또는 회색 구역은 비교적 약한 신호를 나타낸다. 예를 들어, SRAF 404(1차 SRAF의 일 예시)는 SRAF 406(2차 SRAF의 일 예시)에 비해 상대적으로 더 높은 픽셀 값들(또는 더 강한 신호)을 가질 수 있다. SRAF 406를 넘어, 신호는 더 약해지고, 이는 무시될 수 있으며, 즉 SRAF 또는 다른 피처가 이러한 구역 내에 포함되지 않을 수 있음을 나타낸다. 접촉홀(402)을 통과하는 라인(412)을 따른 신호의 일 예시가 도 4b에 도시된다. 도 4b에서, 신호(450)는 예를 들어 350 nm까지 강한 것으로 간주될 수 있고(예를 들어, 100에 대해 큰 진폭 또는 큰 진폭 변동을 가짐), 신호(450)는 350 nm 후에 점진적으로 약화(비교적 작은 진폭 변화)될 수 있다.
일 실시예에서, 피처가 타겟 패턴 내에, 예를 들어 비교적 큰 타겟 다각형 내에 있는 경우, 백색은 (예를 들어, SRIF를 배치하라는) 신호를 나타낼 수 있는 한편, 흑색은 신호를 나타내지 않을 수 있다.
또한, 프로세스 P201는 초기 이미지(2001)에 기초하여 향상된 이미지(2002)를 생성하는 것을 수반할 수 있다. 향상된 이미지(2002)는 초기 이미지(2001) 내의 소정의 선택된 픽셀들이 증폭되는 이미지일 수 있다. 선택된 픽셀들은 초기 이미지(2001) 내에서 상대적으로 더 낮은 값들(또는 약한 신호들)을 갖는 픽셀들일 수 있다. 일 실시예에서, 선택된 픽셀들은 예를 들어 초기 이미지 전체에 걸친 픽셀들의 평균 세기, 또는 주어진 임계값보다 낮은 신호 값들을 갖는 픽셀들이다. 다시 말해서, 초기 이미지(2001) 내의 더 약한 신호들을 갖는 픽셀들이 증폭되고, 이에 따라 초기 이미지(2001) 내의 1 이상의 피처를 향상시킨다. 예를 들어, 타겟 피처 주위의 2차 SRAF들이 증폭될 수 있는 약한 신호를 가질 수 있다. 따라서, 향상된 이미지(2002)는 (상기 방법에서 나중에 생성되는) 마스크 이미지 내에 포함될 수 있는 추가적인 피처들(또는 구조체들)을 강조하거나 식별할 수 있다. 마스크 이미지를 결정하는 종래의 방법(예를 들어, CTM 방법)에서, 초기 이미지 내의 약한 신호들은 무시될 수 있고, 이러한 것으로서 마스크 이미지는 초기 이미지(2001) 내의 약한 신호로부터 형성될 수 있는 피처들을 포함하지 않을 수 있다.
향상된 이미지(2002)의 생성은 초기 이미지(2001) 내의 약한 신호들을 증폭하기 위해 필터(예를 들어, 에지 검출 필터)와 같은 이미지 처리 작업을 적용하는 것을 수반한다. 대안적으로 또는 추가적으로, 이미지 처리 작업은 디블러링(deblurring), 평균화, 및/또는 피처 추출 또는 다른 유사한 작업들일 수 있다. 에지 검출 필터의 예시들은 프리윗(Prewitt) 연산자, 라플라시안(Laplacian) 연산자, LoG(Laplacian of Gaussian) 필터 등을 포함한다. 생성 단계는 초기 이미지(2001)의 원래의 강한 신호들을 수정하거나 수정하지 않고 초기 이미지(2001)의 원래 신호들과 초기 이미지(2001)의 증폭된 신호들을 조합하는 것을 더 수반할 수 있다. 예를 들어, 일 실시예에서, 초기 이미지(2001)에 걸친 1 이상의 위치(예를 들어, 접촉홀)에서의 1 이상의 픽셀 값에 대해, 원래 신호는 비교적 강할 수 있고(예를 들어, 150과 같은 소정 임계치 이상 또는 -50 미만), 그 후 1 이상의 위치(예를 들어, 접촉홀)에서의 원래 신호는 그 위치에 대한 증폭된 신호와 조합되거나 수정되지 않을 수 있다.
일 실시예에서, 초기 이미지(2001)에서의 잡음(예를 들어, 밝기 또는 컬러 또는 픽셀 값들의 랜덤 변동)도 증폭될 수 있다. 따라서, 대안적으로 또는 추가적으로, 평활화 프로세스가 적용되어 조합된 이미지에서 잡음(예를 들어, 밝기 또는 컬러 또는 픽셀 값들의 랜덤 변동)을 감소시킬 수 있다. 이미지 평활화 방법의 예시들은 가우시안 블러(Gaussian blur), 이동 평균(running average), 저역 필터 등을 포함한다.
일 실시예에서, 향상된 이미지(2002)는 에지 검출 필터를 사용하여 생성될 수 있다. 예를 들어, 에지 검출 필터가 초기 이미지(2001)에 적용되어, 초기 이미지(2001) 내의 1 이상의 피처의 에지들을 강조하는 필터링된 이미지를 생성할 수 있다. 결과적인 필터링된 이미지는 향상된 이미지(2002)를 생성하기 위해 원래 이미지[즉, 초기 이미지(2001)]와 더 조합될 수 있다. 일 실시예에서, 초기 이미지(2001)와 에지 필터링 후에 얻어진 이미지의 조합은 강한 신호들을 갖는 구역들을 수정하지 않고 약한 신호들을 갖는 초기 이미지(2001)의 부분들만을 수정하는 것을 수반할 수 있고, 조합 프로세스는 신호 강도에 기초하여 가중될 수 있다. 일 실시예에서, 약한 신호의 증폭은 필터링된 이미지 내의 잡음을 증폭할 수도 있다. 따라서, 일 실시예에 따르면, 조합된 이미지에 대해 평활화 프로세스가 수행될 수 있다. 이미지의 평활화는 잡음 또는 다른 미세-스케일 구조들/급속 현상을 배제하면서, 이미지에서 중요한 패턴들(예를 들어, 타겟 패턴, SRAF들)을 포착하려고 시도하는 근사 함수를 지칭할 수 있다. 평활화에서, 신호의 데이터 포인트들은 (아마도 잡음으로 인한) 개별 포인트들이 감소될 수 있도록 수정될 수 있고, 인접한 포인트들보다 낮을 수 있는 포인트들은 증가되어 더 평활한 신호 또는 더 평활한 이미지를 유도할 수 있다. 따라서, 평활화 작업 시, 감소된 잡음을 갖는 향상된 이미지(2002)의 추가 평활화 버전이 본 발명의 일 실시예에 따라 얻어질 수 있다.
도 5a는 초기 CTM 이미지(400)로부터 생성되는 예시적인 향상된 이미지(500)[향상된 이미지(2002)의 일 예시]를 나타낸다. 이미지(500)에서, 초기 CTM 이미지(400)의 몇몇 피처들이 향상된다. 예를 들어, 접촉홀(502) 및 제 1 SRAF(504)가 초기 CTM 이미지(400)에서의 홀(406) 및 SRAF(404)에 비해 (예를 들어, 세기 및 에지 선명도의 측면에서) 더 확연하다. 더 중요하게는, 접촉홀(502)로부터 멀리 그리고 제 1 SRAF(504)로부터 상대적으로 더 멀리 위치된 2차 이상의 SRAF들(506, 508 및/또는 510)이 초기 이미지(400)에서 보이는 것에 비해 더 큰 세기(또는 더 강한 신호)를 갖는다. 접촉홀(502)을 통과하는 라인(512)[초기 이미지(400)에서의 라인(412)에 대응함]을 따른 예시적인 신호(550)가 도 5b에 도시된다. 도 5b에서, 신호 550는 신호 450보다 강할 수 있다. 신호(550)는 비교적 큰 진폭들(또는 진폭의 변동)을 가지며, 예를 들어 신호 550는 신호 450에서 보이는 것보다 상대적으로 더 강하다.
일 실시예에서, 향상된 이미지(500)는 향상된 이미지(500)의 더 평활한 버전을 생성하기 위해, 앞서 논의된 바와 같이 평활화 함수를 사용하여 더 평활하게 될 수 있다. 예를 들어, 도 6a는 향상된 이미지(500)로부터 생성되는 평활한 향상된 이미지(600)를 나타낸다.
또한, 상기 방법은 프로세스 P203에서 향상된 이미지(2002)에 기초하여 레벨 세트 이미지(2003)를 생성하는 것을 수반할 수 있다. 제 1 반복에서, 향상된 이미지(2002)는 레벨 세트 이미지(2003)를 초기화하는 데 사용될 수 있다. 추후 반복들에서, 레벨 세트 이미지(2003)는 반복적으로 업데이트된다.
n 개의 실수 변수들의 실수값 함수(f)의 레벨 세트는 다음 형태의 세트이다:
2 차원 공간에서, 세트는 함수(f)가 주어진 값 c와 동일한 표면 상의 모든 점들을 정의하고, 레벨 세트 Lc(f)는 일반적으로 레벨 곡선, 윤곽(예를 들어, 곡선적 형상), 또는 등치선이라 하는 곡선이다. 2 차원 공간에서, 레벨 세트 함수(f)는 Ø로 표시되며, 이는 레벨 세트 이미지를 지칭한다.
앞선 수학식에서, f는 곡선적 마스크 에지가 주어진 상수 값 c로 존재하는 위치들(예를 들어, 아래의 프로세스 P205에서 논의되는 바와 같은 임계치 평면)을 결정하는 각각의 픽셀의 세기와 같은 마스크 변수들을 지칭한다.
일 실시예에서, 반복에서, 레벨 세트 이미지(2003)의 생성은 예를 들어 초기화 조건들 또는 (상기 방법에서 나중에 생성될 수 있는) 기울기 맵(gradient map)에 기초하여, 향상된 이미지(2002) 내의 변수들의 1 이상의 값(예를 들어, 1 이상의 위치에서의 픽셀 값들)을 수정하는 것을 수반할 수 있다. 예를 들어, 1 이상의 픽셀 값은 증가 또는 감소될 수 있다. 다시 말해서, 향상된 이미지(2002) 내의 1 이상의 신호의 진폭이 증가 또는 감소될 수 있다. 신호들의 이러한 수정된 진폭은 신호[예를 들어, 신호(550)]의 진폭의 변화량에 따라 상이한 곡선적 패턴들의 생성을 가능하게 한다. 따라서, 곡선적 패턴들은 비용 함수가 감소될 때까지, 일 실시예에서는 최소화될 때까지 점진적으로 진화한다. 일 실시예에서, 레벨 세트 이미지(2003)에 대해 추가 평활화가 수행될 수 있다.
또한, 프로세스 P205는 레벨 세트 이미지(2003)에 기초하여 곡선적 마스크 패턴들(2005)(예를 들어, 벡터 형태로 표현된 다각형 형상들을 가짐)을 생성하는 것을 수반한다. 곡선적 마스크 패턴들(2005)의 생성은 레벨 세트 이미지(2003)로부터 곡선적(또는 곡선) 패턴들을 추적하거나 생성하기 위해 레벨 세트 이미지(2003)의 임계화(thresholding)를 수반할 수 있다. 예를 들어, 임계화는 레벨 세트 이미지(2003)의 신호들과 교차하는 고정된 값을 갖는 임계치 평면(예를 들어, x-y 평면)을 사용하여 수행될 수 있다. 레벨 세트 이미지(2003)의 신호들과 임계치 평면의 교차는 곡선적 마스크 패턴들(2005)에 대한 곡선적 패턴들로서 기능하는 다각형 형상들을 형성하는 트레이싱(tracing) 또는 윤곽들(즉, 곡선 다각형 형상들)을 생성한다. 예를 들어, 레벨 세트 이미지(2003)는 (x,y) 평면에 평행한 0(zero) 평면과 교차될 수 있다. 따라서, 곡선적 마스크 패턴들(2005)은 앞서와 같이 생성되는 여하한의 곡선적 패턴들일 수 있다. 일 실시예에서, 레벨 세트 이미지(2003)로부터 추적되거나 생성되는 곡선적 패턴들은 향상된 이미지(2002)의 신호들에 의존한다. 예를 들어, 2차 SRAF(506)(또는 606)에 대한 신호가 향상된 이미지(2002)에 존재하지 않는 경우, 곡선적 패턴은 이러한 SRAF(506)(또는 606)에 대응하는 다각형 형상들을 생성하지 않을 수 있다. 이러한 것으로서, 이미지 향상 프로세스 P203는 최종 곡선적 마스크 패턴들에 대해 생성되는 패턴들의 개선을 용이하게 한다. 최종 곡선적 마스크 패턴들은 리소그래피 공정에서 사용하기 위한 마스크를 제조하기 위해 마스크 제조자에 의해 더 사용될 수 있다.
도 6a 및 도 6b는 향상된 이미지(500) 및/또는 초기 이미지에 대한 반복적인 업데이트들로부터 얻어지는 초기 레벨 세트 이미지(600)의 일 예시[레벨 세트 이미지(2003)의 일 예시]를 나타낸다. 레벨 세트 이미지(600)로부터, 타겟 패턴 및 SRAF들을 포함하는 상이한 패턴들의 윤곽들이 (예를 들어, P205의 임계화 프로세스를 사용하여) 생성된다. 예를 들어, 레벨 세트 이미지(600)는 타겟 패턴[즉, 접촉홀(302)]에 대응하는 윤곽(652), 윤곽(652) 주위의 1차 SRAF 윤곽(634), 및 631, 632, 635, 637, 및 636과 같은 다른 2차 또는 3차 SRAF 윤곽들을 포함할 수 있고, 각각의 이러한 SRAF는 레벨 세트 이미지(600) 및/또는 향상된 이미지(500)에서의 신호에 대응한다.
또한, 프로세스 P207는 마스크 이미지(2007)를 생성하기 위해 곡선적 마스크 패턴들(2005)을 렌더링(render)하는 것을 수반할 수 있다. 렌더링은 곡선적 마스크 패턴들에 대해 수행되는 표준 작업이며, 이는 직사각형 마스크 다각형들을 이산 그레이스케일 이미지 표현으로 전환하는 것과 유사한 프로세스이다. 이러한 프로세스는 연속 좌표(다각형)의 박스 함수(box function)를 이미지 픽셀들의 각 지점에서의 값들로 샘플링하는 것으로 이해될 수 있다.
상기 방법은 마스크 이미지(2007)에 기초하여 기판 상에 프린트될 수 있는 패턴(2009)을 생성하거나 예측하는 공정 모델들을 사용한 패터닝 공정의 순방향 시뮬레이션을 더 수반한다. 예를 들어, 프로세스 P209는 입력으로서 마스크 이미지(2007)를 사용하여 공정 모델을 실행 및/또는 시뮬레이션하는 것, 및 기판 상에 공정 이미지(2009)(예를 들어, 에어리얼 이미지, 레지스트 이미지, 에칭 이미지 등)를 생성하는 것을 수반할 수 있다. 일 실시예에서, 공정 모델은 레지스트 모델 및/또는 에칭 모델에 더 커플링되는 광학 모델에 커플링되는 마스크 투과 모델을 포함할 수 있다. 공정 모델의 출력은 시뮬레이션 프로세스 동안 상이한 공정 변동들을 고려한 공정 이미지(2009)일 수 있다. 공정 이미지는, 예를 들어 공정 이미지 내의 패턴들의 윤곽들을 추적함으로써 패터닝 공정의 파라미터들(예를 들어, EPE, CD, 오버레이, 사이드로브 등)을 결정하기 위해 더 사용될 수 있다. 파라미터들은 비용 함수를 정의하기 위해 더 사용될 수 있으며, 이는 비용 함수가 감소되거나, 일 실시예에서 최소화되도록 마스크 이미지(2007)를 최적화하기 위해 더 사용된다.
프로세스 P211에서, 공정 모델 이미지(2009)(시뮬레이션된 기판 이미지 또는 기판 이미지 또는 웨이퍼 이미지라고도 함)에 기초하여 비용 함수가 평가될 수 있다. 따라서, 비용 함수는 패터닝 공정의 변동들이 패터닝 공정에서의 변동들을 설명하는 곡선적 마스크 패턴들의 생성을 가능하게 하는 공정 인지(process aware)로서 고려될 수 있다. 예를 들어, 비용 함수는 에지 배치 오차(EPE), 사이드로브, 평균 제곱 오차(MSE) 또는 공정 이미지 내의 패턴들의 윤곽에 기초하여 정의되는 다른 적절한 변수일 수 있다. EPE는 1 이상의 패턴과 연계된 에지 배치 오차 및/또는 공정 모델 이미지(2009)의 모든 패턴들 및 대응하는 타겟 패턴들과 관련된 모든 에지 배치 오차들의 합계일 수 있다. 일 실시예에서, 비용 함수는 동시에 감소되거나 최소화될 수 있는 1보다 많은 조건들을 포함할 수 있다. 예를 들어, MRC 위반 확률에 추가하여, 결함들의 수, EPE, 오버레이, CD 또는 다른 파라미터가 포함될 수 있고, 모든 조건들이 동시에 감소(또는 최소화)될 수 있다.
또한, 1 이상의 기울기 맵(추후 논의됨)이 비용 함수(예를 들어, EPE)에 기초하여 생성될 수 있고, 마스크 변수들은 이러한 기울기 맵(들)에 기초하여 수정될 수 있다. 마스크 변수들(MV)은 레벨 세트 이미지의 세기들(Ø)을 지칭한다. 따라서, 기울기 연산은 dEPE/dØ로 표현될 수 있고, 기울기 값들은 마스크 이미지(MI)로부터 레벨 세트 이미지에 대한 곡선적 마스크 다각형들로의 역 수학적 관계를 포착함으로써 업데이트된다. 따라서, 마스크 이미지로부터 곡선적 마스크 다각형으로, 및 곡선적 마스크 다각형으로부터 레벨 세트 이미지로 마스크 이미지에 대한 비용 함수의 연쇄 도함수가 연산될 수 있으며, 이는 레벨 세트 이미지에서 마스크 변수들의 값들의 수정을 허용한다.
일 실시예에서, 이미지 정규화는 생성될 수 있는 마스크 패턴들의 복잡도를 감소시키기 위해 추가될 수 있다. 이러한 이미지 정규화는 마스크 규칙 체크(MRC)일 수 있다. MRC는 마스크 제조 공정 또는 장치의 제한 조건들을 지칭한다. 따라서, 비용 함수는 예를 들어 EPE 및 MRC 위반 페널티에 기초하는 상이한 성분들을 포함할 수 있다. 페널티는 위반량, 예를 들어 마스크 측정과 주어진 MRC 또는 마스크 파라미터[예를 들어, 마스크 패턴 폭과 허용된(예를 들어, 최소 또는 최대) 마스크 패턴 폭] 사이의 차이에 의존하는 비용 함수의 항일 수 있다. 따라서, 본 발명의 일 실시예에 따르면, 마스크 패턴들이 디자인될 수 있고, 대응하는 마스크가 패터닝 공정의 순방향 시뮬레이션에 기초할 뿐만 아니라 추가적으로 마스크 제조 장치/공정의 제조 한계들에도 기초하여 제작될 수 있다. 따라서, 예를 들어 프린트된 패턴에 대한 EPE 또는 오버레이의 측면에서 높은 수율(즉, 최소 결함) 및 높은 정확성을 생성하는 제조가능한 곡선적 마스크가 얻어질 수 있다.
이상적으로, 공정 이미지에 대응하는 패턴은 타겟 패턴과 정확하게 동일하여야 하지만, 이러한 정확한 타겟 패턴들은 실현가능하지 않을 수 있고(예를 들어, 통상적으로 날카로운 코너들), 패터닝 공정 자체의 변동들 및/또는 패터닝 공정의 모델들의 근사들로 인해 일부 충돌들이 도입된다.
상기 방법의 제 1 반복에서, 마스크 이미지(2007)는 타겟 패턴[예를 들어, 타겟 패턴(300)]과 유사한 (레지스트 이미지 내의) 패턴을 생성하지 않을 수 있다. 레지스트 이미지(또는 에칭 이미지)에서의 프린트된 패턴의 정확성 또는 수락의 결정은 EPE와 같은 비용 함수에 기초할 수 있다. 예를 들어, 레지스트 패턴의 EPE가 높은 경우, 이는 마스크 이미지(2007)를 사용한 프린트된 패턴이 수용가능하지 않고 레벨 세트 이미지(2003) 내의 패턴들이 수정되어야 한다는 것을 나타낸다.
마스크 이미지(2007)가 수용가능한지의 여부를 결정하기 위해, 프로세스 P213는 비용 함수가 감소 또는 최소화되는지의 여부, 또는 주어진 반복 수에 도달되는지의 여부를 결정하는 것을 수반할 수 있다. 예를 들어, 이전 반복의 EPE 값이 현재 반복의 EPE 값과 비교되어, EPE가 감소, 최소화, 또는 수렴되었는지(즉, 프린트된 패턴의 실질적인 개선이 관찰되지 않음)를 결정할 수 있다. 비용 함수가 최소화되는 경우, 상기 방법은 정지할 수 있고, 생성되는 곡선적 마스크 패턴 정보가 최적화된 결과로서 고려된다.
하지만, 비용 함수가 감소되거나 최소화되지 않은 경우, 마스크 관련 변수들 또는 향상된 이미지 관련 변수(예를 들어, 픽셀 값들)가 업데이트될 수 있다. 일 실시예에서, 업데이트는 기울기-기반 방법에 기초할 수 있다. 예를 들어, 비용 함수가 감소되지 않은 경우, 상기 방법(2000)은 (프로세스 P203의) 레벨 세트 이미지(2003) 또는 레벨 세트 이미지의 변수들을 더 수정하는 방식을 나타내는 프로세스들 P215 및 P217을 수행한 후 마스크 이미지를 생성하는 다음 반복으로 진행한다.
프로세스 P215는 비용 함수에 기초하여 기울기 맵(2015)을 생성하는 것을 수반할 수 있다. 기울기 맵은 비용 함수의 도함수 및/또는 편도함수일 수 있다. 일 실시예에서, 비용 함수의 편도함수는 마스크 이미지의 픽셀들에 대해 결정될 수 있고, 도함수는 레벨 세트 이미지(2003)의 변수들에 대해 편도함수를 결정하기 위해 더 연쇄될 수 있다. 이러한 기울기 연산은 마스크 이미지(2007)와 레벨 세트 이미지(2003) 사이의 역 관계들을 결정하는 것을 수반할 수 있다. 또한, 프로세스 P205 및 P203에서 수행되는 여하한의 평활화 작업(또는 함수)의 역 관계가 고려되어야 한다.
기울기 맵(2015)은 비용 함수의 값이 감소되는, 일 실시예에서는 최소화되는 방식으로 마스크 변수들의 값들(즉, 레벨 세트 이미지 또는 CTM 이미지의 값들)을 증가 또는 감소시키는 것에 대한 권고를 제공할 수 있다. 일 실시예에서, 최적화 알고리즘이 기울기 맵(2015)에 적용되어 마스크 변수 값들을 결정할 수 있다. 일 실시예에서, 최적화 솔버[예를 들어, BFGS(Broyden-Fletcher-Goldfarb-Shanno)의 2차 솔버]가 (프로세스 P217에서) 기울기-기반 연산을 수행하는 데 사용될 수 있다.
일 실시예에서, 반복에 대해, 레벨 세트 이미지의 변수들이 변화될 수 있는 한편, 임계치 평면은 고정되거나 변화되지 않는 채로 유지되어 비용 함수를 점진적으로 감소시키거나 최소화할 수 있다. 따라서, 생성되는 곡선적 패턴들은 반복 동안 비용 함수가 감소되거나, 일 실시예에서 최소화되도록 점진적으로 진화할 수 있다. 또 다른 실시예에서, 임계치 평면뿐 아니라 레벨 세트 이미지의 변수들은 둘 다 변화되어 최적화 프로세스의 더 빠른 수렴을 달성할 수 있다. 여러 반복들 및/또는 비용 함수의 최소화가 CTM+ 결과들의 최종 세트(즉, 향상된 이미지, 레벨 세트 이미지, 또는 곡선적 마스크의 수정된 버전)를 유도할 수 있다. 예를 들어, 도 7a는 최종 레벨 세트 이미지(700) 및 다각형 형상들, 예를 들어 초기 향상된 이미지(500) 또는 초기 레벨 세트 이미지(600)에서의 SRAF들의 점진적 왜곡들의 결과인 SRAF들(731, 725, 및 706)을 포함하는 (도 7b에서의) 곡선적 마스크 패턴들(750)의 대응하는 그림 표현을 나타낸다.
본 발명의 일 실시예에서, 그레이스케일 이미지를 갖는 CTM 최적화로부터 곡선적 마스크를 갖는 CTM+ 최적화로의 전이는 레벨 세트 프로세스 및 임계화 프로세스(즉, P203 및 P205)를, 시그모이드 변환이 향상된 이미지(2002)에 적용되고 기울기 연산의 대응하는 변화가 수행되는 상이한 프로세스로 대체함으로써 단순화될 수 있다. 향상된 이미지(2002)의 시그모이드 변환은 (예를 들어, 비용 함수를 최소화하는) 최적화 프로세스 동안 곡선적 패턴으로 점진적으로 진화하는 변환된 이미지를 생성한다. 반복 또는 최적화 단계 동안, 시그모이드 함수에 관련된 변수들[예를 들어, 경사도(steepness) 및/또는 임계치]이 기울기 연산에 기초하여 수정될 수 있다. 시그모이드 변환이 연속적인 반복들에서 더 뾰족해짐에 따라(예를 들어, 시그모이드 변환의 기울기의 경사도의 증가), CTM 이미지로부터 최종 CTM+ 이미지로의 점진적인 전이가 달성되어 곡선적 마스크 패턴들을 갖는 최종 CTM+ 최적화에서 개선된 결과들을 허용할 수 있다.
본 발명의 일 실시예에서, 결과가 선택된 또는 원하는 속성들을 갖게 하기 위해, 최적화의 반복 루프에 추가적인 단계들/프로세스가 삽입될 수 있다. 예를 들어, 평활도가 평활화 단계를 추가함으로써 보장될 수 있거나, 다른 필터가 사용되어 이미지가 수평/수직 구조체들을 선호하게 할 수 있다.
본 방법은 여러 특징들 또는 실시형태를 갖는다. 예를 들어, 최적화 흐름에서 시딩(seeding)으로서 더 사용되는 신호를 개선하기 위해 이미지 향상 방법들과 최적화된 CTM 마스크 이미지를 사용한다. 또 다른 실시형태에서, (CTM+라고 하는) CTM 기술과 레벨 세트 방법의 사용이 곡선적 마스크 패턴들의 생성을 가능하게 한다. 또 다른 실시형태에서, 기울기 연산의 완전한 공식화(즉, 폐쇄 루프 공식화)가 레벨 세트 최적화를 위해 헤시안(Hessian) 기반(2차) 솔버를 사용하게 한다. CTM+ 결과들은 국부적 솔루션으로서(핫스폿 수리로서) 사용되거나, 풀 칩 솔루션으로서 사용될 수 있다. CTM+ 결과들은 입력으로서 기계 학습과 함께 사용될 수 있고, 또한 이는 기계 학습을 사용할 가능성이 CTM+ 속도를 높이게 한다. 또 다른 실시형태에서, 상기 방법은 결과들을 개선하기 위한 이미지 정규화 방법들을 포함한다. 또 다른 실시형태에서, 상기 방법은 그레이스케일 이미지 CTM로부터 바이너리 곡선적 마스크 CTM+로의 더 매끄러운 전이를 달성하기 위해 연속적인 최적화 스테이지들을 수반한다. 상기 방법은 결과들을 개선하기 위해 최적화의 임계치를 튜닝하는 것을 허용한다. 상기 방법은 결과들의 우수한 속성을 강요(CTM+ 이미지에서 평활도를 요구)하기 위해 최적화의 반복으로 추가적인 변환을 포함한다.
리소그래피 노드가 계속해서 축소됨에 따라, 이는 점점 더 복잡한 마스크를 필요로 한다. (IMS에 의한) 멀티-e-빔 라이터의 최근의 약진으로, 적어도 본 발명에 따른 완전 곡선적 마스크는 핵심 층들에서 DUV 스캐너, EUV 스캐너, 및/또는 다른 스캐너들과 사용될 수 있는 것으로 여겨진다.
본 발명에 따른 방법은 소스 마스크 최적화, 마스크 최적화, 및/또는 OPC를 포함하는 마스크 최적화 프로세스의 상이한 측면들에 포함될 수 있고, 적절한 곡선적 마스크 패턴이 결정될 수 있다.
도 9는 본 명세서에 개시된 방법들, 흐름들 및 장치를 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 본 명세서에 설명된 1 이상의 방법의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀이 전화선 상의 데이터를 수신하고, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 보편적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 명세서에 설명된 방법의 일부 또는 전부를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 10은 본 명세서에 설명된 기술들이 이용될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)을 컨디셔닝(condition)하는 조명 시스템(IL) -이러한 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함함- ;
- 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기에 연결되는 제 1 대상물 테이블(예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기에 연결되는 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭 광학 시스템]을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 패터닝 디바이스를 가짐). 하지만, 일반적으로 이는 예를 들어 (반사 패터닝 디바이스를 갖는) 반사형으로 구성될 수도 있다. 상기 장치는 전형적인 마스크로 상이한 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저, LPP(레이저 생성 플라즈마) EUV 소스]는 방사선 빔을 생성한다. 예를 들어, 이 빔은 곧바로 또는 빔 익스팬더(beam expander: Ex)와 같은 컨디셔닝 수단을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
도 10과 관련하여, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 거울들의 도움으로) 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PS)를 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커스한다. 제 2 위치설정 수단[및 간섭 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 10에 명확히 도시되지는 않는다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 빔(B)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다.
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PS)의 배율이다(통상적으로, M = 1/4 또는 1/5). 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 11은 본 명세서에 설명된 기술들이 이용될 수 있는 또 다른 예시적인 리소그래피 투영 장치(1000)를 개략적으로 도시한다.
리소그래피 투영 장치(1000)는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치(1000)는 (예를 들어, 반사 패터닝 디바이스를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 11을 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 11에 도시되지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울들 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 12는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 더 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 12에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 12에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 13에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
본 실시예들은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 패터닝 디바이스의 곡선적 패턴을 결정하는 방법으로서,
(ⅰ) 패터닝 공정을 거치는 기판 상에 프린트될 타겟 패턴에 대응하는 패터닝 디바이스의 초기 이미지, 및 (ⅱ) 초기 이미지로부터 기판 상의 패턴을 예측하도록 구성되는 공정 모델을 얻는 단계;
하드웨어 컴퓨터 시스템에 의해, 초기 이미지로부터 향상된 이미지를 생성하는 단계;
하드웨어 컴퓨터 시스템에 의해, 향상된 이미지를 이용하여 레벨 세트 이미지를 생성하는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 레벨 세트 이미지, 공정 모델, 및 비용 함수에 기초하여 패터닝 디바이스에 대한 곡선적 패턴을 반복적으로 결정하는 단계를 포함하며, 비용 함수는 예측된 패턴과 타겟 패턴 사이의 차이를 결정하고, 차이는 반복적으로 감소되는 방법.
2. 1 항에 있어서, 향상된 이미지를 생성하는 단계는:
초기 이미지 전체에 걸친 픽셀들의 평균 세기에 비해 상대적으로 낮은 세기들을 갖는 픽셀들을 선택하는 단계;
초기 이미지의 선택된 픽셀들의 세기들을 증폭시키는 단계; 및
향상된 이미지를 생성하기 위해 초기 이미지 내의 픽셀들의 세기들과 선택된 픽셀들의 세기들을 조합하는 단계를 포함하는 방법.
3. 1 항 또는 2 항에 있어서, 초기 이미지의 픽셀들의 세기들을 증폭시키는 단계는 에지 검출 필터를 포함한 이미지 처리 작업에 기초하는 방법.
4. 2 항 또는 3 항에 있어서, 선택된 픽셀들은 1차, 2차, 3차 및/또는 4차 분해능-이하 어시스트 피처에 대응하고, 2차 분해능-이하 어시스트 피처는 1차 분해능-이하 피처보다 타겟 패턴에 대응하는 피처들로부터 더 먼 거리에 위치된 어시스트 피처인 방법.
5. 1 항 내지 4 항 중 어느 하나에 있어서, 향상된 이미지의 생성은:
향상된 이미지로부터 잡음을 필터링하는 단계; 및
향상된 이미지에 평활화 작업을 수행하는 단계를 더 포함하는 방법.
6. 1 항 내지 5 항 중 어느 하나에 있어서, 곡선적 패턴을 생성하는 단계는:
향상된 이미지를 레벨 세트 이미지로 전환하는 단계를 포함하는 방법.
7. 1 항 내지 6 항 중 어느 하나에 있어서, 곡선적 패턴을 결정하는 반복은:
레벨 세트 이미지에 대응하는 마스크 변수들을 수정하는 단계;
레벨 세트 이미지를 교차하는 임계치 평면 상의 윤곽을 추적하는 단계 -윤곽은 곡선적 패턴에 대응함- ;
비용 함수의 기울기를 결정하는 단계; 및
비용 함수가 감소되도록 비용 함수의 기울기에 기초하여 레벨 세트 이미지의 마스크 변수들의 값들을 최적화하는 단계를 포함하는 방법.
8. 1 항 내지 7 항 중 어느 하나에 있어서, 비용 함수는 최소화되는 방법.
9. 8 항에 있어서, 비용 함수는 에지 배치 오차, 사이드로브 프린팅 페널티, 및/또는 마스크 규칙 체크 위반 페널티를 포함하는 방법.
10. 1 항 내지 5 항 중 어느 하나에 있어서,
하드웨어 컴퓨터 시스템에 의해, 곡선적 마스크를 사용하여 패터닝 공정을 통해 기판 상에 패턴들을 프린트하는 패터닝 단계를 수행하는 단계를 더 포함하는 방법.
11. 1 항 내지 10 항 중 어느 하나에 있어서, 초기 이미지는 타겟 패턴에 대응하는 피처들 및 어시스트 피처들을 포함하는 연속 투과 마스크 이미지인 방법.
12. 1 항 내지 11 항 중 어느 하나에 있어서,
곡선적 패턴에 대응하는 구조적 피처들을 포함하는 패터닝 디바이스를 제조하는 단계를 더 포함하는 방법.
13. 12 항에 있어서, 구조적 피처들은 어시스트 피처들 및/또는 윤곽 수정을 포함한 광 근접 보정들에 대응하는 방법.
14. 12 항에 있어서, 리소그래피 장치를 통해 패터닝 디바이스의 곡선적 패턴을 기판에 전사하는 단계를 더 포함하는 방법.
15. 패터닝 디바이스의 곡선적 패턴을 결정하는 방법으로서,
(ⅰ) 패터닝 공정을 거치는 기판 상에 프린트될 타겟 패턴에 대응하는 패터닝 디바이스의 초기 이미지, 및 (ⅱ) 초기 이미지로부터 기판 상의 패턴을 예측하도록 구성되는 공정 모델을 얻는 단계;
하드웨어 컴퓨터 시스템에 의해, 연속 마스크 이미지로서 초기 이미지로부터 바이너리 변환을 적용함으로써 변환된 이미지를 생성하는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 변환된 이미지, 공정 모델, 및 비용 함수에 기초하여 패터닝 디바이스에 대한 곡선적 패턴을 반복적으로 결정하는 단계를 포함하며, 비용 함수는 예측된 패턴과 타겟 패턴 사이의 차이를 결정하고, 차이는 반복적으로 감소되는 방법.
16. 15 항에 있어서, 곡선적 패턴을 결정하는 반복은:
변환된 이미지의 초기 이미지에 대응하는 마스크 변수들을 수정하는 단계;
비용 함수의 기울기를 결정하는 단계; 및
비용 함수가 감소되도록 비용 함수의 기울기에 기초하여 레벨 세트 이미지의 마스크 변수들의 값들을 결정하는 단계를 포함하는 방법.
17. 15 항 또는 16 항에 있어서, 비용 함수는 최소화되는 방법.
18. 17 항에 있어서, 비용 함수는 에지 배치 오차, 사이드로브 프린팅 페널티, 및/또는 마스크 규칙 체크 위반 페널티를 포함하는 방법.
19. 1 항 내지 18 항 중 어느 하나에 있어서,
하드웨어 컴퓨터 시스템에 의해, 초기 이미지로부터 향상된 이미지를 생성하는 단계;
하드웨어 컴퓨터 시스템에 의해, 연속 마스크 이미지로서 향상된 이미지에 바이너리 변환을 적용함으로써 변환된 이미지를 생성하는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 비용 함수가 감소되도록 변환된 이미지, 공정 모델, 및 비용 함수에 기초하여 패터닝 디바이스에 대한 곡선적 패턴을 반복적으로 결정하는 단계를 더 포함하는 방법.
20. 1 항 내지 19 항 중 어느 하나에 있어서, 바이너리 변환은 로지스틱 함수, 계단 함수, 및/또는 시그모이드 함수에 의해 수행되는 방법.
21. 15 항 내지 20 항 중 어느 하나에 있어서,
곡선적 마스크를 사용하여 패터닝 공정을 통해 기판 상에 패턴들을 프린트하는 패터닝 단계를 수행하는 단계를 더 포함하는 방법.
22. 15 항 내지 21 항 중 어느 하나에 있어서, 초기 이미지는 타겟 패턴에 대응하는 피처들 및 분해능-이하 어시스트 피처들을 포함하는 연속 투과 마스크 이미지인 방법.
23. 15 항 내지 22 항 중 어느 하나에 있어서,
패터닝 디바이스를 제작하는 곡선적 패턴에 대응하는 구조적 피처들을 통합하는 단계를 더 포함하는 방법.
24. 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품으로서,
명령어들은 컴퓨터에 의해 실행될 때, 앞선 항들 중 어느 하나의 방법을 구현하는 컴퓨터 프로그램 제품.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들로 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (16)

  1. 패터닝 디바이스의 곡선적 패턴을 결정하는 방법으로서,
    (ⅰ) 패터닝 공정을 거치는 기판 상에 프린트될 타겟 패턴에 대응하는 패터닝 디바이스의 초기 이미지, 및 (ⅱ) 초기 이미지로부터 기판 상의 패턴을 예측하도록 구성되는 공정 모델을 얻는 단계;
    연속 마스크 이미지로서 초기 이미지로부터 바이너리 변환을 적용함으로써 변환된 이미지를 생성하는 단계; 및
    하드웨어 컴퓨터 시스템에 의해, 변환된 이미지, 공정 모델, 및 비용 함수에 기초하여 패터닝 디바이스에 대한 곡선적 패턴을 반복적으로 결정하는 단계를 포함하며, 비용 함수는 예측된 패턴과 타겟 패턴 사이의 차이를 결정하고, 차이는 반복적으로 감소되는 방법.
  2. 제 1 항에 있어서,
    곡선적 패턴을 결정하는 반복은,
    변환된 이미지의 초기 이미지에 대응하는 마스크 변수들을 수정하는 단계;
    비용 함수의 기울기를 결정하는 단계; 및
    비용 함수가 감소되도록 비용 함수의 기울기에 기초하여 레벨 세트 이미지의 마스크 변수들의 값들을 결정하는 단계를 포함하는 방법.
  3. 제 1 항에 있어서,
    비용 함수는 최소화되는 방법.
  4. 제 3 항에 있어서,
    비용 함수는 에지 배치 오차, 사이드로브 프린팅 페널티 또는 마스크 규칙 체크 위반 페널티를 포함하는 방법.
  5. 제 1 항에 있어서,
    하드웨어 컴퓨터 시스템에 의해, 초기 이미지로부터 향상된 이미지를 생성하는 단계;
    하드웨어 컴퓨터 시스템에 의해, 연속 마스크 이미지로서 향상된 이미지에 바이너리 변환을 적용함으로써 변환된 이미지를 생성하는 단계; 및
    하드웨어 컴퓨터 시스템에 의해, 비용 함수가 감소되도록 변환된 이미지, 공정 모델, 및 비용 함수에 기초하여 패터닝 디바이스에 대한 곡선적 패턴을 반복적으로 결정하는 단계를 더 포함하는 방법.
  6. 제 1 항에 있어서,
    바이너리 변환은 로지스틱 함수, 계단 함수 또는 시그모이드 함수에 의해 수행되는 방법.
  7. 제 1 항에 있어서,
    곡선적 마스크를 사용하여 패터닝 공정을 통해 기판 상에 패턴들을 프린트하는 패터닝 단계를 수행하는 단계를 더 포함하는 방법.
  8. 제 1 항에 있어서,
    초기 이미지는 타겟 패턴에 대응하는 피처들 및 분해능-이하 어시스트 피처들을 포함하는 연속 투과 마스크 이미지인 방법.
  9. 제 1 항에 있어서,
    패터닝 디바이스를 제작하는 곡선적 패턴에 대응하는 구조적 피처들을 통합하는 단계를 더 포함하는 방법.
  10. 제 5 항에 있어서,
    향상된 이미지를 생성하는 단계는,
    초기 이미지 전체에 걸쳐 픽셀의 평균 세기와 비교하여 상대적으로 낮은 세기를 갖는 픽셀을 선택하는 단계;
    초기 이미지의 선택된 픽셀의 세기를 증폭시키는 단계; 및
    향상된 이미지를 생성하기 위해 선택된 픽셀의 강도를 초기 이미지의 픽셀의 강도와 조합하는 단계를 포함하는 방법.
  11. 제 10 항에 있어서,
    초기 이미지의 픽셀들의 세기들을 증폭시키는 단계는 에지 검출 필터를 포함한 이미지 처리 작업에 기초하는 방법.
  12. 제 10 항에 있어서,
    선택된 픽셀들은 1차, 2차, 3차 또는 4차 분해능-이하 어시스트 피처에 대응하고, 2차 분해능-이하 어시스트 피처는 1차 분해능-이하 피처보다 타겟 패턴에 대응하는 피처들로부터 더 먼 거리에 위치된 어시스트 피처인 방법.
  13. 제 5 항에 있어서,
    향상된 이미지의 생성은,
    향상된 이미지로부터 잡음을 필터링하는 단계; 및
    향상된 이미지에 평활화 작업을 수행하는 단계를 더 포함하는 방법.
  14. 제 5 항에 있어서,
    곡선적 패턴을 생성하는 단계는, 향상된 이미지를 레벨 세트 이미지로 전환하는 단계를 포함하는 방법.
  15. 제 5 항에 있어서,
    곡선적 패턴을 결정하는 반복은,
    레벨 세트 이미지에 대응하는 마스크 변수들을 수정하는 단계;
    레벨 세트 이미지를 교차하는 임계치 평면 상의 윤곽을 추적하는 단계 -윤곽은 곡선적 패턴에 대응함- ;
    비용 함수의 기울기를 결정하는 단계; 및
    비용 함수가 감소되도록 비용 함수의 기울기에 기초하여 레벨 세트 이미지의 마스크 변수들의 값들을 최적화하는 단계를 포함하는 방법.
  16. 명령어를 포함하는 비-일시적 기록매체에 저장된 컴퓨터 프로그램에 있어서, 명령어는 제 1 항 내지 제 15 항 중 어느 한 항의 방법을 수행하도록 구성된, 비-일시적 기록매체에 저장된 컴퓨터 프로그램.
KR1020227045133A 2018-03-19 2019-02-28 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법 KR102641864B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862645155P 2018-03-19 2018-03-19
US62/645,155 2018-03-19
PCT/EP2019/055067 WO2019179747A1 (en) 2018-03-19 2019-02-28 Method for determining curvilinear patterns for patterning device
KR1020207027085A KR102481727B1 (ko) 2018-03-19 2019-02-28 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207027085A Division KR102481727B1 (ko) 2018-03-19 2019-02-28 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법

Publications (2)

Publication Number Publication Date
KR20230004954A KR20230004954A (ko) 2023-01-06
KR102641864B1 true KR102641864B1 (ko) 2024-02-29

Family

ID=65686837

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227045133A KR102641864B1 (ko) 2018-03-19 2019-02-28 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법
KR1020207027085A KR102481727B1 (ko) 2018-03-19 2019-02-28 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207027085A KR102481727B1 (ko) 2018-03-19 2019-02-28 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법

Country Status (5)

Country Link
US (2) US11232249B2 (ko)
KR (2) KR102641864B1 (ko)
CN (2) CN117706864A (ko)
TW (2) TWI757950B (ko)
WO (1) WO2019179747A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102644214B1 (ko) * 2018-02-23 2024-03-07 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
US11232249B2 (en) * 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
CN113168085A (zh) * 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
WO2020135946A1 (en) 2018-12-28 2020-07-02 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
US20230044490A1 (en) 2019-12-13 2023-02-09 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
KR20210129371A (ko) * 2020-04-20 2021-10-28 삼성전자주식회사 광 근접 효과 보정 방법 및 이를 포함하는 마스크 제작 방법
US20230185187A1 (en) * 2020-06-02 2023-06-15 Asml Netherlands B.V. Verifying freeform curvilinear features of a mask design
IL299807A (en) * 2020-07-14 2023-03-01 Asml Netherlands Bv Device and methods for creating a denoising model
WO2022258398A1 (en) * 2021-06-07 2022-12-15 Asml Netherlands B.V. Determining rounded contours for lithography related patterns
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
TW202349131A (zh) * 2022-02-25 2023-12-16 美商科文特股份有限公司 執行3d光阻輪廓生成的系統及方法
TWI790161B (zh) * 2022-04-21 2023-01-11 友達光電股份有限公司 X射線曝光偵測方法及x射線曝光偵測系統

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070011644A1 (en) 2003-04-06 2007-01-11 Daniel Abrams Optimized photomasks for photolithography
US20110022994A1 (en) 2009-07-22 2011-01-27 Luminescent Technologies Inc. Determining Source Patterns for Use in Photolithography
US20110230999A1 (en) 2008-11-21 2011-09-22 Luoqi Chen Fast Freeform Source and Mask Co-Optimization Method
JP2012104823A (ja) 2010-11-10 2012-05-31 Asml Netherlands Bv 光源、マスクおよび投影光学系の最適化
US20120137260A1 (en) 2010-11-29 2012-05-31 Linyong Pang Virtual Photo-Mask Critical-Dimension Measurement
US20130139116A1 (en) 2011-11-28 2013-05-30 Luminescent Technologies, Inc. Lithographically enhanced edge determination

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP0806707A1 (en) * 1996-05-08 1997-11-12 Texas Instruments Incorporated Microlithographic imaging system
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7124394B1 (en) 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7266803B2 (en) 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7856612B1 (en) * 2006-09-28 2010-12-21 Gauda, Inc. Lithography mask design through mask functional optimization and spatial frequency analysis
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US8111380B2 (en) 2007-09-14 2012-02-07 Luminescent Technologies, Inc. Write-pattern determination for maskless lithography
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8120679B2 (en) * 2008-08-01 2012-02-21 Nikon Corporation Image processing method
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8245159B2 (en) * 2009-08-05 2012-08-14 International Business Machines Corporation Gradient based search mechanism for optimizing photolithograph masks
JP5198420B2 (ja) * 2009-12-18 2013-05-15 株式会社日立ハイテクノロジーズ 画像処理装置、及び、測定/検査システム、並びに、プログラム
NL2005804A (en) * 2010-01-14 2011-07-18 Asml Netherlands Bv Method and apparatus for enhancing signal strength for improved generation and placement of model-based sub-resolution assist features (mb-sraf).
US8404403B2 (en) * 2010-06-25 2013-03-26 Intel Corporation Mask design and OPC for device manufacture
US8812999B2 (en) 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
US9310674B2 (en) * 2014-02-20 2016-04-12 International Business Machines Corporation Mask that provides improved focus control using orthogonal edges
CN106164777B (zh) * 2014-04-14 2019-06-18 Asml荷兰有限公司 光刻过程的优化流程
WO2016050584A1 (en) 2014-10-02 2016-04-07 Asml Netherlands B.V. Rule-based deployment of assist features
WO2016091534A1 (en) 2014-12-09 2016-06-16 Asml Netherlands B.V. Method and apparatus for image analysis
US10546790B2 (en) 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10657213B2 (en) * 2017-12-22 2020-05-19 D2S, Inc. Modeling of a design in reticle enhancement technology
US10990003B2 (en) * 2018-02-18 2021-04-27 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
US11232249B2 (en) * 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070011644A1 (en) 2003-04-06 2007-01-11 Daniel Abrams Optimized photomasks for photolithography
US20110230999A1 (en) 2008-11-21 2011-09-22 Luoqi Chen Fast Freeform Source and Mask Co-Optimization Method
US20110022994A1 (en) 2009-07-22 2011-01-27 Luminescent Technologies Inc. Determining Source Patterns for Use in Photolithography
JP2012104823A (ja) 2010-11-10 2012-05-31 Asml Netherlands Bv 光源、マスクおよび投影光学系の最適化
US20120137260A1 (en) 2010-11-29 2012-05-31 Linyong Pang Virtual Photo-Mask Critical-Dimension Measurement
US20130139116A1 (en) 2011-11-28 2013-05-30 Luminescent Technologies, Inc. Lithographically enhanced edge determination

Also Published As

Publication number Publication date
TW201945829A (zh) 2019-12-01
US20220121804A1 (en) 2022-04-21
WO2019179747A1 (en) 2019-09-26
US11232249B2 (en) 2022-01-25
CN111868625A (zh) 2020-10-30
TW202127138A (zh) 2021-07-16
KR20230004954A (ko) 2023-01-06
TWI711877B (zh) 2020-12-01
US20210048753A1 (en) 2021-02-18
US11734490B2 (en) 2023-08-22
KR102481727B1 (ko) 2022-12-29
CN111868625B (zh) 2024-01-23
TWI757950B (zh) 2022-03-11
KR20200123203A (ko) 2020-10-28
CN117706864A (zh) 2024-03-15

Similar Documents

Publication Publication Date Title
KR102641864B1 (ko) 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법
KR102444680B1 (ko) 이진화 방법 및 프리폼 마스크 최적화 흐름
US11086230B2 (en) Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
TWI718017B (zh) 繞射圖案導引之源光罩最佳化的方法及裝置
US20220390832A1 (en) Optimization using a non-uniform illumination intensity profile
KR102646683B1 (ko) 고 개구수 스루-슬릿 소스 마스크 최적화 방법
TWI791216B (zh) 判定用於基板上之圖案的部分之度量
TWI786640B (zh) 用於驗證光罩設計之特徵之電腦可讀媒體

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right