KR20230065371A - 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화 - Google Patents

성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화 Download PDF

Info

Publication number
KR20230065371A
KR20230065371A KR1020237014695A KR20237014695A KR20230065371A KR 20230065371 A KR20230065371 A KR 20230065371A KR 1020237014695 A KR1020237014695 A KR 1020237014695A KR 20237014695 A KR20237014695 A KR 20237014695A KR 20230065371 A KR20230065371 A KR 20230065371A
Authority
KR
South Korea
Prior art keywords
wavefront
lens
performance
pupil
pattern
Prior art date
Application number
KR1020237014695A
Other languages
English (en)
Inventor
두안-푸 스테판 휴
크리스토프 레네 콘라드 케불라 헨네르케스
라파엘 씨. 호웰
잔 쉬
시아오양 리
프랭크 스탈스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20230065371A publication Critical patent/KR20230065371A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • G03F7/70266Adaptive optics, e.g. deformable optical elements for wavefront control, e.g. for aberration adjustment or correction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70458Mix-and-match, i.e. multiple exposures of the same area using a similar type of exposure apparatus, e.g. multiple exposures using a UV apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Eyeglasses (AREA)
  • Mounting And Adjusting Of Optical Elements (AREA)

Abstract

본 명세서에서는 패터닝 프로세스의 패터닝 장치의 파면을 결정하는 방법이 설명된다. 방법은 기준 장치(예를 들어, 스캐너)의 기준 성능(예를 들어, 윤곽, EPE, CD), 파면의 파면 파라미터를 액추에이터 이동들로 변환하도록 구성된 패터닝 장치의 렌즈 모델, 및 튜닝 스캐너(예를 들어, 매칭될 스캐너)의 렌즈 지문을 획득하는 단계를 포함한다. 또한, 방법은 튜닝 스캐너의 렌즈 지문, 렌즈 모델, 및 비용 함수에 기초하여 파면 파라미터(예를 들어, 틸트, 오프셋 등과 같은 파면 파라미터들)를 결정하는 단계를 포함하며, 비용 함수는 기준 성능과 튜닝 스캐너 성능 사이의 차이이다.

Description

성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화{Wavefront optimization for tuning scanner based on performance matching}
관련 출원들에 대한 상호 참조
본 출원은 2018년 6월 25일자로 출원된 미국 출원 제62/689,482호 및 2019년 6월 14일자로 출원된 미국 출원 제62/861,673호의 우선권을 주장하며, 이들은 그 전체가 본 명세서에 참고로 포함된다.
기술 분야
본 명세서에서의 설명은 일반적으로 기준 성능에 대응하는 튜닝 스캐너에 대한 최적 파면을 결정하는 최적화 프로세스의 장치들 및 방법들에 관한 것이다.
리소그래피 투영 장치는 예를 들어 집적 회로들(IC들)의 제조에 사용될 수 있다. 이러한 경우에, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별 층에 대응하는 패턴("설계 레이아웃")을 포함하거나 제공할 수 있고, 이 패턴은 패터닝 디바이스 상의 패턴을 통해 타겟 부분(예를 들어, 하나 이상의 다이를 포함함)을 조사(irradiating)하는 것과 같은 방법들에 의해, 감광 재료("레지스트")의 층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟 부분 상에 전사될 수 있다. 일반적으로, 단일 기판은 복수의 인접한 타겟 부분을 포함하며, 복수의 인접한 타겟 부분에는 한 번에 타겟 부분 하나씩 리소그래피 투영 장치에 의해 패턴이 연속적으로 전사된다. 한 유형의 리소그래피 투영 장치들에서는, 전체 패터닝 디바이스 상의 패턴이 한꺼번에 하나의 타겟 부분 상에 전사되며; 이러한 장치는 일반적으로 스테퍼로 지칭된다. 일반적으로 스텝-앤-스캔(step-and-scan) 장치로 지칭되는 대안적인 장치에서는, 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스를 통해 스캐닝하는 동안에 이에 동기하여 기판이 이 기준 방향에 평행하게 또는 반평행하게 이동한다. 패터닝 디바이스 상의 패턴의 상이한 부분들은 점진적으로 하나의 타겟 부분에 전사된다. 일반적으로 리소그래피 투영 장치는 축소 비율 M(예를 들어, 4)을 가질 것이기 때문에, 기판이 이동하는 속도 F는 투영 빔이 패터닝 디바이스를 스캐닝하는 속도의 1/M배일 것이다. 본 명세서에 설명된 바와 같은 리소그래피 디바이스들에 관한 더 많은 정보는 예를 들어 본 명세서에 참고로 포함되는 US 6,046,792호로부터 수집될 수 있다.
패터닝 디바이스로부터 기판으로 패턴을 전사하기 전에, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 겪을 수 있다. 노광 후에, 기판은 포스트-노광 베이크(post-exposure bake: PEB), 현상, 하드 베이크 및 전사된 패턴의 측정/검사와 같은 다른 절차들("포스트-노광 절차들")을 겪을 수 있다. 이러한 절차들의 어레이는 디바이스, 예를 들어 IC의 개별 층을 만들기 위한 기초로서 사용된다. 다음으로, 기판은 에칭, 이온 주입(도핑), 배선(metallization), 산화, 화학적 기계적 연마 등과 같은 다양한 프로세스들을 겪을 수 있으며, 이러한 프로세스들 모두는 디바이스의 개별 층을 마무리하도록 의도된다. 디바이스에서 여러 개의 층이 요구되는 경우, 각각의 층에 대해 전체 절차 또는 그 변형이 반복된다. 결국, 기판 상의 각각의 타겟 부분에 디바이스가 존재할 것이다. 그 다음, 이러한 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 따라서 개별 디바이스들은 캐리어 상에 장착되고, 핀들에 연결되고, 기타 등등일 수 있다.
따라서, 반도체 디바이스들과 같은 디바이스들을 제조하는 것은 전형적으로 디바이스들의 다양한 피처(feature)들 및 다수의 층을 형성하기 위해 다수의 제조 프로세스를 사용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 포함한다. 이러한 층들 및 피처들은 전형적으로, 예를 들어, 퇴적, 리소그래피, 에칭, 화학적 기계적 연마 및 이온 주입을 사용하여 제조되고 처리된다. 다수의 디바이스가 기판 상의 복수의 다이 상에 제조된 다음에 개별 디바이스들로 분리될 수 있다. 이러한 디바이스 제조 프로세스는 패터닝 프로세스로 간주될 수 있다. 패터닝 프로세스는 패터닝 디바이스 상의 패턴을 기판에 전사하기 위해 리소그래피 장치에서 패터닝 디바이스를 사용하는 광학 및/또는 나노임프린트 리소그래피(nanoimprint lithography)와 같은 패터닝 단계를 포함하며, 전형적으로 그러나 선택적으로, 현상 장치에 의한 레지스트 현상 단계, 베이크 도구를 사용하는 기판의 베이킹 단계, 에칭 장치를 사용하여 패턴을 사용하여 에칭하는 단계 등과 같은 하나 이상의 관련된 패턴 처리 단계를 포함한다.
언급된 바와 같이, 리소그래피는 IC들과 같은 디바이스의 제조에서의 중심 단계이고, 기판들 상에 형성된 패턴들은 마이크로프로세서들, 메모리 칩들 등과 같은 디바이스들의 기능 요소들을 정의한다. 평판 디스플레이들, 마이크로 전자 기계 시스템들(MEMS) 및 다른 디바이스들의 형성에서도 유사한 리소그래피 기술들이 사용된다.
반도체 제조 프로세스들이 계속 발전함에 따라, 기능 요소들의 치수들은 계속 축소되고 있는 반면, 디바이스당 트랜지스터들과 같은 기능 요소들의 양은 일반적으로 "무어의 법칙(Moore's law)"으로 지칭되는 추세에 따라 수십 년에 걸쳐 꾸준히 증가하고 있다. 현재의 기술 상태에서, 디바이스들의 층들은 깊은-자외선 조명 소스(deep-ultraviolet illumination source)로부터의 조명을 사용하여 기판 상에 설계 레이아웃을 투영하여, 100nm 훨씬 아래의, 즉 조명 소스(예를 들어, 193nm 조명 소스)로부터의 복사선의 파장의 절반 미만의 치수들을 갖는 개별 기능 요소들을 생성하는 리소그래피 투영 장치들을 사용하여 제조된다.
리소그래피 투영 장치의 고전적인 분해능 한계보다 작은 치수들을 갖는 피처들이 인쇄되는 이러한 프로세스는 일반적으로 분해능 공식 CD = k1×λ/NA에 따라 로우-k1 리소그래피(low-k1 lithography)로서 알려져 있으며, 여기서 λ는 사용되는 복사선의 파장(현재 대부분의 경우들에서 248nm 또는 193nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학계(projection optics)의 개구수이고, CD는 "임계 치수"(일반적으로, 인쇄되는 가장 작은 피처 크기)이고, k1은 경험적 분해능 인자이다. 일반적으로, k1이 작을수록, 특정 전기적 기능 및 성능을 달성하기 위해 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재생하는 것이 더 어려워진다. 이러한 어려움들을 극복하기 위해, 정교한 미세 튜닝 단계들이 리소그래피 투영 장치, 설계 레이아웃 또는 패터닝 디바이스에 적용된다. 이들은, 예를 들어, NA 및 광학 코히어런스 설정들의 최적화, 맞춤화된 조명 스킴들, 위상 시프팅 패터닝 디바이스들의 사용, 설계 레이아웃에서의 광학 근접 보정(OPC, 때때로 "광학 및 프로세스 보정"으로도 지칭됨), 또는 "분해능 향상 기술들"(RET)로서 일반적으로 정의되는 다른 방법들을 포함하지만, 이에 제한되지 않는다. 본 명세서에서 사용되는 바와 같은 "투영 광학계"라는 용어는 예를 들어 굴절 광학계, 반사 광학계, 개구들 및 반사굴절 광학계를 포함하는 다양한 유형의 광학 시스템들을 포함하는 것으로 넓게 해석되어야 한다. "투영 광학계"라는 용어는 또한 집합적으로 또는 단독으로 복사선의 투영 빔을 지향, 성형 또는 제어하기 위한 이러한 설계 유형들 중 임의의 것에 따라 동작하는 컴포넌트들을 포함할 수 있다. "투영 광학계"라는 용어는 리소그래피 투영 장치 내의 임의의 광학 컴포넌트를 포함할 수 있는데, 이는 그러한 광학 컴포넌트가 리소그래피 투영 장치의 광학 경로 상에 위치되는 곳과는 무관하다. 투영 광학계는 소스로부터의 복사선이 패터닝 디바이스를 통과하기 전에 복사선을 성형, 조정 및/또는 투영하기 위한 광학 컴포넌트들 및/또는 복사선이 패터닝 디바이스를 통과한 후에 복사선을 성형, 조정 및/또는 투영하기 위한 광학 컴포넌트들을 포함할 수 있다. 투영 광학계는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
일 실시예에 따르면, 패터닝 프로세스의 패터닝 장치의 파면을 결정하기 위한 방법이 제공된다. 방법은 (i) 기준 장치의 기준 성능, (ii) 파면의 파면 파라미터를 액추에이터 이동들로 변환하도록 구성된 패터닝 장치의 렌즈 모델, 및 (iii) 튜닝 스캐너의 렌즈 지문을 획득하는 단계, 및 프로세서를 통해, 튜닝 스캐너의 렌즈 지문, 렌즈 모델, 및 비용 함수에 기초하여 파면 파라미터를 결정하는 단계를 포함하며, 비용 함수는 기준 성능과 튜닝 스캐너 성능 사이의 차이이다.
일 실시예에서, 파면 파라미터의 결정은 반복 프로세스이다. 반복은 튜닝 스캐너의 렌즈 지문을 사용하는 렌즈 모델의 시뮬레이션을 통해, 초기 파면을 생성하는 단계, 초기 파면으로부터 기판 패턴을 결정하는 단계, 기판 패턴으로부터 튜닝 성능을 결정하는 단계, 튜닝 성능 및 기준 성능에 기초하여 비용 함수를 평가하는 단계, 및 비용 함수의 기울기에 기초하여 초기 파면의 파면 파라미터를 조정하여 비용 함수가 개선되게 하는 단계를 포함한다.
일 실시예에서, 파면은 튜닝 스캐너의 렌즈 지문 및 렌즈 모델의 성능 지문을 포함한다.
일 실시예에서, 파면 파라미터의 조정은 렌즈 모델의 성능 지문에 더 기초한다.
일 실시예에서, 기판 패턴을 결정하는 단계는 초기 파면 또는 조정된 파면을 사용하는 패터닝 프로세스의 프로세스 모델의 시뮬레이션을 포함한다.
일 실시예에서, 프로세스 모델은 마스크 패턴으로부터 마스크 이미지를 예측하도록 구성된 마스크 모델, 마스크 패턴으로부터 에어리얼 이미지(aerial image)를 예측하도록 구성된 광학 모델, 및/또는 에어리얼 이미지로부터 레지스트 이미지를 예측하도록 구성된 레지스트 모델을 포함한다.
일 실시예에서, 기판 패턴을 결정하는 단계는 계측 도구를 통해, 노광된 기판의 기판 측정치들을 수신하는 단계 - 기판은 초기 파면 또는 조정된 파면을 사용하여 노광됨 -; 및 기판 측정치로부터의 윤곽 추출에 기초하여 기판 패턴을 결정하는 단계를 포함한다.
일 실시예에서, 비용 함수는 최소화되거나 최대화된다.
일 실시예에서, 비용 함수는 에지 배치 에러, CD 및/또는 에지 배치의 허용 한계 대역 내의 에러이다.
일 실시예에서, 렌즈 모델은 파면 파라미터에 대응하는 튜닝 스캐너의 보정 제한과 관련된 제약들을 포함한다.
일 실시예에서, 파면 파라미터는 패터닝 장치의 광학 시스템의 오프셋, 틸트(tilt) 및/또는 곡률을 포함한다.
일 실시예에서, 파면은 슬릿 관통 파면(through-slit wavefront)이다.
일 실시예에서, 슬릿은 직사각 형상을 갖는다.
일 실시예에서, 파면은 슬릿에 걸쳐 제르니케 다항식에 의해 표현된다.
일 실시예에서, 파면 파라미터는 제르니케 계수들의 벡터로서 표현된다.
일 실시예에서, 방법은 렌즈 모델을 통해 파면 파라미터들을 액추에이터 이동들로 변환하는 단계, 및 액추에이터 이동들에 기초하여 튜닝 스캐너의 광학 시스템을 작동시키는 단계를 더 포함한다.
일 실시예에서, 기준 성능 및 튜닝 스캐너 성능은 패턴의 윤곽 및/또는 임계 치수에 관하여 표현된다.
일 실시예에 따르면, 기준 장치에 대한 튜닝 스캐너의 파면을 결정하기 위한 방법이 제공된다. 방법은 (i) 기준 렌즈 지문에 대응하는 기준 장치의 기준 성능 및 (ii) 튜닝 스캐너의 렌즈 지문을 획득하는 단계; 프로세서를 통해, 렌즈 지문 및 비용 함수에 기초하여 튜닝 스캐너의 파면 파라미터를 결정하는 단계를 포함하고, 비용 함수는 기준 성능과 튜닝 스캐너 성능 사이의 차이를 계산한다.
일 실시예에서, 기준 성능을 획득하는 단계는 기준 장치의 기준 렌즈 지문을 측정하는 단계; 프로세스 모델의 시뮬레이션을 통해, 기준 장치의 측정된 렌즈 지문에 기초하는 기준 패턴 및 설계 레이아웃에 대응하는 패터닝 디바이스 패턴을 생성하는 단계; 및 기준 패턴의 윤곽에 기초하여 기준 성능을 결정하는 단계를 포함한다.
일 실시예에서, 파면 파라미터의 결정은 반복 프로세스이다. 반복은 프로세스 모델의 시뮬레이션을 통해, 패터닝 디바이스 패턴 및 튜닝 스캐너의 렌즈 지문을 사용하여 기판 패턴을 결정하는 단계; 기판 패턴에 기초하여 튜닝 스캐너 성능을 결정하는 단계; 튜닝 스캐너 성능 및 기준 성능에 기초하여 비용 함수를 평가하는 단계; 및 파면 파라미터에 대한 비용 함수의 기울기에 기초하여 파면 파라미터를 조정하여 비용 함수가 개선되게 하는 단계를 포함한다.
일 실시예에서, 패터닝 디바이스 패턴은 마스크 최적화 또는 소스 마스크 최적화 프로세스의 시뮬레이션을 통해 생성되고, 렌즈 수차 모델이 프로세스 모델에 포함된다.
일 실시예에서, 기준 성능 및 튜닝 스캐너 성능은 패턴의 윤곽 및/또는 임계 치수에 관하여 표현된다.
일 실시예에서, 기준 장치는 웨이퍼 제조 설비의 스캐너, 수차가 없는 이상적인 스캐너, 및/또는 웨이퍼 제조 설비 내의 복수의 스캐너의 평균 수차에 대해 보상되는 수차 보상된 스캐너를 포함한다.
일 실시예에서, 비용 함수는 에지 배치 에러, CD 및/또는 에지 배치의 허용 한계 대역 내의 에러이다.
일 실시예에서, 파면 파라미터는 패터닝 장치의 광학 시스템과 연관된 오프셋, 틸트, 곡률 및/또는 최대 3차 파라미터들을 포함한다.
또한, 일 실시예에 따르면, 패터닝 프로세스를 위한 튜닝 스캐너의 파면 매칭의 방법이 제공된다. 방법은 (i) 기판의 층에 대응하는 복수의 핫스팟 패턴, (ii) 복수의 핫스팟 패턴에 대응하는 복수의 파면, 및 (iii) 튜닝 스캐너의 렌즈 지문을 획득하는 단계; 렌즈 지문을 사용하는 패터닝 프로세스의 시뮬레이션을 통해, 튜닝 스캐너 성능을 결정하는 단계; 및 튜닝 스캐너 성능과 기준 성능 사이의 비교에 기초하여 복수의 파면으로부터 튜닝 스캐너에 대한 파면 파라미터를 선택하는 단계를 포함한다.
일 실시예에서, 복수의 파면 중 하나 이상은 최적화된 파면 파라미터들을 포함한다.
일 실시예에서, 복수의 파면 중 하나 이상은 특정 기준 성능과 연관된다.
또한, 일 실시예에 따르면, 패터닝 프로세스에서 사용되는 패터닝 장치에 대한 렌즈 조정 파라미터 값을 결정하는 방법이 제공된다. 방법은 (i) 노광 파면과 연관된 동공 가중치 맵 - 동공 가중치 맵의 가중치들은 패터닝 장치의 성능 메트릭(performance metric)과 연관됨 -, 및 (ii) 패터닝 장치의 렌즈 모델 - 렌즈 모델은 노광 파면과 연관된 수차 파면 파라미터를 렌즈 조정 파라미터로 변환하도록 구성됨 -을 획득하는 단계; 동공 가중치 맵 및 노광 파면을 사용하여 렌즈 모델을 실행하는 것을 통해, 렌즈 모델과 연관된 렌즈 모델 성능 함수가 개선되도록 렌즈 조정 파라미터 값을 결정하는 단계 - 렌즈 모델 성능 함수는 동공 가중치 맵의 함수임 -; 및 렌즈 조정 파라미터 값과 연관된 수차 파면을 사용하여 패터닝 프로세스를 시뮬레이션하는 것을 통해, 패터닝 프로세스의 성능 메트릭이 개선되도록 동공 가중치 맵의 가중치들을 조정하는 단계를 포함하고, 성능 메트릭은 기판 상에 인쇄될 패턴과 연관된 패턴 배치 에러 및 에지 배치 에러의 함수이다.
또한, 일 실시예에 따르면, 패터닝 장치에 대한 렌즈 액추에이터 설정을 결정하는 방법이 제공된다. 방법은 패터닝 장치와 연관된 잔여 수차 파면에 할당된 기준 값(예를 들어, 0이 아닌 정수) 및 렌즈 성능 함수를 획득하는 단계; 및 렌즈 성능 함수 및 기준 값을 사용하는 패터닝 장치의 렌즈 모델을 통해, 렌즈 성능 함수를 최소화하는 것에 기초하여 패터닝 장치의 렌즈 액추에이터 공간으로부터 렌즈 액추에이터 설정을 결정하는 단계를 포함하고, 렌즈 성능 함수는 잔여 수차 파면과 연관된 리소그래피 메트릭을 포함한다.
이제, 첨부한 도면들을 참조하여 실시예들을 단지 예로서 설명할 것이다. 도면들에서:
도 1은 일 실시예에 따른 리소그래피 장치를 개략적으로 도시한다.
도 2는 일 실시예에 따른 리소그래피 셀 또는 클러스터의 일 실시예를 개략적으로 도시한다.
도 3은 일 실시예에 따른, 렌즈 모델에 기초한 파면 최적화를 위한 방법의 흐름도이다.
도 4는 일 실시예에 따른, 도 2의 파면 최적화 프로세스 동안 생성된 슬릿에 걸친 상이한 위치들에서의 예시적인 파면들을 도시한다.
도 5는 일 실시예에 따른, 수차가 없는 스캐너에 대응하는 이상적인 성능의 예이다.
도 6a는 일 실시예에 따른, 도 5의 이상적인 윤곽과 중첩하는 매칭 타겟으로서의 기준 윤곽의 예를 도시한다.
도 6b는 일 실시예에 따른, 도 6a의 기준 윤곽 상의 평가 지점들의 예를 도시한다.
도 6c는 일 실시예에 따른, 도 6b의 매칭 타겟으로서의 기준 윤곽과의 튜닝 성능 매칭의 예를 도시한다.
도 7은 일 실시예에 따른, 튜닝 스캐너의 윤곽 또는 에지 배치 에러(EPE) 기반 매칭에 대한 허용 한계 대역을 도시한다.
도 8은 일 실시예에 따른, 기준 스캐너 성능에 기초한 파면 최적화를 위한 다른 방법의 흐름도이다.
도 9는 일 실시예에 따른, 튜닝 스캐너 기반 핫스팟 패턴들에 대한 파면을 결정하기 위한 방법의 흐름도이다.
도 10은 일 실시예에 따른 패터닝 프로세스의 시뮬레이션의 흐름도이다.
도 11은 일 실시예에 따른 핫스팟 패턴들을 결정하는 흐름도이다.
도 12a는 일 실시예에 따른 동공 최적화의 예이다.
도 12b는 일 실시예에 따른, 예시적인 렌즈 지문 및 렌즈 지문에 기초하는 최적화된 파면을 도시한다.
도 13a 및 13b는 일 실시예에 따른, 패터닝 프로세스에서 사용되는 패터닝 장치에 대한 렌즈 조정 파라미터 값을 결정하기 위한 방법을 설명한다.
도 14는 일 실시예에 따른, 쌍극자 동공에 기초한 동공 가중치 맵의 예이다.
도 15a 및 도 15b는 일 실시예에 따른, 조명 패턴에 기초하여 동공 가중치 맵을 생성하는 다른 예를 도시한다.
도 16a는 일 실시예에 따른 예시적인 조명 동공을 도시한다.
도 16b 및 도 16c는 일 실시예에 따른, 도 16a의 조명 동공과 연관된 예시적인 파면들을 도시한다.
도 17은 일 실시예에 따른, 주성분 분석으로부터 획득된 예시적인 주성분들을 도시한다.
도 18은 일 실시예에 따른, 패터닝 장치에 대한 렌즈 조정들을 결정하기 위한 방법의 흐름도이다.
도 19는 일 실시예에 따른, 렌즈 노브 하위 공간(lens knob sub-space)을 결정하기 위해 렌즈 모델의 리소그래피 메트릭을 구동하는 파면 타겟의 예이다.
도 20은 일 실시예에 따른 예시적인 컴퓨터 시스템의 블록도이다.
도 21은 일 실시예에 따른 다른 리소그래피 투영 장치의 개략도이다.
도 22는 일 실시예에 따른 극자외선 스캐너를 위한 도 1의 장치의 도면이다.
도 23은 일 실시예에 따른, 도 21 및 도 22의 장치의 소스 수집기 모듈(SO)의 더 상세한 도면이다.
실시예들을 상세히 설명하기 전에, 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)의 일 실시예를 개략적으로 도시한다. 장치는:
- 복사선 빔(B)(예를 들어, UV 복사선 또는 DUV 복사선)을 조절하도록 구성된 조명 시스템(조명기)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결된 지지 구조(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT)(예를 들어, WTa, WTb 또는 둘 다); 및
- 기판(W)의 타겟 부분(C)(예를 들어, 하나 이상의 다이를 포함하고, 종종 필드들로 지칭됨) 상에 디바이스(MA)를 패터닝함으로써 복사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS) - 투영 시스템은 기준 프레임(RF) 상에 지지됨 -
을 포함한다.
여기에 도시된 바와 같이, 장치는 (예를 들어, 투과 마스크를 이용하는) 투과 유형이다. 대안적으로, 장치는 (예를 들어, 위에서 언급된 바와 같은 유형의 프로그래밍 가능 미러 어레이를 이용하거나 반사성 마스크를 이용하는) 반사 유형일 수 있다.
조명기(IL)는 복사선 소스(SO)로부터 복사선 빔을 수신한다. 소스 및 리소그래피 장치는, 예를 들어, 소스가 엑시머 레이저일 때 별개의 엔티티들일 수 있다. 그러한 경우들에서, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 복사선 빔은 예를 들어 적절한 지향 미러들 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우들에서, 소스는, 예를 들어, 소스가 수은 램프일 때, 장치의 일체로 된 부분일 수 있다. 소스(SO) 및 조명기(IL)는, 필요한 경우에 빔 전달 시스템(BD)과 함께, 복사선 시스템(radiation system)이라고 지칭될 수 있다.
조명기(IL)는 빔의 강도 분포를 변경할 수 있다. 조명기는 강도 분포가 조명기(IL)의 동공 평면 내의 환형 영역 내에서 0이 아니도록 복사선 빔의 방사상 범위를 제한하도록 배열될 수 있다. 추가적으로 또는 대안적으로, 조명기(IL)는 강도 분포가 동공 평면 내의 복수의 동일하게 이격된 섹터에서 0이 아니도록 동공 평면 내의 빔의 분포를 제한하도록 동작가능할 수 있다. 조명기(IL)의 동공 평면 내의 복사선 빔의 강도 분포는 조명 모드라고 지칭될 수 있다.
따라서, 조명기(IL)는 빔의(각도/공간) 강도 분포를 조정하도록 구성된 조정기(AD)를 포함할 수 있다. 일반적으로, 조명기의 동공 평면 내의 강도 분포의 적어도 외측 및/또는 내측 방사상 범위(일반적으로 각각σ-외측 및σ-내측이라고 지칭됨)가 조정될 수 있다. 조명기(IL)는 빔의 각도 분포를 변경하도록 동작가능할 수 있다. 예를 들어, 조명기는 강도 분포가 0이 아닌 동공 평면 내의 섹터들의 수 및 각도 범위를 변경하도록 동작 가능할 수 있다. 조명기의 동공 평면 내의 빔의 강도 분포를 조정함으로써, 상이한 조명 모드들이 달성될 수 있다. 예를 들어, 조명기(IL)의 동공 평면 내의 강도 분포의 반사상 및 각도 범위를 제한함으로써, 강도 분포는, 예를 들어, 쌍극자, 사극자 또는 육극자 분포와 같은 다극자 분포를 가질 수 있다. 원하는 조명 모드는, 예를 들어, 그러한 조명 모드를 제공하는 광학계를 조명기(IL) 내에 삽입하거나 공간 광 변조기를 사용함으로써 획득될 수 있다.
조명기(IL)는 빔의 편광을 변경하도록 동작 가능할 수 있고, 조정기(AM)를 사용하여 편광을 조정하도록 동작 가능할 수 있다. 조명기(IL)의 동공 평면에 걸친 복사선 빔의 편광 상태는 편광 모드라고 지칭될 수 있다. 상이한 편광 모드들의 사용은 기판(W) 상에 형성된 이미지에서 더 큰 콘트라스트가 달성되는 것을 가능하게 할 수 있다. 복사선 빔은 편광되지 않을 수 있다. 대안적으로, 조명기는 복사선 빔을 선형 편광시키도록 배열될 수 있다. 복사선 빔의 편광 방향은 조명기(IL)의 동공 평면을 가로질러 변할 수 있다. 복사선의 편광 방향은 조명기(IL)의 동공 평면 내의 상이한 영역들에서 상이할 수 있다. 복사선의 편광 상태는 조명 모드에 따라 선택될 수 있다. 다극 조명 모드들의 경우, 복사선 빔의 각각의 극의 편광은 일반적으로 조명기(IL)의 동공 평면 내의 그 극의 위치 벡터에 수직일 수 있다. 예를 들어, 쌍극자 조명 모드의 경우, 복사선은 쌍극자의 2개의 대향하는 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 복사선 빔은 X 편광 및 Y 편광 상태들이라고 지칭될 수 있는 2개의 상이한 직교 방향 중 한 방향으로 편광될 수 있다. 사극자 조명 모드의 경우, 각각의 극의 섹터 내의 복사선은 그 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이 편광 모드는 XY 편광으로 지칭될 수 있다. 유사하게, 6극 조명 모드의 경우, 각각의 극의 섹터 내의 복사선은 그 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이 편광 모드는 TE 편광으로 지칭될 수 있다.
또한, 조명기(IL)는 일반적으로 적분기(IN) 및 콘덴서(CO)와 같은 다양한 다른 컴포넌트들을 포함한다. 조명 시스템은 복사선의 지향, 성형 또는 제어를 위한 굴절, 반사, 자기, 전자기, 정전기 또는 다른 유형의 광학 컴포넌트들, 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 컴포넌트들을 포함할 수 있다.
따라서, 조명기는 그것의 단면에서 원하는 균일성 및 강도 분포를 갖는 조절된 복사선 빔(B)을 제공한다.
지지 구조(MT)는 패터닝 디바이스의 배향, 리소그래피 장치의 설계, 및 예를 들어 패터닝 디바이스가 진공 환경에 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 지지한다. 지지 구조는 패터닝 디바이스를 유지하기 위해 기계, 진공, 정전기 또는 다른 클램핑 기술들을 사용할 수 있다. 지지 구조는, 예를 들어, 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조는 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있는 것을 보장할 수 있다. 본 명세서에서 용어 "레티클" 또는 "마스크"의 임의의 사용은 더 일반적인 용어 "패터닝 디바이스"와 동의어인 것으로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는 기판의 타겟 부분에 패턴을 부여하는 데 사용될 수 있는 임의의 디바이스를 지칭하는 것으로서 넓게 해석되어야 한다. 일 실시예에서, 패터닝 디바이스는 기판의 타겟 부분에 패턴을 생성하기 위해 자신의 단면에 패턴을 갖는 복사선 빔을 부여하는 데 사용될 수 있는 임의의 디바이스이다. 예를 들어 패턴이 위상 시프팅 피처들 또는 소위 보조 피처들을 포함하는 경우, 복사선 빔에 부여되는 패턴은 기판의 타겟 부분에서의 원하는 패턴에 정확히 대응하지는 않을 수 있다는 점에 유의해야 한다. 일반적으로, 복사선 빔에 부여되는 패턴은 집적 회로와 같은, 타겟 부분에 생성되는 디바이스 내의 특정 기능 층에 대응할 것이다.
패터닝 디바이스는 투과성 또는 반사성일 수 있다. 패터닝 디바이스들의 예들은 마스크들, 프로그래밍 가능 미러 어레이들, 및 프로그래밍 가능 LCD 패널들을 포함한다. 마스크들은 리소그래피에서 잘 알려져 있고, 이진, 교대 위상-시프트, 및 감쇠된 위상-시프트와 같은 마스크 유형들은 물론, 다양한 하이브리드 마스크 유형들도 포함한다. 프로그래밍 가능 미러 어레이의 예는 각각이 입사 복사선 빔을 상이한 방향들로 반사하도록 개별적으로 경사질 수 있는 작은 미러들의 행렬 배열을 이용한다. 경사진 미러들은 미러 행렬에 의해 반사되는 복사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는 사용되는 노광 복사선에 대해 또는 액침 액체(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 인자들에 대해 적절한 굴절, 반사, 반사굴절, 자기, 전자기 및 정전기 광학 시스템들, 또는 이들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 임의의 사용은 더 일반적인 용어 "투영 시스템"과 동의어인 것으로 간주될 수 있다.
투영 시스템(PS)은 불균일할 수 있는 광학 전달 함수를 가지며, 이는 기판(W) 상에 이미징되는 패턴에 영향을 줄 수 있다. 편광되지 않은 복사선의 경우, 그러한 효과들은 투영 시스템(PS)을 빠져나가는 복사선의 투과(아포다이제이션) 및 상대 위상(수차)을 그의 동공 평면 내의 위치의 함수로서 설명하는 2개의 스칼라 맵에 의해 상당히 잘 설명될 수 있다. 투과 맵 및 상대 위상 맵으로 지칭될 수 있는 이러한 스칼라 맵들은 기저 함수들의 완전한 세트의 선형 조합으로서 표현될 수 있다. 특히 편리한 세트는 단위 원 상에 정의되는 직교 다항식들의 세트를 형성하는 제르니케 다항식들이다. 각각의 스칼라 맵의 결정은 그러한 전개에서 계수들을 결정하는 것을 포함할 수 있다. 제르니케 다항식들은 단위 원 상에서 직교하므로, 제르니케 계수들은 측정된 스칼라 맵과 각각의 제르니케 다항식의 내적을 차례로 계산하고 이를 해당 제르니케 다항식의 놈(norm)의 제곱으로 나눔으로써 결정될 수 있다.
투과 맵 및 상대 위상 맵은 필드 및 시스템 의존적이다. 즉, 일반적으로, 각각의 투영 시스템(PS)은 각각의 필드 지점에 대해(즉, 그의 이미지 평면 내의 각각의 공간 위치에 대해) 상이한 제르니케 전개를 가질 것이다. 투영 시스템(PS)의 그의 동공 평면에서의 상대 위상은 예를 들어 투영 시스템(PS)의 물체 평면(즉, 패터닝 디바이스(MA)의 평면) 내의 점 같은 소스(point-like source)로부터 투영 시스템(PS)을 통해 복사선을 투영하고 전단 간섭계(shearing interferometer)를 사용하여 파면(즉, 동일한 위상을 갖는 지점들의 궤적)을 측정함으로써 결정될 수 있다. 전단 간섭계는 공통 경로 간섭계이고, 따라서 유리하게, 어떠한 2차 기준 빔도 파면을 측정하는 데 필요하지 않다. 전단 간섭계는 투영 시스템의 이미지 평면(즉, 기판 테이블(WT)) 내의 회절 격자, 예를 들어 2차원 그리드, 및 투영 시스템(PS)의 동공 평면과 켤레인 평면에서 간섭 패턴을 검출하도록 배열된 검출기를 포함할 수 있다. 간섭 패턴은 전단 방향에서의 동공 평면 내의 좌표에 대한 복사선의 위상의 미분과 관련된다. 검출기는 예를 들어 CCD(charge coupled device)와 같은 감지 요소들의 어레이를 포함할 수 있다.
리소그래피 장치의 투영 시스템(PS)은 가시적인 프린지(fringe)들을 생성하지 않을 수 있고, 따라서 파면의 결정의 정확도는 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑 기술들을 사용하여 향상될 수 있다. 스테핑(stepping)은 회절 격자의 평면에서 그리고 측정의 스캐닝 방향에 수직인 방향으로 수행될 수 있다. 스테핑 범위는 하나의 격자 주기일 수 있고, 적어도 3개의 (균일하게 분포된) 위상 스텝이 사용될 수 있다. 따라서, 예를 들어, 3개의 스캐닝 측정이 y-방향에서 수행될 수 있고, 각각의 스캐닝 측정은 x-방향에서의 상이한 위치에 대해 수행될 수 있다. 회절 격자의 이러한 스테핑은 위상 변화들을 강도 변화들로 효과적으로 변환하여, 위상 정보가 결정될 수 있게 한다. 격자는 검출기를 교정하기 위해 회절 격자에 수직인 방향(z 방향)으로 스테핑될 수 있다.
회절 격자는 투영 시스템(PS)의 좌표계의 축들(x 및 y)과 일치할 수 있거나 이러한 축들에 대해 45도와 같은 각도로 있을 수 있는 2개의 수직 방향으로 순차적으로 스캐닝될 수 있다. 스캐닝은 정수 개의 격자 주기, 예를 들어 하나의 격자 주기에 걸쳐 수행될 수 있다. 스캐닝은 한 방향에서의 위상 변화를 평균하여, 다른 방향에서의 위상 변화가 재구성될 수 있게 한다. 이는 파면이 양 방향의 함수로서 결정되는 것을 가능하게 한다.
투영 시스템(PS)의 그의 동공 평면에서의 투과(아포다이제이션)는 예를 들어 투영 시스템(PS)을 통해 투영 시스템(PS)의 물체 평면(즉, 패터닝 디바이스(MA)의 평면) 내의 점 같은 소스로부터 복사선을 투영하고 검출기를 사용하여 투영 시스템(PS)의 동공 평면과 켤레인 평면에서 복사선의 강도를 측정함으로써 결정될 수 있다. 수차들을 결정하기 위해 파면을 측정하는 데 사용되는 것과 동일한 검출기가 사용될 수 있다.
투영 시스템(PS)은 복수의 광학(예를 들어, 렌즈) 요소를 포함할 수 있고, 수차들(필드 전반에서 동공 평면에 걸친 위상 변화들)을 보정하기 위해 광학 요소들 중 하나 이상을 조정하도록 구성되는 조정 메커니즘(AM)을 더 포함할 수 있다. 이를 달성하기 위해, 조정 메커니즘은 하나 이상의 상이한 방식으로 투영 시스템(PS) 내의 하나 이상의 광학(예를 들어, 렌즈) 요소를 조작하도록 동작 가능할 수 있다. 투영 시스템은 그의 광학 축이 z 방향으로 연장되는 좌표계를 가질 수 있다. 조정 메커니즘은 하나 이상의 광학 요소를 변위시키는 것; 하나 이상의 광학 요소를 경사지게 하는 것; 및/또는 하나 이상의 광학 요소를 변형시키는 것의 임의의 조합을 행하도록 동작가능할 수 있다. 광학 요소의 변위는 임의의 방향(x, y, z 또는 이들의 조합)일 수 있다. 광학 요소의 경사는 x 방향 및/또는 y 방향으로 축을 중심으로 회전시킴으로써 통상적으로 광학 축에 수직인 평면을 벗어나지만, z축을 중심으로 한 회전이 비회전 대칭 비구면 광학 요소에 대해 사용될 수 있다. 광학 요소의 변형은 저주파수 형상(예를 들어, 비점 수차) 및/또는 고주파수 형상(예를 들어, 자유 형태 비구면들)을 포함할 수 있다. 광학 요소의 변형은 예를 들어 광학 요소의 하나 이상의 면 상에 힘을 가하기 위해 하나 이상의 액추에이터를 사용함으로써 그리고/또는 광학 요소의 하나 이상의 선택된 영역을 가열하기 위해 하나 이상의 가열 요소를 사용함으로써 수행될 수 있다. 일반적으로, 아포다이제이션(동공 평면에 걸친 투과 변화)을 보정하기 위해 투영 시스템(PS)을 조정하는 것이 가능하지 않을 수 있다. 리소그래피 장치(LA)에 대한 패터닝 디바이스(예를 들어, 마스크) MA를 설계할 때 투영 시스템(PS)의 투과 맵이 사용될 수 있다. 계산 리소그래피 기술을 사용하여, 패터닝 디바이스(MA)는 아포다이제이션을 적어도 부분적으로 보정하도록 설계될 수 있다.
리소그래피 장치는 2개(이중 스테이지) 이상의 테이블(예를 들어, 2개 이상의 기판 테이블(WTa, WTb), 2개 이상의 패터닝 디바이스 테이블, 기판 테이블(WTa), 및 예를 들어 측정을 용이하게 하는 것 및/또는 세정 등에 전용화되는 기판이 없는 투영 시스템 아래의 테이블(WTb))을 갖는 유형일 수 있다. 이러한 "다중 스테이지" 기계들에서, 추가적인 테이블들이 병렬로 사용될 수 있거나, 하나 이상의 테이블 상에서 준비 단계들이 수행되는 동안 하나 이상의 다른 테이블이 노광을 위해 사용되고 있을 수 있다. 예를 들어, 정렬 센서(AS)를 사용하는 정렬 측정들 및/또는 레벨 센서(LS)를 사용하는 레벨(높이, 틸트 등) 측정들이 이루어질 수 있다.
리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있다. 액침 액체가 또한 리소그래피 장치 내의 다른 공간들, 예를 들어 패터닝 디바이스와 투영 시스템 사이에 도포될 수 있다. 투영 시스템들의 개구수를 증가시키기 위한 액침 기술들이 이 분야에 공지되어 있다. 본 명세서에서 사용되는 바와 같은 "액침"이라는 용어는 기판과 같은 구조가 액체에 침지되어야 함을 의미하는 것이 아니라, 단지 액체가 노광 동안 투영 시스템과 기판 사이에 위치함을 의미한다.
따라서, 리소그래피 장치의 동작시에, 복사선 빔이 조명 시스템(IL)에 의해 조절되어 제공된다. 복사선 빔(B)은 지지 구조(예를 들어, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사되고, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(MA)를 가로지른 복사선 빔(B)은 투영 시스템(PS)을 통과하고, 투영 시스템(PS)은 빔을 기판(W)의 타겟 부분(C) 상에 포커싱한다. 제2 포지셔너(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어, 복사선 빔(B)의 경로에 상이한 타겟 부분들(C)을 위치시키도록 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 다른 위치 센서(도 1에 명시적으로 도시되지 않음)는 예를 들어, 마스크 라이브러리로부터의 기계적 검색 후에 또는 스캔 동안에, 복사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 위치시키기 위해 사용될 수 있다. 일반적으로, 지지 구조(MT)의 이동은 제1 포지셔너(PM)의 일부를 형성하는 긴 스트로크 모듈(대략적 포지셔닝) 및 짧은 스트로크 모듈(정밀 포지셔닝)의 도움으로 실현될 수 있다. 유사하게, 기판 테이블(WT)의 이동은 제2 포지셔너(PW)의 일부를 형성하는 긴 스트로크 모듈 및 짧은 스트로크 모듈을 사용하여 실현될 수 있다. (스캐너와 대조적인) 스테퍼의 경우, 지지 구조(MT)는 단지 짧은 스트로크 액추에이터에 연결될 수 있거나, 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 사용하여 정렬될 수 있다. 도시된 바와 같은 기판 정렬 마크들은 전용 타겟 부분들을 점유하지만, 타겟 부분들 사이의 공간들에 위치할 수 있다(이들은 스크라이브-레인 정렬 마크들로서 알려짐). 유사하게, 패터닝 디바이스(MA) 상에 하나보다 많은 다이가 제공되는 상황들에서, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치할 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다.
1. 스텝 모드에서, 지지 구조(MT) 및 기판 테이블(WT)이 본질적으로 정적으로 유지되는 동안, 복사선 빔에 부여된 전체 패턴이 한꺼번에 타겟 부분(C) 상에 투영된다(즉, 단일 정적 노광). 다음으로, 기판 테이블(WT)은 상이한 타겟 부분(C)이 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광에서 이미징되는 타겟 부분(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조(MT) 및 기판 테이블(WT)이 동기적으로 스캐닝되는 동안, 복사선 빔에 부여된 패턴이 타겟 부분(C) 상에 투영된다(즉, 단일 동적 노광). 지지 구조(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성들에 의해 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광에서의 타겟 부분의 (비스캐닝 방향으로의) 폭을 제한하는 반면, 스캐닝 모션의 길이는 타겟 부분의 (스캐닝 방향으로의) 높이를 결정한다.
3. 다른 모드에서, 지지 구조(MT)는 본질적으로 정적으로 유지되어 프로그래밍 가능 패터닝 디바이스를 유지하며, 기판 테이블(WT)은 복사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로, 펄스형 복사선 소스가 이용되며, 프로그래밍 가능 패터닝 디바이스는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 동안의 연속적인 복사선 펄스들 사이에 필요에 따라 업데이트된다. 이 동작 모드는 앞서 언급된 바와 같은 유형의 프로그래밍 가능 미러 어레이와 같은 프로그래밍 가능 패터닝 디바이스를 이용하는 마스크리스 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
위에서 설명된 사용 모드들 또는 완전히 상이한 사용 모드들에 대한 조합들 및/또는 변경들이 또한 이용될 수 있다.
본 텍스트에서는 IC들의 제조에서의 리소그래피 장치의 사용에 대해 특정 참조가 이루어질 수 있지만, 본 명세서에 설명된 리소그래피 장치는 통합 광학 시스템들, 자기 도메인 메모리들에 대한 안내 및 검출 패턴들, 액정 디스플레이들(LCD들), 박막 자기 헤드들 등의 제조와 같은 다른 응용들을 가질 수 있다는 것을 이해해야 한다. 기술자는 이러한 대안적인 응용들과 관련하여 본 명세서에서의 용어 "웨이퍼" 또는 "다이"의 임의의 사용이 각각 더 일반적인 용어 "기판" 또는 "타겟 부분"과 동의어인 것으로 간주될 수 있다는 것을 알 것이다. 본원에서 지칭되는 기판은 노광 전 또는 후에, 예를 들어 트랙(전형적으로 기판에 레지스트의 층을 도포하고 노광된 레지스트를 현상하는 도구) 또는 계측 또는 검사 도구에서 처리될 수 있다. 적용 가능한 경우, 본 명세서에서의 개시는 그러한 그리고 다른 기판 처리 도구들에 적용될 수 있다. 또한, 기판은 예를 들어 다층 IC를 생성하기 위해 한 번보다 많이 처리될 수 있으며, 따라서 본 명세서에서 사용되는 기판이라는 용어는 이미 다수의 처리된 층을 포함하는 기판을 지칭할 수도 있다.
본 명세서에서 사용되는 "복사선" 및 "빔"이라는 용어들은 자외선(UV) 복사선(예를 들어, 365, 248, 193, 157 또는 126nm의 파장을 가짐) 및 극자외선(EUV) 복사선(예를 들어, 5-20nm 범위의 파장을 가짐)뿐만 아니라 이온 빔들 또는 전자 빔들과 같은 입자 빔들을 포함하는 모든 유형의 전자기 복사선을 포함한다.
패터닝 디바이스 상의 또는 패터닝 디바이스에 의해 제공되는 다양한 패턴들은 상이한 프로세스 윈도우들, 즉 패턴이 사양 내에서 생성될 처리 변수들의 공간을 가질 수 있다. 잠재적인 체계적 결함들에 관한 패턴 사양들의 예들은 네킹(necking), 라인 풀백(line pull back), 라인 씨닝(line thinning), CD, 에지 배치, 중첩, 레지스트 상부 손실, 레지스트 언더컷 및/또는 브리징에 대한 체크들을 포함한다. 패터닝 디바이스 또는 그의 영역 상의 모든 패턴들의 프로세스 윈도우는 각각의 개별 패턴의 프로세스 윈도우들을 병합(예를 들어, 중첩)함으로써 획득될 수 있다. 모든 패턴들의 프로세스 윈도우의 경계는 개별 패턴들 중 일부의 프로세스 윈도우들의 경계들을 포함한다. 즉, 이러한 개별 패턴들은 모든 패턴들의 프로세스 윈도우를 제한한다. 이러한 패턴들은 "핫스팟들" 또는 "프로세스 윈도우 제한 패턴들(PWLP들)"로서 지칭될 수 있으며, 이들은 본 명세서에서 교환 가능하게 사용된다. 패터닝 프로세스의 일부를 제어할 때, 핫스팟들에 집중하는 것이 가능하고 경제적이다. 핫스팟들에 결함이 없을 때, 모든 패턴들에 결함이 없을 가능성이 가장 크다.
도 2에 도시된 바와 같이, 리소그래피 장치(LA)는 기판에 대한 프리-노광 및 포스트-노광 프로세스들을 수행하기 위한 장치들을 또한 포함하는, 때때로 리소셀 또는 클러스터라고도 하는 리소그래피 셀(LC)의 일부를 형성할 수 있다. 통상적으로, 이들은 하나 이상의 레지스트 층을 퇴적하기 위한 하나 이상의 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 하나 이상의 현상기(DE), 하나 이상의 냉각 플레이트(CH) 및/또는 하나 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 하나 이상의 기판을 입출력 포트(I/O1, I/O2)로부터 픽업하고, 이들을 상이한 프로세스 장치들 사이에서 이동시키고, 이들을 리소그래피 장치의 로딩 베이(loading bay: LB)에 전달한다. 종종 트랙으로 총칭되는 이러한 장치들은 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 또한 제어하는 관리 제어 시스템(SCS)에 의해 자체적으로 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있다. 따라서, 상이한 장치들은 처리량 및 처리 효율을 최대화하도록 동작될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일관되게 노광되기 위해 그리고/또는 적어도 하나의 패턴 전사 단계(예를 들어, 광학 리소그래피 단계)를 포함하는 패터닝 프로세스(예를 들어, 디바이스 제조 프로세스)의 일부를 모니터링하기 위해, 기판 또는 다른 물체를 검사하여 정렬, 오버레이(예를 들어, 위에 있는 층들 내의 구조들 사이에 또는 예를 들어 이중 패터닝 프로세스에 의해 층에 개별적으로 제공된 동일한 층 내의 구조들 사이에 있을 수 있음), 라인 두께, 임계 치수(CD), 초점 오프셋, 재료 특성 등과 같은 하나 이상의 특성을 측정하거나 결정하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치하는 제조 설비는 또한 전형적으로 리소셀 또는 리소셀 내의 다른 물체들에서 처리된 기판들(W)의 일부 또는 전부를 측정하는 계측 시스템(MET)을 포함한다. 계측 시스템(MET)은 리소셀(LC)의 일부일 수 있는데, 예를 들어 (정렬 센서(AS)와 같은) 리소그래피 장치(LA)의 일부일 수 있다.
하나 이상의 측정된 파라미터는 예를 들어 패터닝된 기판 내에 또는 상에 형성된 연속적인 층들 사이의 오버레이, 예를 들어 패터닝된 기판 내에 또는 상에 형성된 피처들의 임계 치수(CD)(예를 들어, 임계 선폭), 광학 리소그래피 단계의 초점 또는 초점 에러, 광학 리소그래피 단계의 도즈(dose) 또는 도즈 에러, 광학 리소그래피 단계의 광학 수차들 등을 포함할 수 있다. 이러한 측정은 제품 기판 자체의 타겟 및/또는 기판 상에 제공된 전용 계측 타겟에 대해 수행될 수 있다. 측정은 레지스트의 현상 후에 그러나 에칭 전에 수행될 수 있거나, 에칭 후에 수행될 수 있다.
주사 전자 현미경, 이미지 기반 측정 도구 및/또는 다양한 특수 도구들의 사용을 포함하여, 패터닝 프로세스에서 형성된 구조들의 측정들을 행하기 위한 다양한 기술들이 존재한다. 위에서 논의된 바와 같이, 빠르고 비침습적인 형태의 특수 계측 도구는 복사선 빔을 기판의 표면 상의 타겟 상으로 지향시키고 산란된(회절된/반사된) 빔의 특성들을 측정하는 도구이다. 기판에 의해 산란된 복사선의 하나 이상의 특성을 평가함으로써, 기판의 하나 이상의 특성이 결정될 수 있다. 이것은 회절 기반 계측으로 지칭될 수 있다. 이러한 회절 기반 계측의 하나의 그러한 응용은 타겟 내의 피처 비대칭의 측정이다. 이것은 예를 들어 오버레이의 척도로서 사용될 수 있지만, 다른 응용들도 알려져 있다. 예를 들어, 회절 스펙트럼의 대향하는 부분들을 비교함으로써(예를 들어, 주기적 격자의 회절 스펙트럼에서의 -1차와 +1차를 비교함으로써) 비대칭이 측정될 수 있다. 이것은 전술한 바와 같이 그리고 예를 들어 본 명세서에 그 전체가 참고로 포함되는 미국 특허 출원 공개 US 2006-066855에서 설명된 바와 같이 행해질 수 있다. 회절 기반 계측의 다른 응용은 타겟 내의 피처 폭(CD)의 측정이다. 이러한 기술들은 이하에서 설명되는 장치들 및 방법들을 사용할 수 있다.
따라서, 디바이스 제조 프로세스(예를 들어, 패터닝 프로세스 또는 리소그래피 프로세스)에서, 기판 또는 다른 물체들은 프로세스 동안 또는 프로세스 후에 다양한 유형의 측정을 받을 수 있다. 측정은 특정 기판에 결함이 있는지를 결정할 수 있거나, 프로세스 및 프로세스에서 사용되는 장치들에 대한 조정들을 확립할 수 있거나(예를 들어, 기판 상의 2개의 층을 정렬하거나 패터닝 디바이스를 기판에 정렬함), 프로세스 및 장치들의 성능을 측정할 수 있거나, 다른 목적들을 위한 것일 수 있다. 측정의 예들은 광학 이미징(예를 들어, 광학 현미경), 비-이미징 광학 측정(예를 들어, ASML YieldStar 계측 도구, ASML SMASH 계측 시스템과 같은 회절 기반 측정), 기계적 측정(예를 들어, 스타일러스, 원자 힘 현미경(AFM)을 사용하는 프로파일링) 및/또는 비-광학 이미징(예를 들어, 주사 전자 현미경(SEM))을 포함한다. 본 명세서에 그 전체가 참고로 포함되는 미국 특허 제6,961,116호에서 설명된 바와 같은 SMASH(SMart Alignment Sensor Hybrid) 시스템은 정렬 마커의 2개의 중첩되고 상대적으로 회전된 이미지를 생성하는 자기-참조 간섭계를 이용하고, 이미지들의 푸리에 변환들이 간섭하게 되는 동공 평면에서의 강도들을 검출하고, 간섭된 차수들에서의 강도 변화들로서 나타나는 2개의 이미지의 회절 차수들 사이의 위상차로부터 위치 정보를 추출한다.
계측 결과들은 직접적으로 또는 간접적으로 관리 제어 시스템(SCS)에 제공될 수 있다. 에러가 검출되는 경우, 후속 기판의 노광(특히, 검사가 배치(batch)의 하나 이상의 다른 기판이 아직도 노광될 만큼 충분히 곧 그리고 빨리 수행될 수 있는 경우) 및/또는 노광된 기판의 후속 노광에 대해 조정이 이루어질 수 있다. 또한, 이미 노광된 기판은 수율을 개선하기 위해 박리되고 재작업되거나, 폐기될 수 있고, 따라서 결함이 있는 것으로 알려진 기판에 대해 추가 처리를 수행하는 것을 피할 수 있다. 기판의 일부 타겟 부분들에만 결함이 있는 경우, 양호한 타겟 부분들에 대해서만 추가적인 노광들이 수행될 수 있다.
계측 시스템(MET) 내에서, 기판의 하나 이상의 특성, 특히 어떻게 상이한 기판들의 하나 이상의 특성이 달라지는지 또는 동일한 기판의 상이한 층들이 층마다 달라지는지를 결정하기 위해 계측 장치가 사용된다. 위에서 언급된 바와 같이, 계측 장치는 리소그래피 장치(LA) 또는 리소셀(LC) 내에 통합될 수 있거나, 독립형 디바이스일 수 있다.
계측을 가능하게 하기 위해, 하나 이상의 타겟이 기판 상에 제공될 수 있다. 일 실시예에서, 타겟은 특별히 설계되고, 주기적인 구조를 포함할 수 있다. 일 실시예에서, 타겟은 디바이스 패턴의 일부, 예를 들어 디바이스 패턴의 주기적 구조이다. 일 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조(예를 들어, 바이폴라 트랜지스터(BPT), 비트 라인 콘택(BLC) 등의 구조)이다.
일 실시예에서, 기판 상의 타겟은 하나 이상의 1-D 주기적 구조(예를 들어, 격자)를 포함할 수 있고, 이들은 현상 후에 주기적 구조적 피처들이 고체 레지스트 라인들로 형성되도록 인쇄된다. 일 실시예에서, 타겟은 하나 이상의 2-D 주기적 구조(예를 들어, 격자)를 포함할 수 있고, 이들은 현상 후에 하나 이상의 주기적 구조가 레지스트 내의 고체 레지스트 필라(pillar)들 또는 비아(via)들로 형성되도록 인쇄된다. 바(bar)들, 필라들 또는 비아들은 대안적으로 기판 내로(예를 들어, 기판 상의 하나 이상의 층 내로) 에칭될 수 있다.
제조 프로세스(예를 들어, 도 2)는 (예를 들어, 기판 상에 인쇄된 패턴들에 관하여) 상이한 성능들을 나타내는 하나보다 많은 스캐너(즉, 리소그래피 장치)를 포함한다. 상이한 스캐너들 사이에 또는 기준 성능과 관련하여 일관된 성능(예를 들어, 일관된 윤곽 또는 CD)을 제공하기 위해, 파면 최적화가 본 개시의 방법들에 따라 수행될 수 있다.
일 실시예에서, 최적화는 예를 들어 수학식 1과 같은 목적 함수를 사용하여 수행될 수 있다.
Figure pat00001
여기서,
Figure pat00002
은 N개의 설계 변수 또는 그 값들이고;
Figure pat00003
Figure pat00004
의 설계 변수들의 값들의 세트에 대한 p 번째 평가 지점에서의 특성의 실제 값과 의도된 값 사이의 차이의 함수일 수 있다.
Figure pat00005
는 p 번째 평가 지점에 할당되는 가중 상수이다. 다른 것들보다 더 중요한 평가 지점 또는 패턴은 더 높은
Figure pat00006
값을 할당받을 수 있다. 더 많은 발생 수를 갖는 패턴들 및/또는 평가 지점들도 더 높은
Figure pat00007
값을 할당받을 수 있다. 평가 지점들의 예들은 기판 상의 임의의 물리적 지점 또는 패턴, 또는 패터닝 디바이스 패턴 상의 임의의 지점, 또는 레지스트 이미지, 또는 에어리얼 이미지일 수 있다.
목적 함수는 패터닝 프로세스의, 예를 들어 리소그래피 투영 장치 또는 기판의 임의의 적절한 특성들, 예를 들어, 초점, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전 등을 나타낼 수 있다. 예를 들어, 목적 함수는 다음의 리소그래피 메트릭들: 에지 배치 에러, 임계 치수, 레지스트 윤곽 거리, 최악의 결함 크기, 패턴 시프트, 확률 효과, 패터닝 디바이스의 3차원 효과, 레지스트의 3차원 효과, 최상의 초점 시프트, 동공 채움 인자, 노광 시간 및/또는 처리량 중 하나 이상의 함수일 수 있다. 기판 상의 패턴을 종종 구술하는 것은 레지스트 이미지이기 때문에, 목적 함수는 종종 레지스트 이미지의 일부 특성들을 나타내는 함수들을 포함한다. 예를 들어, 그러한 평가 지점의
Figure pat00008
은 단순히 레지스트 이미지 내의 지점과 그 지점의 의도된 위치 사이의 거리(즉, 에지 배치 에러
Figure pat00009
)일 수 있다. 설계 변수들은 파면의 조정가능한 파라미터들과 같은 임의의 조정가능한 파라미터들일 수 있다.
리소그래피 장치는 복사선 빔의 파면의 형상들, 강도 분포 및/또는 위상 시프트를 조정하는 데 사용될 수 있는, "파면 조작기"라고 총칭되는 하나 이상의 컴포넌트를 포함할 수 있다. 파면은 리소그래피 투영 장치의 광학 경로를 따른 임의의 위치에서, 예를 들어 패터닝 디바이스 앞에서, 동공 평면 근처에서, 이미지 평면 근처에서 또는 초점 평면 근처에서 조정될 수 있다. 투영 광학계는 예를 들어 조명, 패터닝 디바이스, 리소그래피 투영 장치의 온도 변화, 및/또는 리소그래피 투영 장치의 컴포넌트들의 열 팽창에 의해 유발되는 파면의 소정 왜곡들을 보정하거나 보상하기 위해 사용될 수 있다. 파면을 조정하는 것은 평가 지점들 및 목적 함수의 값들을 변경할 수 있다. 이러한 변경들은 모델로부터 시뮬레이션되거나 실제로 측정될 수 있다.
Figure pat00010
의 정규 가중 RMS(root mean square)는
Figure pat00011
로서 정의되고, 따라서, 예를 들어
Figure pat00012
의 가중 RMS를 최소화하는 것은 수학식 1에 정의된 목적 함수
Figure pat00013
을 최소화하는 것과 동등하다는 점에 유의해야 한다. 따라서,
Figure pat00014
의 가중 RMS 및 수학식 1은 본 명세서의 표기 간소화를 위해 교환 가능하게 사용될 수 있다.
최적화 프로세스는 예를 들어 목적 함수를 최소화하는 제약들
Figure pat00015
하에서 설계 변수들의 값들의 세트를 찾는 것, 즉 수학식 2를 찾는 것이다.
Figure pat00016
최적화는 설계 변수들(예를 들어, 파면 파라미터들)에 대한 값들의 단일 세트를 반드시 유도하지는 않는다. 또한, 동공 채움 인자, 레지스트 화학, 처리량 등과 같은 인자들에 의해 유발되는 물리적 제한들이 있을 수 있다. 최적화는 설계 변수들 및 연관된 성능 특성들(예컨대, 처리량)에 대한 값들의 다수의 세트를 제공할 수 있고, 리소그래피 장치의 사용자가 하나 이상의 세트를 선택할 수 있게 한다.
일 실시예에서, 목적 함수를 평가하고 풀기 위해 가우스-뉴턴 알고리즘, 레벤버그-마쿼트(Levenberg-Marquardt) 알고리즘, 기울기 하강 알고리즘, 시뮬레이션된 어닐링, 유전 알고리즘 등과 같은 알고리즘이 적용될 수 있다.
본 개시에 따르면, 도 3, 도 8 및 도 9는 튜닝 스캐너의 파면 결정을 위한 방법들의 흐름도들을 도시한다. 튜닝 스캐너 또는 튜닝 장치는 튜닝 장치의 성능이 기준 성능과 근접하게 매칭(예를 들어, 90% 초과의 윤곽 대 윤곽 매칭)되도록 파면이 조정되는 패터닝 프로세스의 임의의 장치를 지칭한다. 일 실시예에서, 튜닝 스캐너는 매칭될 스캐너(to-be-matched scanner)라고 지칭될 수 있다. 일 실시예에서, 파면 결정은 튜닝 성능(즉, 튜닝 스캐너의 성능)이 기준 성능과 근접하게 매칭될 때까지 튜닝 스캐너의 파면이 점진적으로 수정되는 반복 프로세스(파면 최적화 프로세스라고도 함)이다. 일 실시예에서, 파면은 슬릿 관통 파면(예를 들어, 광이 직사각형 슬릿을 가로질러 투영될 때 생성된 파면)이다.
본 개시에서, 파면은 파면을 정의하는 파면 파라미터들로 교환 가능하게 지칭될 수 있다. 예를 들어, 파면 파라미터들은 파면이 제르니케 다항식에 의해 표현될 때 제르니케 계수들일 수 있다. 제르니케 다항식들이 파면을 나타내기 위해 예로서 사용되지만, 이것은 본 발명의 범위를 제한하지 않으며, 파면을 나타내기 위해 임의의 다른 모델 또는 표현이 사용될 수 있다.
도 3은 렌즈 모델에 기초하여 튜닝 장치의 슬릿을 가로지르는 위치에서 파면을 결정하기 위한 방법(3000)의 흐름도이다. 일 실시예에서, 렌즈 모델은 렌즈의 거동을 특성화하는 임의의 모델일 수 있다. 일 실시예에서, 렌즈 모델은 물리학 기반 수학적 모델, 경험적 모델, 기계 학습 모델, 또는 이들의 조합일 수 있다. 본 개시는 렌즈 모델의 유형으로 제한되지 않는다. 일 실시예에서, 렌즈 모델은 리소그래피 장치의 광학 시스템/투영 시스템을 나타낼 수 있다. 일 실시예에서, 렌즈 모델은 튜닝 스캐너에 대응하는 파면들을 생성한다. 방법(3000)에서, 생성된 파면들은 또한 튜닝 성능을 결정하고, 튜닝 성능을 기준 성능과 매칭시키고, 튜닝 성능이 기준 성능과 근접하게 매칭되도록 파면 파라미터들을 반복적으로 결정하기 위해 사용된다. 일 실시예에서, 기준 성능 및 튜닝 스캐너 성능은 패턴의 윤곽 및/또는 임계 치수에 관하여 표현된다. 방법(3000)에 포함되는 상이한 프로세스는 이하에서 상세하게 더 논의된다.
프로세스(P301)는 파면의 파면 파라미터를 액추에이터 이동들로 변환하도록 구성된 패터닝 장치의 렌즈 모델(3001), 튜닝 스캐너의 (예를 들어, 전단 간섭계를 사용하는) 렌즈 지문(3002), 및 기준 장치의 기준 성능(3007)을 획득하는 단계를 포함한다.
렌즈 모델(3001)은 파면의 파면 파라미터를 튜닝 스캐너의 광학 요소들의 액추에이터 이동들로 변환하도록 구성되는 임의의 모델일 수 있다. 렌즈 모델은 파면 파라미터에 대응하는 튜닝 스캐너의 보정 제한과 관련된 제약들을 포함한다. 일 실시예에서, 파면 파라미터는 파면의 틸트, 오프셋, 곡률 또는 이들의 조합과 관련될 수 있다. 렌즈(3001)는 파면을 광학 요소들의 틸트, 오프셋 및/또는 곡률의 변화에 관련된 대응하는 액추에이터 이동들로 변환한다. 따라서, 렌즈 모델(3001)은 튜닝 스캐너에 대응하는 광학 설정 및 조정 메커니즘들(및 그의 제한들/제약들)을 고려한다. 따라서, 렌즈 모델(3001)은 상이한 스캐너들에 대해 상이한 파면들을 생성할 수 있고, 따라서 튜닝 스캐너에 대응하는 맞춤화된 파면 솔루션을 가능하게 한다.
튜닝 스캐너의 렌즈 지문(3002)은 튜닝 스캐너의 수차들을 지칭한다. 렌즈 지문(3002)은 전형적으로 튜닝 스캐너의 투영 시스템(또는 광학 시스템)의 특성이다. 렌즈 지문(3002)에 기초하여, 제1 파면(또는 제1 파면 세트)이 제1 튜닝 스캐너의 제1 성능을 추가로 결정하는 제1 튜닝 스캐너에서 생성될 수 있다. 유사하게, 제2 파면이 제1 성능과 상이할 수 있는 제2 성능을 추가로 결정하는 제2 스캐너에서 생성될 수 있다. 그러한 성능 차이는 웨이퍼 내에 생성되는 패턴들의 불일치를 유발하므로 바람직하지 않을 수 있다.
일 실시예에서, 렌즈 지문(3002)은 시뮬레이션된 웨이퍼 패턴들을 생성하기 위해 프로세스 모델에 포함될 수 있다. 그러한 시뮬레이션된 웨이퍼 패턴들에 기초하여, 튜닝 스캐너의 성능이 결정될 수 있다. 일 실시예에서, 렌즈 지문(3002)은 앞서 언급된 바와 같이 계측 도구를 통해 측정될 수 있다. 다른 실시예에서, 튜닝 성능(예를 들어, 윤곽)은 튜닝 스캐너에서 노광되는 인쇄된 웨이퍼로부터 결정될 수 있다.
기준 성능(3007)은 이상적인 성능(예를 들어, 도 5에서 논의됨), 또는 기준 장치에 대응하는 기준 성능(예를 들어, 도 6a 내지 도 6c에서 논의됨), 또는 다른 튜닝 스캐너에 대응하는 성능일 수 있다. 기준 성능(3007)은 프로세스(P307)에서 튜닝 성능과 비교하여 튜닝 스캐너에 대한 파면 파라미터들을 더 결정하는 데 사용된다. 기준 성능(3007)은 파면에 의해 영향을 받거나 그와 관련될 수 있는 패터닝 프로세스의 윤곽들, CD 또는 다른 파라미터들에 관하여 표현될 수 있다.
프로세스(P303)는 프로세서(예를 들어, 도 20의 프로세서(104))를 통해 파면(3003)(교환 가능하게 파면 파라미터들(3003)로 지칭됨)을 결정하는 단계를 포함한다. 일 실시예에서, 파면 파라미터의 결정은 튜닝 스캐너의 렌즈 지문(3002), 렌즈 모델(3001) 및 비용 함수에 기초한다. 비용 함수는 기준 성능(예를 들어, 3007)과 튜닝 스캐너 성능 사이의 차이이다. 일 실시예에서, 프로세스들(P305)에서 논의된 바와 같이, 패터닝 프로세스의 시뮬레이션으로부터 튜닝 스캐너 성능(3005)이 획득될 수 있다. 시뮬레이션된 성능은 프로세스(P307)에서 논의된 바와 같이 비용 함수를 평가하기 위해 더 사용된다. 일 실시예에서, 파면(3003)은 초기 파면(3003)이거나, 튜닝 성능(3005)이 기준 성능(3007)과 밀접하게 매칭(예를 들어, 90% 초과의 윤곽 대 윤곽 매칭)되도록 파면 최적화의 반복 동안 생성된 조정된 파면(3003)일 수 있다.
일 실시예에서, 슬릿을 따르는 특정 위치에서의 파면(3003)은 다음과 같이 제르니케 다항식을 사용하여 정의될 수 있다.
Figure pat00017
여기서,
Figure pat00018
는 슬릿을 가로지르는 특정 위치에서의 파면이고, j는 j 번째 제르니케 다항식에 대한 인덱스(예를 들어, Noll 인덱스)이고,
Figure pat00019
는 파면에 대한 j 번째 제르니케 다항식의 기여를 나타내는 제르니케 계수(즉, 파면 파라미터)이고,
Figure pat00020
는 j 번째 제르니케를 특성화하는 다항식이다. 일 실시예에서, 파면 파라미터는 그러한 제르니케 계수들의 벡터로서 표현된다.
일 실시예에 따르면, 파면 파라미터를 결정하는 단계는 (예를 들어, 프로세스들(P305, P307, P309 및 P311을 포함하는) 반복 프로세스이다. 반복은 튜닝 스캐너의 렌즈 지문(3002)을 사용하는 렌즈 모델(3001)의 시뮬레이션을 통해 슬릿의 상이한 위치를 가로지르는 초기 파면(3003) 또는 초기 파면들의 세트(예를 들어, 도 4의 4003)를 생성하는 단계, (예를 들어, 프로세스(P307)에서 논의된 바와 같이) 초기 파면(3003)으로부터 생성되는 기판 패턴(예를 들어, 도 6c의 6016) 또는 기판 패턴들의 세트를 결정하는 단계, 기판 패턴으로부터 튜닝 성능(예를 들어, 도 6의 6016)을 결정하는 단계, (예를 들어, 프로세스(P307)에서 논의된 바와 같이) 튜닝 성능 및 기준 성능(3007)에 기초하여 비용 함수를 평가하는 단계, 및 (예를 들어, 프로세스(P311)에서 논의된 바와 같이) 비용 함수가 개선되도록 비용 함수의 기울기에 기초하여 초기 파면(3003)의 파면 파라미터를 조정하는 단계를 포함한다.
사실상, 파면(3003)은 렌즈 지문(예를 들어, 튜닝 장치의 렌즈 지문(3002)) 및 렌즈 모델의 성능 지문을 포함한다. 성능 지문은 튜닝 장치의 렌즈 지문(3002)이 주어진 경우에 광학 요소들의 조정들의 값들을 지칭한다. 일 실시예에서, 렌즈 지문(3002)은 고정될 수 있고, 따라서 파면 파라미터(3003)의 조정은 렌즈 모델의 성능 지문에 기초한다. 일 실시예에서, 파면은 렌즈 지문 및 렌즈 모델(3001)의 성능 지문의 조합(예컨대, 합산)으로서 표현될 수 있다. 이에 의해, 조정된 파면(3003)은 고정된 렌즈 지문 및 튜닝 스캐너의 렌즈 지문에 대응하는 조정들(또는 보정들)을 포함한다.
프로세스(P305)는 (예를 들어, 제1 반복에서 결정된) 초기 파면(3003) 또는 (예를 들어, 반복 번호 2, 3, 4 등에서) 조정된 파면(3003)으로부터 기판 패턴(3005)을 결정하는 단계를 포함한다. 또한, 프로세스(P305)는 기판 패턴으로부터 튜닝 성능을 결정하는 단계를 포함한다. 예를 들어, 조정 성능은 기판 패턴(3005) 내의 피처의 윤곽(예를 들어, 도 6c의 6016)일 수 있다. 일 실시예에서, 튜닝 성능은 튜닝 스캐너의 튜닝 성능과 관련된 정보(예를 들어, 윤곽들, CD, EPE 등)를 추출하도록 구성되는 이미지 처리를 사용하여 결정될 수 있다. 튜닝 성능은 또한 기준 성능(3007)과 비교하고, 튜닝 성능이 기준 성능(3007)과 근접하게 매칭되도록 파면을 조정하는 데 사용될 수 있다. 기준 성능을 비교하는 예가 도 6a-6c와 관련하여 더 논의된다.
일 실시예에서, 기판 패턴을 결정하는 단계는 초기 파면 또는 조정된 파면을 사용하는 패터닝 프로세스의 프로세스 모델의 시뮬레이션을 포함한다. 프로세스 모델은 마스크 패턴으로부터 마스크 이미지를 예측하도록 구성된 마스크 모델, 마스크 이미지로부터 에어리얼 이미지를 예측하도록 구성된 광학 모델, 에어리얼 이미지에 기초하여 레지스트 이미지를 예측하도록 구성된 레지스트 모델, 레지스트 이미지에 기초하여 에칭 이미지를 예측하도록 구성된 에칭 모델, 또는 이들의 조합을 포함할 수 있다.
다른 실시예에서, 기판 패턴을 결정하는 단계는 계측 도구를 통해, 노광된 기판의 기판 측정치들을 수신하는 단계 - 기판은 파면(3003)(예를 들어, 도 4의 파면(4003))을 사용하여 노광됨 -; 및 기판 측정치로부터의 윤곽 추출에 기초하여 기판 패턴을 결정하는 단계를 포함한다. 일 실시예에서, 계측 도구는 광학 도구 또는 e-빔 현미경(예를 들어, SEM)일 수 있다. 일 실시예에서, 측정치는 노광된 기판의 SEM 이미지일 수 있다.
프로세스(P307)는 튜닝 성능 및 기준 성능(3007)에 기초하여 비용 함수를 평가하는 단계를 포함한다. 일 실시예에서, 비용 함수는 튜닝 성능과 기준 성능(3007) 사이의 차이이다. 비용 함수는, 예를 들어, 기준 성능에 더 가까운 튜닝 성능을 생성하기 위해 파면을 반복적으로 수정함으로써 감소될 수 있다(일 실시예에서, 최소화되거나 최대화될 수 있다). 일 실시예에서, 비용 함수는 에지 배치 에러, CD, 에지 배치의 허용 한계 대역 내의 에러이다(예를 들어, 도 7 참조). 일 실시예에서, 비용 함수는 윤곽을 따르는 평가 지점들(예를 들어, 도 6b의 6008)에서 평가된다. 일 실시예에서, EPE가 최소화되거나 1/EPE가 최대화될 수 있다.
프로세스(P309)는 수렴에 도달했는지를 결정하는 단계를 포함한다. 일 실시예에서, 수렴은 최대 반복 횟수와 관련된 메트릭, EPE(CD 또는 다른 파라미터들)와 관련된 임계 값에 기초할 수 있다. 일 실시예에서, 수렴은 파면 조정들의 결과로서 튜닝 스캐너 성능의 추가적인 개선이 없다는 것을 나타낸다.
프로세스(P311)는 비용 함수가 개선되도록 비용 함수의 기울기에 기초하여 초기 파면(3003)(예를 들어, 도 4의 4003)의 파면 파라미터(3110)를 조정하는 단계를 포함한다. 예를 들어, 기울기는 EPE(또는 CD)에 대한 비용 함수의 미분으로서 계산될 수 있다. 예를 들어, 기울기는 기준 윤곽을 따르는 상이한 평가 지점들에서의 EPE1, EPE2, EPE3 등에 대한 비용 함수의 편미분일 수 있다. 기울기는 비용 함수의 값을 감소시키기 위해 어느 EPE들이 감소되어야 하는지에 대한 안내를 제공한다. 따라서, 파면 파라미터들은 결과적인 윤곽이 각각의 EPE들을 감소시키도록 수정된다. 일 실시예에서, EPE는 도 6c와 관련하여 도시되고 논의된 상이한 평가 지점들에서 평가될 수 있다. 따라서, 조정된 파면은 슬릿을 가로지르는 (예를 들어, 길이를 따른) 하나 이상의 위치에서의 파면 파라미터들의 수정을 포함한다. 일 실시예에서, 조정은 제르니케 계수들의 수정들을 포함한다.
튜닝 스캐너에 대한 최적화된 파면(3110)이 결정되면, 방법은 렌즈 모델을 통해 파면 파라미터들을 액추에이터 이동들로 변환하는 단계 및 액추에이터 이동들에 기초하여 튜닝 장치의 광학 시스템을 작동시키는 단계를 더 포함할 수 있다.
도 4는 파면 최적화 프로세스(예를 들어, 3000 또는 8000) 동안 생성된 슬릿(4003)을 가로지르는 상이한 위치들에서의 예시적인 파면들을 도시한다. 도 4는 제1 위치(예를 들어, 슬릿의 제1 단부)에서 결정된 제1 파면(4003a), 제2 위치(예를 들어, 슬릿의 중심)에서 결정된 제2 파면(4003b), 제3 위치(예를 들어, 슬릿의 제2 단부)에서 결정된 제3 파면(4003c) 등을 도시한다. 파면들(4003a 내지 4003c) 각각은 기판 상의 인쇄된 패턴에서의 에러(예를 들어, EPE, CD 또는 다른 피처 관련 메트릭에서 측정됨)에 잠재적으로 기여할 수 있다. 일 실시예에서, 누적 에러(예를 들어, EPE들의 합)를 결정하기 위해 에러들(예를 들어, EPE)가 더해진다. 누적 에러는 누적 에러가 감소(일 실시예에서, 최소화)되도록 파면(즉, 파면 파라미터들)을 조정하는 데 더 사용되는 비용 함수일 수 있다. 예를 들어, 도 6a-6c를 참조하면, EPE들은 튜닝 스캐너의 (예를 들어, 시뮬레이션된 패턴으로부터 추출된) 윤곽을 따르는 다양한 평가 지점들에서 평가되고, (예를 들어, 프로세스(P309/P811)에서) EPE가 감소 또는 최소화될 때까지 (예를 들어, 프로세스(P303/P805)에서) 틸트 및 오프셋과 같은 파면 파라미터들이 결정된다. 수렴 시에, 파면들(4003a 내지 4003c)의 최적화된 버전이 획득된다.
도 5는 수차가 없는 스캐너에 대응하는 이상적인 성능의 예이다. 일 실시예에서, 이상적인 성능은 시뮬레이션된 패턴(5006)(윤곽선(5006)으로서 교환가능하게 지칭됨)에 의해 표현된다. 도 5에서, 마스크 패턴(5002)은 직사각 형상의 설계 패턴(5004)에 대응하는 광학적 근접 보정을 갖는 마스크 패턴이다. 마스크 패턴(5002)은 또한 패터닝 프로세스를 시뮬레이션하여 시뮬레이션된 패턴(5006)을 생성하는 데 사용된다. 일 실시예에서, 시뮬레이션은 수차 기여가 없는 프로세스 모델(예를 들어, 광학 모델을 포함함)을 포함한다. 시뮬레이션된 윤곽(5006)은, 예를 들어, 윤곽(5006 및 5004) 사이의 EPE를 결정하기 위해 설계 패턴(5004)과 정렬될 수 있다. 일 실시예에서, 평가 지점들(5008)은 시뮬레이트된 윤곽(5006)을 따라 표시될 수 있다. 평가 지점들(5008)은 피처에 관련된 파라미터들(예를 들어, CD) 또는 파라미터들(예를 들어, EPE)의 평가에 사용되는 윤곽을 따른 지점들이다. 평가는 평가 지점들에서 측정들을 행하고/행하거나, 예를 들어 평가 지점들 중 하나 이상에서의 EPE 또는 윤곽(5006) 상의 소정 위치에서의 CD 값(예를 들어, 윤곽(5006)의 길이 또는 폭)을 결정하는 것을 포함할 수 있다. 측정치들(예를 들어, EPE 및 CD)은 (예를 들어, 프로세스(P303)에서) 파면 또는 파면 파라미터들을 수정하기 위해 (예를 들어, 프로세스(P309)에서) 비용 함수에서 더 사용될 수 있다.
도 6a는 도 5의 이상적인 윤곽(5006)과 중첩된 기준 윤곽(6006)의 예를 도시한다. 기준 윤곽(6006)은 (예를 들어, SEM 이미지로부터) 노광된 웨이퍼의 시뮬레이션 또는 측정들을 통해 획득될 수 있다. 기준 윤곽(6006)은 기준 장치의 수차들을 고려하고, 그에 의해 윤곽(6006)은 이상적인 윤곽(5006)과 상이하다. 일 실시예에서, 기준 윤곽(6006)은 시뮬레이션에 대한 입력들 중 하나로서 기준 장치의 수차 또는 렌즈 지문(기준 렌즈 지문이라고도 지칭됨)을 갖는 프로세스 모델들(예컨대, 마스크 모델, 광학 모델, 레지스트 모델 등)의 시뮬레이션을 통해 획득될 수 있다. 기준 윤곽(6006)은 중첩될 때 이상적인 윤곽(5006)으로부터 오프셋된다. 일 실시예에서, 기준 윤곽(6006)은 기준 성능(예컨대, 윤곽, CD 등)을 결정하는 데 사용될 수 있다. 또한, 튜닝 스캐너에 대한 파면 조정은 튜닝 성능과 기준 성능(6006) 사이의 차이에 기초하여 결정될 수 있다.
튜닝 성능은 튜닝 스캐너의 성능을 지칭한다. 튜닝 성능은 기준 성능과 유사한 방식으로 튜닝 윤곽(6016)(도 6c에 도시됨)으로부터 결정될 수 있다. 일 실시예에서, 튜닝 성능(예를 들어, 6016)은 튜닝 스캐너의 렌즈 지문을 포함하는 프로세스 모델들의 시뮬레이션을 통해 획득될 수 있다. 시뮬레이션은 윤곽(6016)을 유발한다. 일 실시예에서, 기준 성능(예를 들어, 6006)과 매칭되는 튜닝 성능(예를 들어, 6016)을 획득하기 위해 파면 파라미터들이 변경되는 동안, 튜닝 스캐너의 렌즈 지문은 고정된다.
파면 최적화 프로세스(예를 들어, 프로세스(P303-P311 또는 P805-p813)) 동안, 튜닝 윤곽(6016)은 프로세스 모델의 시뮬레이션 동안 이용되는 (예를 들어, 프로세스(P303)에서의) 파면 파라미터들에 따라 변할 수 있다. 예를 들어, 파면 또는 파면 파라미터들이 변함에 따라, 프로세스 모델의 시뮬레이션은 상이한 튜닝 윤곽들을 생성한다. 그 다음, (고정될 수 있는) 기준 윤곽에 대한 튜닝 윤곽의 차이가 결정된다.
예를 들어, 튜닝 윤곽(6016)은 (도 6c에 도시된) 기준 윤곽(6006)과 정렬될 수 있고, 윤곽들(6006 및 6016) 사이의 차이가 결정될 수 있다. 차이는 피처들과 관련된 EPE, CD 또는 다른 파라미터들일 수 있다. 일 실시예에서, 윤곽들(6006, 6016) 사이의 차이는 도 5의 지점들(5008)과 유사한 목적에 도움이 되는 평가 지점들(6008)에서 결정될 수 있다. 예를 들어, 평가 지점들(6008)(도 6b에 도시됨)은 기준 윤곽(6006) 상에 표시될 수 있고, 윤곽들(6006, 6016) 사이의 차이(예를 들어, EPE, CD 등)가 그러한 지점들(6008)에서 결정될 수 있다.
도 7은 튜닝 스캐너의 윤곽 기반 매칭에 대한 허용 한계 대역을 도시한다. 허용 한계 대역은 기준 윤곽 또는 이상적인 윤곽에 대한 윤곽의 상한 및 하한(또는 EPE 또는 CD와 같은 관련 메트릭)을 지칭한다. 도 7에서, 피처(5004)에 대응하는 이상적인 윤곽(5006)(또는 기준 윤곽(6006))이 기준으로서 사용되고, 상한(예를 들어, 7007) 및 하한(예를 들어, 7005)이 윤곽(5006) 주위에 설정된다. 일 실시예에서, 상한은 외측 오프셋 윤곽(7007)이고, 하한은 내측 오프셋 윤곽(7005)이다. 외측 오프셋 윤곽(7007) 및 내측 오프셋 윤곽(7005)은 이상적인 윤곽(5006)을 미리 결정된 거리만큼 오프셋시킴으로써 획득되는 윤곽들이다.
튜닝 성능(예를 들어, 튜닝 윤곽)이 허용 한계 대역 내에(즉, 윤곽(7007 및 7005) 내에) 있는지를 결정하기 위해 윤곽들(7005 및 7007) 상에 추가의 평가 지점들이 표시될 수 있다. 일 실시예에서, 파면 최적화 프로세스(예를 들어, 프로세스들(P303-P311 또는 P805-P813)) 동안, 파면 파라미터들은 튜닝 윤곽이 허용 한계 대역 내에 포함될 때까지 수정될 수 있다.
도 8은 기준 장치에 대한 튜닝 스캐너의 파면 최적화를 위한 다른 흐름도이다. 방법은 (예를 들어, 전단 간섭계를 사용하여) 기준 렌즈 지문(8001)에 대응하는 기준 장치의 기준 성능(예를 들어, 프로세스(P803)로부터의 8003) 및 튜닝 스캐너의 렌즈 지문(예를 들어, 8004)을 획득하는 단계를 포함한다. 또한, 방법은 (예를 들어, 프로세스(P805)에서) 렌즈 지문(8005) 및 비용 함수에 기초하여 튜닝 스캐너의 파면 파라미터를 결정하는 단계를 포함하며, 비용 함수는 기준 성능과 튜닝 스캐너 성능 사이의 차이를 계산한다. 기준 성능 및 튜닝 성능(예를 들어, 도 6b-6c의 윤곽들)은 도 3과 관련하여 논의된 것과 유사하다.
일 실시예에 따르면, 파면 파라미터의 결정은 반복 프로세스(예를 들어, 프로세스(P805, P807, P809, P811 및/또는 P813)을 포함함)이다. 반복은 프로세스 모델의 시뮬레이션을 통해, 패터닝 디바이스 패턴(예를 들어, 슬릿 관통 마스크(8002)) 및 튜닝 스캐너의 렌즈 지문(8007)을 사용하여 기판 패턴(예를 들어, 8005)을 결정하는 단계, 기판 패턴(예를 들어, 8008)에 기초하여 튜닝 스캐너 성능을 결정하는 단계, 이어서 튜닝 스캐너 성능 및 기준 성능에 기초하여 비용 함수를 평가하는 단계(예를 들어, 프로세스(P809)), 및 비용 함수가 개선되도록 파면 파라미터에 대한 비용 함수의 기울기에 기초하여 파면 파라미터를 조정하는 단계(예를 들어, 프로세스(P813))를 포함한다. 방법(8000)에 포함되는 상이한 프로세스가 이하에서 상세하게 더 논의된다.
프로세스(P801)는 기준 장치의 기준 성능(8001), 패터닝 디바이스 패턴(예를 들어, 슬릿 관통 마스크), 및 튜닝 스캐너의 렌즈 지문(8005)을 획득하는 단계를 포함한다. 일 실시예에서, "슬릿 관통 마스크"는 슬릿을 통해 변하는 근접 및 음영 효과를 보상하기 위한 (예를 들어, 슬릿의 길이를 따르는) 특정 슬릿 위치들에 대한 포스트-OPC 마스크(post OPC mask)이다.
일 실시예에서, 위상 제어 소스 마스크 최적화 프로세스의 시뮬레이션을 통해 슬릿 관통 마스크(예를 들어, 8002)가 생성되고, 렌즈 수차 모델이 소스 마스크 최적화(SMO) 프로세스에 포함된다. SMO는 제약 없이 그리고 실행 가능한 시간 내에 목적 함수를 사용하여 조명 모드 및 패터닝 디바이스 패턴의 동시 최적화를 허용하는 조명 모드 및 패터닝 디바이스 패턴 최적화 방법이며, 본 명세서에 그 전체가 참고로 포함되는 "Fast freeform Source and Mask Co-Optimization Method"라는 명칭의 PCT 특허 출원 공개 번호 WO 2010/059954에 설명되어 있다. 조명 분포의 픽셀들을 조정함으로써 조명을 최적화하는 것을 포함하는 다른 조명 및 패터닝 디바이스 최적화 방법 및 시스템이 발명의 명칭이 "Source-Mask Optimization in Lithographic Apparatus"인 미국 특허 출원 공개 제2010/0315614호에 설명되어 있으며, 이 미국 출원은 그 전체가 본 명세서에 참고로 포함된다.
일 실시예에서, 슬릿을 통해 전파하는 광이 패터닝 디바이스 패턴(8002)(예컨대, 마스크 패턴 또는 슬릿 관통 마스크) 및 광학 시스템 위로 지나갈 때, 원하는 레이아웃 또는 설계 레이아웃의 기판 패턴이 기판 상에 인쇄된다. 인쇄된 패턴은 패터닝 장치(예를 들어, 기준 장치 또는 튜닝 스캐너)에 의해 생성된 파면의 함수이다. 앞서 언급된 바와 같이, 파면은 광학 시스템의 특성들(예를 들어, 수차들)의 함수이다. 따라서, 렌즈 지문들(예를 들어, 8001 및 8007)에 따라, 스캐너는 상이한 패턴들/성능을 생성할 수 있다.
일 실시예에서, 기준 성능(예를 들어, 6006)은 기준 장치 상에 인쇄된 웨이퍼에 대한 측정들을 통해 또는 기준 성능을 예측하도록 구성된 프로세스 모델의 시뮬레이션을 통해 획득될 수 있다.
예를 들어, 프로세스(P803)에서, 기준 장치의 기준 렌즈 지문(8001)을 측정하고, 프로세스 모델의 시뮬레이션을 통해, 기준 장치의 측정된 렌즈 지문 및 설계 레이아웃에 대응하는 슬릿 관통 마스크에 기초하여 기준 패턴을 생성하고, (예를 들어, 도 5, 도 6a 내지 도 6c 및 도 7과 관련하여 앞서 논의된 바와 같이) 기준 패턴의 윤곽에 기초하여 기준 성능(8003)을 결정함으로써 기준 성능이 결정된다.
일 실시예에서, 기준 장치는 웨이퍼 제조 설비의 스캐너, 광학 수차를 갖지 않는 이상적인 스캐너; 및/또는 웨이퍼 제조 설비 내의 복수의 스캐너의 평균 수차에 대해 보상되는 수차 보상된 스캐너일 수 있다.
프로세스(P805)(P305와 유사함)는 튜닝 스캐너의 파면 파라미터를 결정하는 단계를 포함한다. 예를 들어, P305에서 논의된 바와 같이, 파면 파라미터는 파면의 틸트, 오프셋 및/또는 곡률일 수 있다. 일 실시예에서, 파면은 제르니케 다항식으로서 표현될 수 있고, 파면 파라미터들은 제르니케 계수들이다.
프로세스(P807)는 프로세스 모델의 시뮬레이션을 통해, 패터닝 디바이스 패턴 및 튜닝 스캐너의 렌즈 지문(8007)을 사용하여 기판 패턴(8008)을 결정하는 단계, 및 기판 패턴(8008)에 기초하여 튜닝 스캐너 성능(예를 들어, 6016)(성능(8008)이라고 교환가능하게 지칭됨)을 결정하는 단계를 포함한다. 앞서 언급된 바와 같이, 프로세스 모델은 마스크 모델, 광학 모델, 레지스트 모델, 또는 이들의 조합일 수 있다.
프로세스(P809)(P307과 유사함)는 튜닝 스캐너 성능(8008) 및 기준 성능(8003)에 기초하여 비용 함수를 평가하는 단계를 포함한다. 예를 들어, 비용 함수는 성능들 사이의 차이일 수 있다. 일 실시예에서, 비용 함수는 에지 배치 에러, CD 및/또는 에지 배치의 허용 한계 대역 내의 에러이다.
프로세스(P811)는 수렴에 도달했는지를 결정하는 단계를 포함한다. 프로세스(P309)와 유사하게, 예를 들어, 수렴은 최대 반복 횟수와 관련된 메트릭, EPE(CD 또는 다른 파라미터들)와 관련된 임계값에 기초할 수 있다. 일 실시예에서, 수렴은 파면 조정들의 결과로서 튜닝 스캐너 성능의 추가적인 개선이 없다는 것을 나타낸다.
프로세스(P813)는 비용 함수가 개선되도록, 파면 파라미터에 대한 비용 함수의 기울기에 기초하여 파면 파라미터(8110)를 조정하는 단계를 포함한다. 프로세스(P311)와 유사하게 그리고 도 6c에서 논의된 바와 같이, 예를 들어, 기울기는 EPE(또는 CD)에 대한 비용 함수의 미분으로서 계산될 수 있다. 일 실시예에서, 조정은 제르니케 계수들의 수정들을 포함한다.
도 9는 핫스팟 패턴들에 기초하여 파면을 결정하기 위한 방법의 흐름도이다. 예를 들어, 튜닝 스캐너 성능은 튜닝 스캐너의 일관된 성능을 보장하기 위해 패터닝 프로세스 동안 상이한 기간들에 걸쳐 핫스팟 패턴들과 관련하여 평가될 수 있다. 일 실시예에서, 평가는 데이터베이스에 저장된 기준 성능과의 비교를 포함할 수 있다. 데이터베이스는 하나 이상의(일 실시예에서, 각각의) 핫스팟 패턴에 대해 이전에 결정된 최적화된 파면 파라미터들을 포함한다. 기준 성능과의 비교에 기초하여, 튜닝 스캐너를 조정하기 위해 파면 파라미터들의 세트가 선택될 수 있다.
프로세스(P901)는 기판의 층에 대응하는 복수의 핫스팟 패턴, 복수의 핫스팟 패턴에 대응하는 (예를 들어, 방법들(3000 또는 4000)로부터 획득된) 복수의 파면(9001), 및 튜닝 스캐너의 렌즈 지문(예를 들어, 3002, 8007 등)을 획득하는 단계를 포함한다. 핫스팟 패턴들을 획득하는 예시적인 방법이 도 11에서 논의된다.
일 실시예에서, 복수의 파면 중 하나 이상은 최적화된 파면 파라미터들(예를 들어, 3110 또는 8110)을 포함한다. 또한, 복수의 파면 중 하나 이상은 특정 기준 성능과 연관된다. 핫스팟 패턴들 및 기준 성능과 관련된 그러한 관계 정보는 데이터베이스(예를 들어, 도 20의 데이터베이스(152))에 저장되고 스캐너를 튜닝하도록 검색될 수 있다. 일 실시예에서, 튜닝은 예를 들어 제조 프로세스 동안 오프라인 또는 실시간으로 이루어질 수 있다.
프로세스(P903)(프로세스들 P807과 유사함)는 렌즈 지문을 사용하는 패터닝 프로세스의 시뮬레이션을 통해, 튜닝 스캐너 성능을 결정하는 단계를 포함한다. 시뮬레이션 프로세스의 예가 도 10에서 논의된다. 또한, 프로세스(P905)는 튜닝 스캐너 성능과 기준 성능 간의 비교에 기초하여 복수의 파면으로부터 튜닝 스캐너에 대한 파면 파라미터들을 프로세서(예를 들어, 도 20의 프로세서(104))를 통해 선택하는 단계를 포함한다.
예를 들어, 튜닝 성능이 기준 성능으로부터 실질적으로 벗어나면, 튜닝 스캐너 성능과 매칭되는 기준 성능이 데이터베이스(152)로부터 검색될 수 있고, 대응하는 최적화된 파면 파라미터들이 튜닝 스캐너에 대해 사용될 수 있다.
패터닝 프로세스에서 일관성을 달성하기 위한 파면 최적화의 상기 방법들은 칩 메이커가 스캐너 대 스캐너 성능 매칭, 예를 들면 EPE 및/또는 CD 슬릿 관통 매칭을 개선하는 것을 가능하게 한다. 매칭 프로세스는 특정 기술 노드 및 층에 대한 시간 소모적인 렌즈 설정 절차 및 스캐너 다운 시간을 제거한다. 또한, 상기 방법들에 의해, 생산성이 실시간으로 향상될 수 있다. 따라서, 생산성은 레티클 및/또는 스캐너 개선에 전용화되지 않는다.
패터닝 프로세스(예를 들어, 리소그래피 장치에서의 리소그래피)의 부분들을 모델링 및/또는 시뮬레이션하기 위한 예시적인 흐름도가 도 10에 도시된다. 이해되는 바와 같이, 모델들은 상이한 패터닝 프로세스를 나타낼 수 있으며, 후술되는 모든 모델들을 포함할 필요는 없다. 소스 모델(600)은 패터닝 디바이스의 조명의 광학 특성들(복사선 강도 분포, 대역폭 및/또는 위상 분포를 포함함)을 나타낸다. 소스 모델(600)은 개구수 설정, 조명 시그마(σ) 설정뿐만 아니라 임의의 특정한 조명 형상(예를 들어, 환형, 사극자, 쌍극자 등과 같은 오프-축 복사선 형상)을 포함하지만 이것으로 제한되지 않는 조명의 광학 특성들을 나타낼 수 있고, 여기서 σ(또는 시그마)는 조명기의 외측 방사상 범위이다.
투영 광학계 모델(610)은 투영 광학계들의 광학 특성들(투영 광학계에 의해 유발되는 복사선 강도 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 투영 광학계 모델(610)은 수차, 왜곡, 하나 이상의 굴절률, 하나 이상의 물리적 크기, 하나 이상의 물리적 치수 등을 포함하는 투영 광학계의 광학 특성들을 나타낼 수 있다.
패터닝 디바이스 모델 모듈(120)은 설계 피처들이 패터닝 디바이스의 패턴에 어떻게 레이아웃되는지를 캡처하고, 예를 들어 미국 특허 제7,587,704호에 설명된 바와 같이, 패터닝 디바이스의 상세한 물리적 특성들의 표현을 포함할 수 있다. 시뮬레이션의 목적은 예를 들어 에지 배치들 및 CD들을 정확하게 예측하는 것이며, 이들은 이어서 디바이스 설계와 비교될 수 있다. 디바이스 설계는 일반적으로 프리-OPC 패터닝 디바이스 레이아웃으로서 정의되며, GDSII 또는 OASIS 등의 표준화된 디지털 파일 포맷으로 제공될 것이다.
설계 레이아웃 모델(620)은 패터닝 디바이스 상의 또는 패터닝 디바이스에 의해 형성된 피처들의 배열의 표현인 설계 레이아웃(예를 들어, 집적 회로, 메모리, 전자 디바이스 등의 피처에 대응하는 디바이스 설계 레이아웃)의 광학 특성들(주어진 설계 레이아웃에 의해 유발된 복사선 강도 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 설계 레이아웃 모델(620)은 예를 들어 미국 특허 제7,587,704호에 설명된 바와 같이, 물리적 패터닝 장치의 하나 이상의 물리적 특성을 나타낼 수 있고, 이 미국 특허는 그 전체가 참고로 포함된다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스가 변경될 수 있기 때문에, 적어도 조명 및 투영 광학계를 포함하는 패터닝 디바이스의 광학 특성들을 리소그래피 투영 장치의 나머지의 광학 특성들로부터 분리하는 것이 바람직하다.
에어리얼 이미지(630)는 소스 모델(600), 투영 광학 모델(610) 및 설계 레이아웃 모델(620)로부터 시뮬레이션될 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 복사선 강도 분포이다. 리소그래피 투영 장치의 광학 특성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학계의 특성들)은 에어리얼 이미지를 구술한다.
기판 상의 레지스트 층은 에어리얼 이미지에 의해 노광되고, 에어리얼 이미지는 레지스트 층에 그 안의 잠재 "레지스트 이미지"(RI)로서 전사된다. 레지스트 이미지(RI)는 레지스트 층 내의 레지스트의 용해도의 공간적 분포로서 정의될 수 있다. 레지스트 이미지(650)는 레지스트 모델(640)을 이용하여 에어리얼 이미지(630)로부터 시뮬레이션될 수 있다. 레지스트 모델은 에어리얼 이미지로부터 레지스트 이미지를 계산하는 데 사용될 수 있고, 그 예는 미국 특허 출원 공개 번호 US 2009-0157360호에서 찾을 수 있고, 이 미국 출원의 개시는 본 명세서에 그 전체가 참고로 포함된다. 레지스트 모델은 예를 들어 기판 상에 형성된 레지스트 피처들의 윤곽들을 예측하기 위해 레지스트 노광, 포스트-노광 베이크(PEB) 및 현상 동안 발생하는 화학적 프로세스들의 효과들을 전형적으로 설명하며, 따라서 전형적으로 레지스트 층의 그러한 특성들(예를 들어, 노광, 포스트-노광 베이크 및 현상 동안 발생하는 화학적 프로세스들의 효과들)에만 관련된다. 일 실시예에서, 레지스트 층의 광학 특성들, 예컨대, 굴절률, 막 두께, 전파 및 편광 효과들이 투영 광학계 모델(610)의 일부로서 캡처될 수 있다.
따라서, 일반적으로, 광학 모델과 레지스트 모델 사이의 연결은 기판 상으로의 복사선의 투영, 레지스트 계면에서의 굴절 및 레지스트 막 스택에서의 다수의 반사로부터 발생하는, 레지스트 층 내에서의 시뮬레이션된 에어리얼 이미지 강도이다. 복사선 강도 분포(에어리얼 이미지 강도)는 입사 에너지의 흡수에 의해 잠재 "레지스트 이미지"로 변환되며, 이는 확산 프로세스들 및 다양한 로딩 효과들에 의해 더 수정된다. 풀-칩 응용들을 위해 충분히 빠른 효율적인 시뮬레이션 방법들은 2차원 에어리얼 (및 레지스트) 이미지에 의해 레지스트 스택 내의 사실적인 3차원 강도 분포를 근사화한다.
일 실시예에서, 레지스트 이미지는 포스트-패턴 전사 프로세스 모델 모듈(150)에 대한 입력으로 사용될 수 있다. 포스트-패턴 전사 프로세스 모델(150)은 하나 이상의 포스트-레지스트 현상 프로세스(예컨대, 에칭, 현상 등)의 성능을 정의한다.
패터닝 프로세스의 시뮬레이션은 예를 들어 레지스트 및/또는 에칭된 이미지에서 윤곽들, CD들, 에지 배치(예를 들어, 에지 배치 에러) 등을 예측할 수 있다. 따라서, 시뮬레이션의 목적은, 예를 들어, 인쇄된 패턴의 에지 배치 및/또는 에어리얼 이미지 강도 기울기 및/또는 CD 등을 정확하게 예측하는 것이다. 이 값들은 예컨대, 패터닝 프로세스를 보정하고, 결함이 발생할 것으로 예측되는 곳을 식별하는 것 등을 위해, 의도된 설계와 비교될 수 있다. 의도된 설계는 일반적으로 GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 프리-OPC 설계 레이아웃으로서 정의된다.
따라서, 모델 공식은 전체 프로세스의 공지된 물리학 및 화학의 전부는 아니더라도 대부분을 설명하고, 모델 파라미터들 각각은 바람직하게 별개의 물리적 또는 화학적 효과에 대응한다. 따라서, 모델 공식은 전체적인 제조 프로세스를 시뮬레이션하기 위해 모델이 얼마나 잘 사용될 수 있는지에 대한 상한을 설정한다.
예를 들어, 반도체 웨이퍼들의 검사는 종종 광학 기반 하위 분해능 도구들(명시야 검사)로 행해진다. 그러나, 일부 경우들에서, 측정될 소정 피처들은 너무 작아서 명시야 검사를 사용하여 효과적으로 측정될 수 없다. 예를 들어, 반도체 디바이스의 피처들에서의 결함들의 명시야 검사는 어려울 수 있다. 더욱이, 시간이 진행함에 따라, 패터닝 프로세스들을 사용하여 만들어지고 있는 피처들(예를 들어, 리소그래피를 사용하여 만들어지는 반도체 피처들)은 더 작아지고 있고, 많은 경우들에서, 피처들의 밀도가 또한 증가하고 있다. 따라서, 더 높은 분해능의 검사 기술이 사용되고 요구된다. 예시적인 검사 기술은 전자 빔 검사이다. 전자 빔 검사는 검사될 기판 상의 작은 스팟 상에 전자들의 빔을 포커싱하는 것을 포함한다. 검사되는 기판의 영역에 걸쳐 빔과 기판 사이의 상대적인 이동(이하, 전자 빔을 스캐닝하는 것으로 지칭됨)을 제공하고 전자 검출기로 2차 및/또는 후방산란 전자들을 수집함으로써 이미지가 형성된다. 이어서, 예를 들어, 결함들을 식별하기 위해 이미지 데이터가 처리된다.
따라서, 일 실시예에서, 검사 장치는 기판 상에 노광되거나 전사된 구조(예를 들어, 집적 회로와 같은 디바이스의 구조의 일부 또는 전부)의 이미지를 산출하는 전자 빔 검사 장치(예를 들어, 주사 전자 현미경(SEM)과 동일하거나 유사함)일 수 있다.
도 11은 일 실시예에 따른, 리소그래피 프로세스에서 결함들의 존재를 결정하는 방법에 대한 흐름도를 도시한다. 프로세스(P411)에서, 핫스팟들 또는 그 위치들이 패턴들(예컨대, 패터닝 디바이스 상의 패턴들)로부터 임의의 적절한 방법을 사용하여 식별된다. 예를 들어, 경험 모델 또는 계산 모델을 사용하여 패턴들 상의 패턴들을 분석함으로써 핫스팟들이 식별될 수 있다. 경험 모델에서, 패턴들의 이미지들(예를 들어, 레지스트 이미지, 광학 이미지, 에칭 이미지)는 시뮬레이션되지 않고; 대신에, 경험 모델은 처리 파라미터들, 패턴들의 파라미터들 및 결함들 사이의 상관 관계들에 기초하여 결함들 또는 결함들의 확률을 예측한다. 예를 들어, 경험 모델은 분류 모델 또는 결함이 발생하기 쉬운 패턴들의 데이터베이스일 수 있다. 계산 모델에서, 이미지들의 일부 또는 특성이 계산 또는 시뮬레이션되고, 일부 또는 특성에 기초하여 결함들이 식별된다. 예를 들어, 라인 풀백 결함은 그의 원하는 위치로부터 너무 멀리 떨어진 라인 단부를 발견함으로써 식별될 수 있고; 브리징 결함은 2개의 라인이 바람직하지 않게 연결되는 위치를 발견함으로써 식별될 수 있고; 중첩 결함은 개별 층들 상의 2개의 피처가 바람직하지 않게 중첩되거나 바람직하지 않게 중첩되지 않는 것을 발견함으로써 식별될 수 있다. 경험 모델은 보통 계산 모델보다 계산 비용이 덜 든다. 핫스팟들의 프로세스 윈도우들을 개별 핫스팟들의 핫스팟 위치들 및 프로세스 윈도우들에 기초하여 결정하고/하거나 맵으로 컴파일하는 것, 즉 프로세스 윈도우들을 위치의 함수로서 결정하는 것이 가능하다. 이 프로세스 윈도우 맵은 패턴들의 레이아웃 특정 감도들 및 처리 마진들을 특성화할 수 있다. 다른 예에서, 핫스팟들, 그들의 위치들 및/또는 그들의 프로세스 윈도우들은 예를 들어 FEM 웨이퍼 검사 또는 적절한 계측 도구에 의해 실험적으로 결정될 수 있다. 결함들은 레지스트 상부 손실, 레지스트 언더컷 등과 같은 현상 후 검사(ADI)(일반적으로 광학 검사)에서 검출될 수 없는 결함들을 포함할 수 있다. 종래의 검사는 기판이 비가역적으로 처리(예를 들어, 에칭)된 후에만 그러한 결함들을 드러내며, 이 시점에서 웨이퍼는 재작업될 수 없다. 따라서, 이러한 레지스트 상부 손실 결함들은 이 문서를 드래프팅할 때 현재의 광학 기술을 사용하여 검출될 수 없다. 그러나, 레지스트 상부 손실이 발생할 수 있는 곳 및 심각성이 어떠한지를 결정하기 위해 시뮬레이션이 사용될 수 있다. 이러한 정보에 기초하여, 더 정확한(그리고 전형적으로 더 시간 소모적인) 검사 방법을 사용하여 특정한 가능한 결함을 검사하여 결함이 재작업을 필요로 하는지를 결정하기로 결정될 수 있거나, 비가역적 처리(예를 들어, 에칭)가 수행되기 전에 특정 레지스트 층의 이미징을 재작업하기로(레지스트 상부 손실 결함을 갖는 레지스트 층을 제거하고 특정 층의 이미징을 재실행하기 위해 웨이퍼를 다시 코팅하기로) 결정될 수 있다.
프로세스(P412)에서, 핫스팟들이 처리되는 (예를 들어, 기판 상에 이미징되거나 에칭되는) 처리 파라미터들이 결정된다. 처리 파라미터들은 국지적일 수 있는데, 핫스팟들, 다이들 또는 둘 다의 위치들에 의존할 수 있다. 처리 파라미터들은 전역적일 수 있는데, 핫스팟들 및 다이들의 위치들과 무관할 수 있다. 처리 파라미터들을 결정하는 하나의 예시적인 방법은 리소그래피 장치의 상태를 결정하는 것이다. 예를 들어, 레이저 대역폭, 초점, 도즈, 소스 파라미터들, 투영 광학 파라미터들, 및 이러한 파라미터들의 공간적 또는 시간적 변화들이 리소그래피 장치로부터 측정될 수 있다. 다른 예시적인 방법은 기판 상에서 수행되는 계측으로부터 또는 처리 장치의 운영자로부터 획득된 데이터로부터 처리 파라미터들을 추론하는 것이다. 예를 들어, 계측은 회절 도구(예를 들어, ASML YieldStar), 전자 현미경, 또는 다른 적절한 검사 도구들을 사용하여 기판을 검사하는 것을 포함할 수 있다. 식별된 핫스팟들을 포함하는, 처리된 기판 상의 임의의 위치에 대한 처리 파라미터들을 획득하는 것이 가능하다. 처리 파라미터들은 위치의 함수로서 맵 - 리소그래피 파라미터들 또는 프로세스 조건들 -으로 컴파일될 수 있다. 물론, 다른 처리 파라미터들은 위치의 기능들, 즉 맵으로서 표현될 수 있다. 일 실시예에서, 처리 파라미터들은 각각의 핫스팟을 처리하기 전에, 바람직하게는 바로 전에 결정될 수 있다.
프로세스(P413)에서, 핫스팟에서의 결함의 존재, 존재 확률, 특성들, 또는 이들의 조합이 핫스팟이 처리되는 처리 파라미터들을 사용하여 결정된다. 이러한 결정은 처리 파라미터들과 핫스팟의 프로세스 윈도우를 간단히 비교하는 것일 수 있는데, 처리 파라미터들이 프로세스 윈도우 내에 속하면 결함이 존재하지 않고, 처리 파라미터들이 프로세스 윈도우 외부에 속하면, 적어도 하나의 결함이 존재할 것으로 예상될 것이다. 이러한 결정은 또한 적당한 경험 모델(통계 모델을 포함함)을 사용하여 행해질 수 있다. 예를 들어, 결함 존재의 확률을 제공하기 위해 분류 모델이 사용될 수 있다. 이러한 결정을 내리는 다른 방법은 계산 모델을 사용하여 처리 파라미터들 하에서 핫스팟의 이미지 또는 예상되는 패터닝 윤곽들을 시뮬레이션하고 이미지 또는 윤곽 파라미터들을 측정하는 것이다. 일 실시예에서, 처리 파라미터들은 패턴 또는 기판을 처리한 직후에 (즉, 패턴 또는 다음 기판을 처리하기 전에) 결정될 수 있다. 결정된 결함의 존재 및/또는 특성들은 배치 결정: 재작업 또는 수용의 기초로서 역할할 수 있다. 일 실시예에서, 처리 파라미터들은 리소그래피 파라미터들의 이동 평균들을 계산하는 데 사용될 수 있다. 이동 평균들은 단기 변동들에 의한 혼란 없이 리소그래피 파라미터들의 장기 드리프트들을 캡처하는 데 유용하다.
일 실시예에서, 기판 상의 패턴의 시뮬레이션된 이미지에 기초하여 핫스팟들이 검출된다. 패터닝 프로세스(예컨대, OPC 및 제조가능성 체크와 같은 프로세스 모델들을 포함함)의 시뮬레이션이 완료되면, 프로세스 조건들의 함수로서의 설계에서의 잠재적인 약한 지점들, 즉 핫스팟들이 하나 이상의 정의(예컨대, 소정 규칙들, 임계치들, 또는 메트릭들)에 따라 계산될 수 있다. 핫스팟들은 절대 CD 값들, 시뮬레이션에서 변경된 파라미터들 중 하나 이상에 대한 CD의 변화율("CD 감도"), 에어리얼 이미지 강도의 기울기, 또는 (간단한 임계/바이어스 모델 또는 더 완전한 레지스트 모델로부터 계산되는) 레지스트 피처의 에지가 예상되는 NILS(즉, "에지 기울기" 또는 일반적으로 "NILS"로 약칭되는 "정규화된 이미지 로그 기울기", 선명도의 결여 또는 이미지 흐림을 나타냄)에 기초하여 결정될 수 있다. 대안적으로, 핫스팟들은 라인 단부 풀백, 코너 라운딩, 이웃 피처들에 대한 근접, 패턴 네킹 또는 핀칭(pinching), 및 원하는 패턴에 대한 패턴 변형의 다른 메트릭들을 포함하지만 이에 한정되지 않는 설계 규칙 체킹 시스템에서 사용되는 것들과 같은 미리 결정된 규칙들의 세트에 기초하여 결정될 수 있다. 마스크 CD에서의 작은 변화들에 대한 CD 감도는 MEF(마스크 에러 인자) 또는 MEEF(마스크 에러 증강 인자)라고 알려진 특히 중요한 리소그래피 파라미터이다. MEF 대 포커스 및 노광의 계산은 웨이퍼 프로세스 변화와 컨볼루션된 마스크 프로세스 변화가 특정 패턴 요소의 수용 불가능한 패턴 열화를 유발할 확률의 임계 메트릭을 제공한다. 핫스팟들은 또한 하부 또는 후속 프로세스 층들에 대한 오버레이 에러들의 변화 및 CD 변화에 기초하여 또는 다중 노광 프로세스에서의 노광들 사이의 오버레이 및/또는 CD의 변화들에 대한 감도에 의해 식별될 수 있다.
파면 오프셋 솔루션은 렌즈 지문(LFP) 오프셋을 보상하는 것을 통해 층당 및 스캐너당 성능을 최적화하도록 구성된다. LFP는 튜닝되는 스캐너에 특정한 렌즈 수차를 지칭한다. 일 실시예에서, 파면 오프셋 솔루션은 동공 최적화(예를 들어, 도 12a)를 포함하며, 여기서 동공은 렌즈의 수차 특성들에 기초하여 결정된다. 동공 최적화는 스캐너에 실제로 존재하는 수차에 대한 층의 감도를 최소화하도록 수행될 수 있다. 예를 들어, 동공(1210)은 최적화된 동공(1220)을 생성하도록 최적화된다. 또한, 솔루션은 스캐너의 LFP에 기초한 파면 최적화를 포함할 수 있다. 예를 들어, 도 12b는 최적화된 파면(1260)을 생성하는 데 사용되는 튜닝될 스캐너의 예시적인 LFP(1250)를 도시한다. 스캐너의 LFP(1250)는 동공 영역들(1251 및 1252)에서의 수차들을 포함한다. 앞서 논의된 바와 같이, 파면 최적화는 파면(예를 들어, 1260)을 기준 파면(예를 들어, 이상적인 파면)과 매칭시키는 것에 기초한다. 그러나, LFP 기반 파면 최적화는 특정 스캐너에 특정적이다. 솔루션은 층 민감 동공 영역들의 수차들을 보상한다. 또한, 솔루션은 스캐너의 렌즈 보정 잠재적으로 제한된다.
파면 오프셋 솔루션은 미러 가열 과도현상을 고려하지 않는다. 미러 가열 과도현상은 패터닝 장치를 통한 기판의 이미징 동안 렌즈의 미러들의 가열로 인해 유발되는 렌즈 수차들 또는 렌즈 수차들의 변화들을 지칭한다. 일 실시예에서, 하나 이상의 패턴은 다른 패턴들보다 미러 가열 과도현상에 더 민감할 수 있다.
따라서, 파면 오프셋 솔루션은 리소그래피 메트릭 솔루션에 비해 여러 제한을 갖는다. 예를 들어, 제한들은: (I) 정적 수차 성분들(LFP, MH 포화)에 대해서는 최적화하지만, 동적 수차 성분들(MH 과도 현상, 인트라 로트 미러 드리프트(intra lot mirror drift))에 대해서는 최적화하지 않는 것; (ii) 솔루션이 모든 스캐너에 대해 동일한 레시피가 아니라 스캐너마다 보정 레시피를 요구하는 것; (iii) 스캐너 렌즈 교정이 업데이트된 경우 또는 LFP가 드리프트하는 경우 솔루션이 보정 레시피의 규칙적인 업데이트를 요구하는 것을 포함하지만 이에 한정되지 않는다. 그 결과, 재최적화를 위해 규칙적인 모니터링이 요구될 수 있고, (iv) 최적화는 슬릿 관통 변화에 기초한다.
본 방법들(예를 들어, 1300 및 1800)은 모든 스캐너들의 성능을 최적화하기 위한 리소그래피 메트릭 기반 솔루션을 제공한다. 한편, 파면 오프셋 솔루션은 기준 또는 이상적인 파면 및 스캐너 특정 LFP에 기초하여 스캐너마다 파면 조정 파라미터들을 결정한다. 그러한 LFP 기반 최적화는 기판 제조 프로세스에서 다른 스캐너들에 적용가능한 파면 파라미터들을 결정하지 못할 수 있다.
일 실시예에서, 리소그래피 메트릭은 로트 제어(lot control) 동안 사용되는 렌즈 모델(DLM)의 성능 함수의 층 특정 최적화와 연관된다. 리소그래피 메트릭의 예는 아래에 상세히 도 13의 방법(1300)에서 더 설명되는 EPE 및 패턴 배치 에러(PPE)의 함수이다. 일 실시예에서, 리소그래피 메트릭은 도 18의 방법(1800)에서 추가로 논의되는 잔여 수차 파면 및 측정된 파면에 대한 렌즈 노브 설정의 감도의 함수이다.
도 13a는 패터닝 프로세스에서 사용되는 패터닝 장치에 대한 렌즈 조정 파라미터 값을 결정하기 위한 방법(1300)을 설명한다. 일 실시예에서, 방법(1300)은 최적화 프로세스이고, 여기서 목표는 예를 들어 CD 매칭에 기초하여 스캐너 대 스캐너 성능을 최적화하는 것이 아니고, 오히려 방법(1300)은 칩 제조 유닛의 임의의 스캐너의 오버레이, EPE 또는 결함 성능을 최적화한다. 또한, 방법(1300)은 반드시 파면을 최적화하지는 않으며, 오히려 방법은 리소그래피 메트릭(예를 들어, EPE 및 PPE의 함수)을 최적화한다. 또한, 방법(1300)은 패터닝 프로세스의 하나 이상의 양태(예를 들어, SMO 또는 프로세스 윈도우)를 최적화하는 데 사용되도록 확장될 수 있다. 예를 들어, SMO 프로세스는 CD 대 PPE의 비율이 원하는 범위 내에 있도록 리소그래피 메트릭에 기초하여 튜닝될 수 있다.
본 개시에 따르면, SMO와 함께 방법(1300)을 사용하는 것은 2가지 방식으로 제품 상의 오버레이 성능을 개선할 수 있다. 첫째, 방법(1300)은 제품 상의 오버레이 예산에 대한 피처 의존 오버레이 기여를 감소시키는 홀수 제르니케(예를 들어, Z7) 감도를 감소시키는 것을 가능하게 한다. 둘째, 조명 동공의 (예를 들어, Z7과 연관된) 무관한 영역들을 덜 가중화하는 것은 렌즈 모델에 (예를 들어, 스캐너의 더 높은 보정 잠재력과 연관된) 관련 제르니케 성분들에 대한 더 큰 보정 능력을 제공한다. 예를 들어, 오버레이 보정 능력과 직접 연관되는 Z2 및 Z3의 슬릿 차수들을 포함한다. 렌즈 조정 파라미터들을 획득하기 위해 동공 가중치 맵 및 리소그래피 메트릭을 이용하는 방법(1300)은 다음과 같이 절차들(P1301, 1303)을 이용하여 추가로 설명된다.
절차(P1301)는 (i) 노광 파면과 연관된 동공 가중치 맵 - 동공 가중치 맵의 가중치들은 패터닝 장치의 성능 메트릭과 연관됨 -, 및 (ii) 패터닝 장치의 렌즈 모델 - 렌즈 모델은 노광 파면과 연관된 수차 파면 파라미터를 렌즈 조정 파라미터로 변환하도록 구성됨 -을 획득하는 단계를 포함한다.
일 실시예에서, 노광 파면은 슬릿 관통 파면이다. 슬릿은 직사각 형상을 갖는다. 일 실시예에서, 슬릿 필드는 곡면 형상을 갖는다.
일 실시예에서, 렌즈 모델(1301)은 주어진 복사선 파면에 대응하는 패터닝 장치의 보정 제한들에 관련된 제약들을 포함한다. 예를 들어, 앞서 논의된 바와 같이, 광학 시스템에 관련된 소정 수차들은 예를 들어 더 낮은 차수의 제르니케들(예를 들어, Z1-Z5)과 연관된 렌즈 조정들을 통해 보정가능할 수 있는 반면, 예를 들어 더 높은 차수의 제르니케들(예를 들어, Z10, Z24, Z30 등)과 연관된 일부 수차들은 보정가능하지 않다. 일 실시예에서, 파면은 예를 들어 제르니케 다항식들의 조합에 의해 제시될 수 있으며, 더 높은 차수의 제르니케들은 관련된 더 낮은 차수의 제르니케들을 통해 보정가능할 수 있다. 일 실시예에서, 대부분의 수차들을 설명하는 제르니케 다항식들의 조합은 주성분 분석(PCA)을 통해 획득될 수 있다. PCA에 의해 식별된 각각의 주성분은 상이한 파면을 나타낼 수 있다. 일 실시예에서, 이러한 PCA는 동공 가중치 맵(1302)을 결정하는 데 사용될 수 있다.
일 실시예에서, 동공 가중치 맵(1302)은 픽셀화된 이미지이고, 픽셀화된 이미지의 주어진 픽셀에는 (예를 들어, EPE 및 PPE를 포함하는) 성능 메트릭에 대한 주어진 픽셀 값의 변화의 영향에 기초하여 가중치가 할당된다. 동공 가중치 맵(1302)의 가중치들은 회절 차수들, 제르니케 다항식들의 선형 조합, 주성분 분석(PCA), 상이한 스캐너들과 연관된 파면의 세트, 미니-배치 알고리즘(상이한 스캐너들로부터의 파면 데이터가 배치들로 분할되고 가중치 맵을 업데이트하는 데 사용됨), 또는 수차 파면과 연관된 다른 적절한 파라미터들을 포함하지만 이에 제한되지 않는 여러 방식으로 할당될 수 있다. 일 실시예에서, 미니-배치 데이터는 기판을 이미징하기 위해 사용되는 상이한 설정(예를 들어, 도즈, 초점)과 연관된다.
일 실시예에서, 동공 가중치 맵의 가중치들은 조명 동공과 연관된 회절 정보에 기초하고, 회절 정보는 회절 차수들 및/또는 회절 강도 패턴을 포함한다. 일 실시예에서, 회절 차수와 연관된 동공 가중치 맵의 일부는 가중치 1을 할당받고, 회절 차수와 연관되지 않은 다른 부분은 가중치 0을 할당받는다.
쌍극자 동공에 기초한 동공 가중치 맵의 예가 도 14에 도시된다. 동공 가중치 맵(1400)(맵(1302)의 예)은 대응하는 (유사한) 동공 형상과 연관된 부분들(1401, 1402 및 1402)에 할당된 가중치 1을 포함한다. 또한, 부분들(1411, 1412)은 가중치 0을 포함한다. 일 실시예에서, 동공 가중치 맵(1400)은 리소그래피 메트릭으로서 사용된다. 따라서, 최적화 프로세스(예를 들어, SMO 또는 패터닝 프로세스 윈도우 최적화 등) 동안, 그러한 부분들(1401, 1402, 1403)과 연관된 파면들(예를 들어, 제르니케 다항식들에 의해 표현됨)은 기존의 접근법들에 비해 개선된 출력(예를 들어, 마스크 패턴, 프로세스 윈도우 등)을 생성하기 위해 더 높은 가중치들을 할당받는다. 일 실시예에서, 동공 형상은 렌즈의 특정 수차들을 보상하기 위해 조명되는 동공의 부분들을 지칭한다. 동공 가중치 맵(1400)은 예이고, 가중치들은 가중치 0 및 1로 제한되지 않는다는 것이 이해될 수 있다.
도 15a 및 도 15b는 조명 패턴에 기초하여 동공 가중치 맵을 생성하는 다른 예를 도시한다. 도 15a에서, 조명 패턴(1510)은 부분들(1511, 1512)에서 회절 차수들을 포함하고, 조명 동공과 연관된 나머지 위치들에서는 회절 차수를 포함하지 않는다. 이러한 조명 패턴(1510)에 기초하여, 수차 파면(1520)(도 15b)이 생성된다. 그 다음, 본 개시에 따르면, 회절 차수들과 연관된 파면의 위치들(1521 및 1522)(예를 들어, 도 15a의 부분 위치들(1511 및 1512))은 회절이 없는 것과 연관된 위치들에 비해 상대적으로 더 높은 가중치들을 할당받는다. 일 실시예에서, 가중치들은 양의 실수(예를 들어, 0, 0.1, 0.2, 0.3, 0.4, 1, 2 등) 또는 양의 정수(예를 들어, 1)일 수 있다.
앞서 언급된 바와 같이, 상이한 스캐너들은 상이한 파면들을 생성할 수 있다. 예를 들어, 도 16a 내지 도 16c를 참조하면, 동공의 위치들(또는 부분들)(1601 및 1602)에서 회절 차수들을 포함하는 조명 패턴(1600)은 제1 스캐너 상의 제1 파면(1610) 및 제2 스캐너 상의 제2 파면(1620)을 유발할 수 있다. 이와 무관하게, 동공 가중치 맵(예를 들어, 1302)은 유사한 방식으로 파면들에 가중치들을 할당할 것이다. 예를 들어, 위치들(1601 및 1602)과 연관된 파면 부분에는 파면(예를 들어, 1610 및 1620)의 다른 위치들(또는 부분들)에 비해 더 높은 가중치가 할당될 것이다. 따라서, 파면들(1610 및 1620)이 기준 또는 이상적인 파면과 특히 매칭되지 않더라도, 각각의 스캐너에 대해 적절한 렌즈 조정들이 결정될 수 있다.
일 실시예에서, 회절 강도 패턴은 가중치를 설명하는 제르니케 다항식들의 선형 조합이다. 일 실시예에서, 동공 가중치 맵의 가중치들은 제르니케 감도들에 기초하며, 주어진 제르니케 감도는 주어진 제르니케 다항식에 대한 성능 메트릭의 편미분이다. 예를 들어, 제르니케 다항식에 대한 SMO 성능 함수(예를 들어, 본 개시에서 나중에 논의되는 수학식 1)의 편미분이다.
일 실시예에서, 제르니케 다항식들의 조합이 PCA를 통해 획득된다. 일 실시예에서, 동공 가중치 맵은 PCA 성분일 수 있거나, PCA 성분 각각의 합으로부터 도출될 수 있다. 예를 들어, 도 17은 파면들 및/또는 수차들과 관련된 이미징 성능의 변화들의 대부분을 설명하는 예시적인 PCA 성분들(P1-P17)을 도시한다. 이어서, 동공 가중치 맵은 PCA 성분(P1, P2), 또는 임의의 다른 PCA 성분, 또는 PCA들(P1-P17)의 합일 수 있다.
일 실시예에서, 동공 가중치 맵의 가중치들은 파면들의 세트의 주성분 분석(PCA)에 기초하며, 파면들의 세트는 패터닝 프로세스에서 사용되는 하나 이상의 스캐너로부터 획득된다. 일 실시예에서, 동공 가중치 맵의 가중치들은 성능 메트릭에 대해 결정된 파면들의 세트의 주성분의 감도에 기초한다.
따라서, (예를 들어, DLM을 이용하는) 최적화 프로세스 동안, 파면의 관련 부분들(예를 들어, 성능 메트릭에 대해 비교적 더 높은 영향을 갖는 픽셀들)은 관련 파면 부분들로 인한 효과들을 보상하기 위한 렌즈 조정들 또는 보정들의 결정을 허용하는 더 높은 중요도를 할당받는다. 그러한 렌즈 조정들은 이미징 성능(예를 들어, 최소 결함들, 더 양호한 EPE, 오버레이 성능 등)을 더 개선한다. 따라서, 임의의 스캐너의 주어진 파면이 이상적인 파면과 매칭되지 않거나 근접하게 매칭되지 않더라도, 스캐너 성능은 여전히 성능 사양(예를 들어, EPE 및 오버레이)을 효과적으로 충족시키도록 향상될 수 있다.
또한, 회절 패턴은 열 과도현상, 드리프트 등과 같은 다양한 이유들로 인해 패터닝 프로세스 동안 변경될 수 있다. 변경된 회절 패턴은 동공 가중치 맵(1302)에 반영될 것이고, 그에 의해, 결정된 렌즈 조정들은 회절 패턴의 동적 변경들을 자동으로 보상할 것이다.
파면 오프셋 솔루션과 관련된 앞서 논의된 실시예들에서, 동공 가중치 맵(예를 들어, 1302, 1400 등)은 사용되지 않는다. 대신에, 파면 오프셋 솔루션은 기준 스캐너의 기준 파면(도시되지 않음)과 근접하게 매칭되도록 파면(1520)을 수정한다. 예를 들어, 파면(1520)의 중심 및/또는 에지는 기준 파면과 매칭되도록 수정될 수 있고, 이는 기준 장치의 매칭 성능을 유발할 것이다. 따라서, 칩 제조에 사용되는 상이한 스캐너들 사이에 일관된 이미징 성능을 확립한다.
절차(P1303)는 동공 가중치 맵(1302) 및 노광 파면을 사용하여 렌즈 모델(1301)을 실행하는 것을 통해, 렌즈 모델과 연관된 렌즈 성능 함수(예를 들어, 본 개시에서 나중에 논의되는 리소그래피 메트릭을 포함하는 수학식 2)가 개선되도록(일 실시예에서, 최소화되도록) 렌즈 조정 파라미터 값(1303)을 결정하는 단계를 포함한다. 일 실시예에서, 렌즈 메트릭 함수는 (본 명세서에서 리소그래피 메트릭으로서 구현되는) 동공 가중치 맵(1302)의 함수이다. 이러한 리소그래피 메트릭을 통해, 패터닝 프로세스 조정들 동안 수차 파면과 연관된 동적 조건들(예를 들어, 수차 변화들, 열 과도현상 등)이 고려될 수 있다. 비교해 보면, 파면 오프셋 솔루션(예를 들어, 기준 파면과 매칭되는 LFP 기반 파면)은 정적 접근법이며, 동적 조건들을 고려하지 않을 수 있다.
일 실시예에서, 수차 파면 파라미터는 제르니케 다항식들과 연관된 오프셋, 틸트, 곡률 및/또는 최대 3차 또는 더 높은 차수의 파라미터들과 연관된다. 이어서, 결정된 조정 파라미터 값들은 오프셋, 틸트, 곡률 등과 연관된 값들이다.
일 실시예에서, 렌즈 조정 파라미터를 결정하는 절차(P1303)는 반복 프로세스이다. 반복 프로세스의 예는 도 13b에 도시된 절차들(P1311-P1319)을 포함한다.
절차(P1311)는 동공 가중치 맵(1302) 및 주어진 노광 파면을 입력으로서 사용하여 렌즈 모델(1301)을 실행하여 수차 파면을 생성하는 단계를 포함한다.
절차(P1313)는 수차 파면에 기초하여, 수차 파면의 하나 이상의 부분과 연관된 패턴 배치 에러 및 에지 배치 에러를 결정하는 단계를 포함한다. 일 실시예에서, 패턴 배치 에러는 층 내의 피처들의 상호 시프트이고, 상호 시프트는 기판과 연관된 설계 레이아웃에 대한 것이다. 예를 들어, 하나 이상의 콘택 홀은 기판 상의 (예를 들어, 설계 레이아웃과 연관된) 기준 위치에 대해 좌측으로 시프트된다.
일 실시예에서, 기판 패턴을 결정하기 위해 패터닝 프로세스 시뮬레이션에서 수차 파면이 사용된다. 일 실시예에서, 기판 패턴은 수차 파면을 사용하여 이미징된 기판 상의 인쇄된 패턴일 수 있다. 일 실시예에서, EPE는 기판 패턴의 윤곽을 따라 배치된 에지 배치 게이지들(또는 측정 위치들) 및 EP 게이지와 기준 윤곽 또는 기준점 사이의 거리를 측정하는 것에 기초하여 결정된다. 일 실시예에서, 거리는 윤곽에 수직인 방향으로 그려진 절단선들을 따라 측정될 수 있다. 또한, PPE는 원하는 위치 또는 기준 위치에 대한 기판 패턴의 위치에 기초하여 결정될 수 있다. 일 실시예에서, 기준 위치는 상이한 층 상의 다른 기판 패턴의 위치를 지칭한다.
절차(P1315)는 에지 배치 에러 및 패턴 배치 에러를 사용하여 성능 메트릭을 평가하는 단계를 포함한다. 일 실시예에서, 성능 메트릭은 결국 EPE 및 PPE를 유발하는 수차 파면의 감도와 연관된다.
일 실시예에서, 성능 메트릭(예컨대, 이하의 수학식 1의 성능 함수)은 에지 배치 에러의 더 높은 차수(예컨대, 적어도 2차, 2차는 또한 제곱 평균 제곱근이라고 알려져 있음) 및/또는 예컨대, 패턴 배치 에러의 적어도 2차의 함수이다. 실시예에서, EPE 및 PPE의 더 높은 차수(예컨대, 4차)는 (예컨대, 결함들을 유발하는) 더 약한 지점들에 스트레스를 준다. 아래의 수학식에서, 계수 "c" 및 "PE"는 기판 상에 인쇄될 원하는 패턴과 연관된 오버레이에 대해 EPE를 균형화하는 것을 돕는다.
Figure pat00021
절차(P1317)는 성능 메트릭이 개선되도록 성능 메트릭의 기울기에 기초하여 수차 파면 파라미터를 렌즈 액추에이터 조정을 통해 조정하는 단계를 포함한다. 예를 들어, 기울기는 d(성능 함수)/d(렌즈 조정 파라미터)일 수 있고, 기울기가 비용 함수를 최소화되도록 안내하도록 렌즈 조정이 결정될 수 있다. 일 실시예에서, 렌즈 조절은 DLM과 연관된 리소그래피 메트릭이 최소화되도록 한다.
절차(P1319)는 성능 함수가 감소(일 실시예에서, 최소화)되는지를 결정하는 단계를 포함한다. 감소되지 않는 경우, 절차는 예를 들어 절차(P1311)에서 DLM의 시뮬레이션을 계속한다. 일 실시예에서, 성능 함수가 최소화되면, 절차(P1303)가 종료되고, 결정된 렌즈 조정 파라미터 값들이 패터닝 프로세스에서 추가로 사용될 수 있다. 앞서 언급된 바와 같이, 렌즈 조정 파라미터들 및 그 값들은 수차 패턴들의 오프셋, 틸트 및/또는 곡률과 연관된다.
절차(P1305)는 렌즈 조정 파라미터 값과 연관된 수차 파면을 사용하는 패터닝 프로세스 시뮬레이션을 통해, 성능 메트릭이 개선되도록 동공 가중치 맵의 가중치들을 조정하는 단계를 포함하고, 성능 메트릭은 기판 상에 인쇄될 원하는 패턴과 연관된 패턴 배치 에러 및 에지 배치 에러의 함수이다.
조정된 가중치들(1305) 및 연관된 수차 파면은 렌즈 조정들로 더 변환될 수 있다. 예를 들어, 방법(1300)은 렌즈 모델(1301)을 통해 파면 파라미터들(예를 들어, 절차(P1317 또는 P1305)에서 획득됨)을 렌즈 조정 파라미터 값들(1303)로 변환하는 단계를 포함하는 절차(P1307)를 더 포함할 수 있다. 또한, 절차(P1309)는 렌즈 조정 파라미터 값들(1303)에 기초하여 패터닝 장치의 광학 시스템을 작동시키는 단계를 포함한다.
또한, 방법(1300)은 동공 가중치 맵(1302)을 통해 조명 동공의 영역을 덜 가중화(deweighting)하거나 더 가중화(upweighting)하는(예를 들어, 주어진 수차 파면의 픽셀 값들을 감소 또는 증가시키는) 단계; 덜 가중화된 동공 가중치 맵 또는 더 가중화된 동공 가중치 맵을 사용하여 렌즈 모델(1301)을 실행하는 단계; 및 성능 메트릭이 최소화되도록 덜 가중화된 동공 맵 및 그와 연관된 노광 파면을 사용하여 패터닝 장치의 광학 시스템과 연관된 다른 렌즈 조정 파라미터 값들을 결정하는 단계를 더 포함할 수 있다. 일 실시예에서, 덜 가중화된 영역은 패터닝 프로세스 동안 패터닝 장치의 파면 조작기를 통해 보정가능한 수차 파면과 연관된다.
도 18은 패터닝 장치에 대한 렌즈 조정들을 결정하기 위한 방법(1800)을 설명한다. 일 실시예에서, 렌즈 조정은 렌즈 노브 공간의 하위 공간이다. 일 실시예에서, 렌즈 노브 공간은 패터닝 장치의 투영 시스템과 연관된 자유도들(예를 들어, 미러들의 어레이의 배향들)을 지칭한다. 일 실시예에서, 수차 보정은 (렌즈 모델(DLM)이라고도 지칭되는) 렌즈 모델에서 사용되는 제르니케들의 일부 선형 조합들을 나타내는 렌즈 노브 보정들의 공간에 있다. 일 실시예에서, 렌즈 노브 보정과 연관된 영향 평가는 렌즈 모델에 포함되지 않지만 렌즈 노브에 의해 영향을 받는 (더 높은 차수의) 제르니케들로부터의 영향을 포함할 수 있다. 일 실시예에서, 이러한 렌즈 조정은 파면 타겟에 대한 최적화 프로세스를 구동하도록 구성된 리소그래피 메트릭에 기초하여 결정된다. 방법(1800)은 이하에서 더 상세히 논의된다.
절차(P1801)는 패터닝 장치의 렌즈 모델 및 파면 타겟(1802)과 연관된 렌즈 성능 함수를 획득하는 단계를 포함한다. 파면 타겟(1802)은 패터닝 장치와 연관된 잔여 수차 파면에 할당된 기준 값 또는 품질 값을 지칭한다. 파면 타겟은 렌즈 노브 공간으로부터 하위 공간을 결정하는 안내로서 작용한다. 렌즈 노브 공간은 패터닝 프로세스의 렌즈의 자유도들(DOF)과 연관된 공간이다. 예를 들어, 렌즈 노브 공간은 미러들의 어레이의 상이한 배향(예를 들어, 틸트, 곡률, 회전 등)과 연관된 36개의 자유도를 포함할 수 있다. 일 실시예에서, 틸트, 곡률, 회전 등과 같은 잠재적 배향들은 렌즈 조정 파라미터들로도 지칭된다.
일 실시예에서, 렌즈 성능 함수는 패터닝 프로세스의 양태(예를 들어, SMO)와 연관된 리소그래피 성능 함수(예를 들어, 수학식 1)에 기초하여 결정된다. 일 실시예에서, 리소그래피 성능 함수는 기판 상에 이미징될 패턴과 연관된 에지 배치 에러(EPE); 및 패턴 배치 에러(PPE)를 포함한다. 패턴 배치 에러는 층 내의 피처들의 상호 시프트이고, 상호 시프트는 기판과 연관된 기준 위치에 대한 것이다.
일 실시예에서, SMO 및/또는 DLM과 연관된 리소그래피 성능 함수는 패터닝 프로세스의 파라미터들(예를 들어, 도즈, 초점, 렌즈의 DOF 등)에 대해 결정된 리소그래피 성능 함수 값들의 산 같은 랜드스케이프(mountain-like landscape)이다.
산 랜드스케이프는 랜드스케이프의 특정 영역 주위의 최소값을 나타내는 여러 최소점(예를 들어, 국지적 최소값 및 전역적 최소값)을 가질 수 있다. 일 실시예에서, 성능 함수의 전역적 최소값은 렌즈 노브 공간과 연관된 원점, 예를 들어 마스크 3D 오프셋들과 연관된 전역적 최소값 밖에 있을 수 있다. 이어서, DLM을 렌즈 노브 공간과 연관된 원하는 작업 지점(예를 들어, 리소그래피 메트릭의 최소값)으로 지향시키는 것이 바람직할 수 있다. 렌즈 노브 공간에서 보정 능력은 원칙적으로 100%(완전 보정, 기생 효과는 없음)라는 점에 유의한다. 본 개시에서, 원하는 지점으로 렌즈 모델을 지향시키는 것은 파면 오프셋을 통해 (시점마다) 스캐너마다 행해질 수 있지만, 100% 보정 가능성으로 인해, 모든 스캐너들에 대해 (그리고 임의의 시점에) 파면 타겟(1802)을 통해 행해질 수 있다. 일 실시예에서, 원하는 작업 지점은 리소그래피 성능 함수의 전역적 최소값에 또는 그 근방에 있는 하나 이상의 지점과 연관된다.
또한, 절차(P1803)는 렌즈 성능 함수 및 파면 타겟(1302)(예를 들어, 잔여 파면과 연관된 품질 값)을 사용하는 패터닝 장치의 렌즈 모델(1801)을 통해, 렌즈 성능 함수를 최소화하는 것에 기초하여 패터닝 장치의 렌즈 노브 공간으로부터 렌즈 노브 설정(1803)을 결정하는 단계를 포함하며, 렌즈 성능 함수는 잔여 수차 파면과 연관된 리소그래피 메트릭을 포함한다. 일 실시예에서, 렌즈 성능 함수는 리소그래피 성능 함수(예를 들어, EPE 및 PPE를 포함함)에 기초하여 결정된다. 렌즈 노브 하위 공간을 결정하기 위해 DLM의 리소그래피 메트릭을 구동하는 파면 타겟의 예가 본 개시에서 나중에 도 19와 관련하여 예시되고 논의된다. 일 실시예에서, 리소그래피 메트릭은 주어진 렌즈 액추에이터 설정과 연관된 측정된 파면 및 잔여 수차 파면의 감도들의 함수이며, 감도들은 패터닝 프로세스의 성능 함수에 대해 결정된다.
일 실시예에서, 렌즈 노브 설정(1803)의 결정은 반복 프로세스이다. 반복은 패터닝 장치의 광학 시스템과 연관된 수차 파면을 결정하기 위해 렌즈 노브 공간의 서브세트를 사용하여 렌즈 모델을 실행하는 단계; 결정된 수차 파면을 사용하여, 리소그래피 메트릭 및 렌즈 성능 함수를 결정하는 단계; 렌즈 노브 공간에 대한 렌즈 성능 함수 및/또는 리소그래피 메트릭의 기울기를 결정하는 단계; 및 렌즈 성능 함수 및/또는 리소그래피 메트릭의 기울기에 기초하여, 후속 반복에서 차이가 감소되게 하는 렌즈 노브 공간의 다른 서브세트를 선택하는 단계를 포함한다. 반복들은 렌즈 성능 함수 및/또는 리소그래피 메트릭이 최소화되거나 수렴(예를 들어, 성능 함수의 추가 개선이 없음)이 달성될 때까지 계속될 수 있다.
일 실시예에서, 리소그래피 메트릭은 렌즈 노브 설정의 감도와 패터닝 장치와 연관된 주어진 파면의 곱의 합이다. 일 실시예에서, 수차 파면은 제르니케 다항식에 의해 표현된다. 일 실시예에서, 리소그래피 메트릭은 복수의 제르니케 다항식의 함수이며, 함수는 패터닝 장치의 렌즈 노브 설정(1803)에 대한 변경들의 효과들을 모방한다. 일 실시예에서, 제르니케 다항식들은 패터닝 장치의 보정 잠재력에 따라 가중화된다.
일 실시예에서, (예를 들어, DLM을 실행하는 것을 포함하는) 시뮬레이션의 목표는 다음의 식(수학식 2)을 최소화하는 것이고, 여기서
Figure pat00022
는 기준 파면을 나타내고,
Figure pat00023
는 최적화된 파면을 획득하기 위해 파면 오프셋 솔루션에서 적용되는 제르니케 프로세스 오프셋을 나타내고; 인덱스 i는 제르니케 인덱스 번호이다. 본 개시에 따르면, 이미지 튜닝은 리소그래피 메트릭 및 렌즈 노브 공간에서의 노브 하위 공간의 선택시에 DLM을 구동하는 파면 타겟(1802)을 더 포함한다.
Figure pat00024
여기서,
Figure pat00025
이다.
일 실시예에서, 이미징 및/또는 오버레이 성능(예를 들어, EPE 및/또는 PPE)에 기초하여, 렌즈 노브 공간에서의 일부 방향들이 다른 방향들보다 더 문제가 될 수 있다. 이 경우, 리소그래피 메트릭(LiMe)은 문제가 있는 방향들에 관해 (DLM의 성능 함수를 통해) DLM을 안내한다. 일 실시예에서, 하나의 리소그래피 메트릭(LiMe)은 렌즈 노브 공간에서 초평면을 정의한다. N=렌즈 노브들의 수인 경우, N개의 LiMe는 그 주위에 초구면 성능 함수를 갖는 렌즈 노브 공간 내의 지점을 정의하고, N개의 가중화된 LiMe는 다른 방향들에 비하여 일부 방향들에 이익이 되는 성능 함수로서 초타원체를 정의한다. M(M=N-P) 차원 초타원체는 무한인 P개의 차원을 가지며, 이는 렌즈 노브 공간의 이러한 P개의 차원이 이미징/오버레이 성능과 무관한 것으로 간주될 수 있음을 나타낸다.
일 실시예에서, 초타원체(예를 들어, 3차원 공간에서의 담배 형상)는 원하는 작업 지점(예를 들어, 리소그래피 성능 함수의 전역적 최소값) 주위의 이미징 또는 오버레이 성능 함수에 초타원체 함수를 피팅함으로써 정의된다. 일 실시예에서, 피팅 프로세스는 원하는 작업 지점 주위의 성능 함수의 우세한 기여자들을 설명하는 피팅 변수들(예를 들어, 렌즈 노브 조정 파라미터들)의 조합들을 식별하기 위해 PCA 분석을 이용한다. 일 실시예에서, 강건성을 위해, 소수의 PCA 성분들만이 선택될 수 있다. 일 실시예에서, PCA 성분은 리소그래피 척도가 된다. 예를 들어, 처음 10개의 PCA 성분(예를 들어, 도 17의 16개 성분 중 P1-P10)은 10개의 상이한 리소그래피 메트릭으로서 간주될 수 있다.
일 실시예에서, 피팅된 함수는 랜덤 형상, 예컨대 바나나 형상을 나타낼 수 없는데, 그 이유는 DLM이 비선형 거동을 다루도록 구성되지 않을 수 있기 때문이다. 일 실시예에서, 그러한 제한은 스캐너 처리량 및 순수 로트 오버헤드와 연관된 DLM 솔루션에 대한 타이밍 제약으로 인해 적용될 수 있다.
일 실시예에서, LiMe(예를 들어, 담배 형상의 초타원체) 피팅은 실제 수차 크기들로 제한될 수 있다. 예를 들어, 렌즈 노브 축을 따른 피팅을 제한하는 것 이외에, 더 큰 수차 내용들의 가중치가 예를 들어 렌즈 노브 공간에서 방사상으로 감소될 수 있다. 또한, 실제 스캐너 수차들(LFP, MH 및 잠재적으로 다른 것들)이 렌즈 노브 하위 공간의 소정 부분들에서 다른 부분들에서보다 더 많이 발생하기 때문에, 렌즈 노브 공간에서의 일부 방위각들은 다른 것들보다 더 중요할 수 있다. 0차 원점에 대한 평균 실제 렌즈 수차 상태의 오프셋(예컨대, 체계적 렌즈 지문, 단지 슬릿에서의 오프셋)도 있을 수 있다.
도 19에서, 플롯(1900)은 리소그래피 메트릭들(L1 및 L2) 및 파면 타겟(Tx)에 기초하여 렌즈 노브 설정(예를 들어, 렌즈 노브 K1 및 렌즈 노브 K2)을 결정하는 예를 도시한다. 일 실시예에서, 리소그래피 메트릭(L1)은 제1 파면(예를 들어, 제1 PCA 성분)에 대응하고, 메트릭(L2)은 제2 파면(예를 들어, PCA 성분)에 대응한다. 이어서, 노브들(K1 및 K2)의 렌즈 노브 설정들의 감도에 기초하여, 렌즈 노브 공간(K1 및 K2)의 하위 공간(1910)이 식별될 수 있다. 예를 들어, 파면 타겟으로부터의 거리를 감소(일 실시예에서 최소화)시키는 동안 렌즈 노브 하위 공간이 리소그래피 메트릭(L1)의 라인을 따르거나 그에 가까울 때, 리소그래피 메트릭(L1)은 감소된다(일 실시예에서, 최소화된다). 유사하게, 파면 타겟(Tx)과 결합된 리소그래피 메트릭(L2)은 K1 및 K2의 렌즈 노브 공간으로부터의 적절한 하위 공간의 선택을 안내한다.
하위 공간(1910)은 K1 및 K2의 노브 설정의 값들의 수용 가능한 세트이다. 하위 공간(1910)은 파면 타겟(Tx)의 수용 가능한 범위 내에서 고려된다. 일 실시예에서, 파면 타겟 주위의 수용 가능 범위는 하위 공간 내의 임의의 지점의 파면 타겟으로부터의 거리의 함수로서 정의될 수 있다. 하위 공간이 파면 타겟에 가까울수록 이미징 및/또는 오버레이 성능이 더 좋아질 것이다. 예를 들어, 1901과 1902 사이의 영역에 의해 정의된 하위 공간의 값들은 파면 타겟으로부터 가장 멀리 떨어져 있으며 수용 가능하지 않다.
일 실시예에서, 노브들(K1 및 K2)은 각각 제1 렌즈 및 제2 렌즈의 틸트(곡률, 회전 또는 다른 렌즈 관련 파라미터)와 같은 렌즈 조정 파라미터이다.
일 실시예에서, 리소그래피 메트릭은 패터닝 장치를 통해 기판 상에 이미징된 패턴과 연관된 물리량을 나타낸다. 일 실시예에서, 리소그래피 메트릭은 다음의 물리량: 원하는 패턴의 원하는 위치에 대한 인쇄된 패턴과 연관된 패턴 시프트, 기판에 대한 패터닝 장치의 초점 시프트 - 초점 시프트는 패터닝 장치와 연관된 비점수차 오프셋을 포함함 -, 인쇄된 패턴의 상부 및 하부에서의 임계 치수의 비대칭, 및/또는 인쇄된 패턴과 연관된 에지 배치 에러 중 적어도 하나를 나타낸다.
일 실시예에서, 렌즈 노브 설정(1803)을 결정하는 절차(P1303)는 리소그래피 메트릭과 파면 타겟(1802) 사이의 차이가 감소되도록 렌즈 모델을 시뮬레이션하는 단계를 포함한다.
일 실시예에서, 렌즈 노브 설정(1803)을 결정하는 절차(P1803)는 렌즈 액추에이터 공간과 연관된 측정된 파면 및/또는 잔여 수차 파면의 감도들을 계산하는 단계; 및 렌즈 액추에이터 공간의 서브세트가 예를 들어 본 명세서의 수학식 2를 사용하여 리소그래피 메트릭을 최소화하도록 감도들에 기초하여 렌즈 노브 설정을 렌즈 노브 공간의 서브세트로서 결정하는 단계를 포함한다.
일 실시예에서, 렌즈 노브 설정(1803)을 결정하는 절차(P1803)는 비교적 더 높은 수차 내용과 연관된 하나 이상의 제르니케 다항식의 가중치를 감소시키는 단계를 포함한다.
일 실시예에서, 렌즈 노브 설정(1803)을 결정하는 단계는 렌즈 지문 및/또는 미러 가열로 인한 스캐너 수차들을 균형화하기 위해 렌즈 노브 공간의 서브세트를 결정하는 단계를 포함하며, 균형화는 제르니케 다항식들의 가중치들을 조정하는 것에 기초한다.
위에 논의된 리소그래피 메트릭에 기초한 최적화 시에, DLM은 제르니케 다항식들과 연관된 오프셋, 틸트, 곡률, 및/또는 최대 3차 파라미터들과 연관된 값들을 포함하는 렌즈 노브 설정(1803)을 출력한다. 일 실시예에서, 3차보다 높은 파라미터들도 최적화 프로세스 동안 고려될 수 있다. 일 실시예에서, 절차(P1805)는 렌즈 노브 설정(1803)에 기초하여 광학 시스템을 조정하는 단계를 포함한다. 결과적으로, 광학 시스템을 사용하는 패터닝 장치는 패터닝 프로세스의 수율을 개선한다.
일 실시예에서, 패터닝 프로세스의 최적화는 다음과 같은 방식으로 수행될 수 있는데: (i) 기판의 콘트라스트 또는 패터닝 장치의 이미징 성능을 너무 많이 잃지 않고서 오버레이 성능을 튜닝하기 위해 (예컨대, FlexPuil을 사용하는) 동공 최적화가 수행될 수 있다. 예를 들어, 콘트라스트 손실 또는 EPE가 최소화되도록 동공 형상 및 강도가 조정될 수 있다. 이어서, (ii) 리소그래피 메트릭 기반 성능 함수(예를 들어, 렌즈 모델(1301)에서 사용됨)는 디폴트 렌즈 성능 함수를 사용하는 것이 아니라 기판의 하나 이상의 임계 층을 튜닝하는 데 사용될 수 있다. 예를 들어, 리소그래피 메트릭은 비-풀 필드(non-full field)들에 대한 이미지 튜너 서브-레시피에서의 필드 크기 스케일링을 위해 사용될 수 있고, 따라서 문제가 되는 슬릿 지점들만을 최적화할 수 있다.
도 20은 본 명세서에 개시되는 방법들, 흐름들 또는 장치들을 구현하는 것을 도울 수 있는 컴퓨터 시스템(100)을 도시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 메커니즘, 및 버스(102)와 결합되어 정보를 처리하기 위한 프로세서(104)(또는 다수의 프로세서(104, 105))를 포함한다. 컴퓨터 시스템(100)은 또한 버스(102)에 결합되어 프로세서(104)에 의해 실행될 명령어들 및 정보를 저장하는 랜덤 액세스 메모리(RAM) 또는 기타 동적 저장 디바이스와 같은 메인 메모리(106)를 포함한다. 메인 메모리(106)는 또한 프로세서(104)에 의해 실행될 명령어들의 실행 동안 임시 변수들 또는 다른 중간 정보를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(100)은 버스(102)에 결합되어 프로세서(104)에 대한 명령어들 및 정적 정보를 저장하는 판독 전용 메모리(ROM)(108) 또는 기타 정적 저장 디바이스를 더 포함한다. 정보 및 명령어들을 저장하기 위해, 자기 디스크 또는 광 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 결합된다.
컴퓨터 시스템(100)은 컴퓨터 사용자에게 정보를 디스플레이하기 위해 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 버스(102)를 통해 결합될 수 있다. 영숫자 및 다른 키들을 포함하는 입력 디바이스(114)가 정보 및 커맨드 선택들을 프로세서(104)에 통신하기 위해 버스(102)에 결합된다. 다른 유형의 사용자 입력 디바이스는 방향 정보 및 커맨드 선택들을 프로세서(104)에 통신하고 디스플레이(112) 상에서의 커서 이동을 제어하기 위한 마우스, 트랙볼 또는 커서 방향 키들과 같은 커서 제어(116)이다. 이 입력 디바이스는 전형적으로 디바이스가 평면 내의 위치들을 지정할 수 있게 하는 2개의 축, 즉 제1 축(예를 들어, x) 및 제2 축(예를 들어, y)에서의 2개의 자유도를 갖는다. 터치 패널(스크린) 디스플레이도 입력 디바이스로서 사용될 수 있다.
일 실시예에 따르면, 본 명세서에 설명된 하나 이상의 방법의 부분들은 프로세서(104)가 메인 메모리(106)에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 것에 응답하여 컴퓨터 시스템(100)에 의해 수행될 수 있다. 그러한 명령어들은 저장 디바이스(110)와 같은 다른 컴퓨터 판독 가능 매체로부터 메인 메모리(106) 내로 판독될 수 있다. 메인 메모리(106)에 포함된 명령어들의 시퀀스들의 실행은 프로세서(104)로 하여금 본 명세서에 설명된 프로세스 단계들을 수행하게 한다. 다중 처리 배열의 하나 이상의 프로세서는 또한 메인 메모리(106)에 포함된 명령어들의 시퀀스들을 실행하기 위해 이용될 수 있다. 대안적인 실시예에서, 하드-와이어드 회로가 소프트웨어 명령어들 대신에 또는 그와 조합하여 사용될 수 있다. 따라서, 본 명세서의 설명은 하드웨어 회로와 소프트웨어의 임의의 특정 조합으로 제한되지 않는다.
본 명세서에서 사용되는 바와 같은 "컴퓨터 판독가능 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어들을 제공하는 데 참여하는 임의의 매체를 지칭한다. 그러한 매체는 비휘발성 매체, 휘발성 매체, 전송 매체를 포함하지만 이에 한정되지 않는 많은 형태를 취할 수 있다. 비휘발성 매체는 예를 들어 저장 디바이스(110)와 같은 광 또는 자기 디스크들을 포함한다. 휘발성 매체는 메인 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하는 동축 케이블들, 구리 와이어 및 광섬유를 포함한다. 전송 매체는 또한 무선 주파수(RF) 및 적외선(IR) 데이터 통신 동안 생성되는 것들과 같은 음향파 또는 광파의 형태를 취할 수 있다. 컴퓨터 판독가능 매체의 일반적인 형태들은 예를 들어 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 구멍들의 패턴들을 갖는 임의의 다른 물리적 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지, 이하 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능 매체가 하나 이상의 명령어의 하나 이상의 시퀀스를 실행을 위해 프로세서(104)로 운반하는 데 관련될 수 있다. 예를 들어, 명령어들은 처음에 원격 컴퓨터의 자기 디스크 상에 놓일 수 있다. 원격 컴퓨터는 명령어들을 그의 동적 메모리로 로딩하고, 모뎀을 사용하여 전화선을 통해 명령어들을 전송할 수 있다. 컴퓨터 시스템(100)에 국지적인 모뎀은 전화선 상에서 데이터를 수신하고 적외선 송신기를 사용하여 데이터를 적외선 신호로 변환할 수 있다. 버스(102)에 결합된 적외선 검출기는 적외선 신호로 운반된 데이터를 수신하고 데이터를 버스(102)에 배치할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 운반하고, 메인 메모리로부터 프로세서(104)는 명령어들을 검색하고 실행한다. 메인 메모리(106)에 의해 수신된 명령어들은 선택적으로, 프로세서(104)에 의한 실행 이전에 또는 이후에 저장 디바이스(110)에 저장될 수 있다.
컴퓨터 시스템(100)은 또한 버스(102)에 결합된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결된 네트워크 링크(120)에 대한 양방향 데이터 통신 결합을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 유형의 전화선에 대한 데이터 통신 연결을 제공하는 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(118)는 호환가능한 LAN에 대한 데이터 통신 연결을 제공하는 근거리 네트워크(LAN) 카드일 수 있다. 무선 링크들도 구현될 수 있다. 임의의 이러한 구현에서, 통신 인터페이스(118)는 다양한 유형의 정보를 나타내는 디지털 데이터 스트림들을 운반하는 전기, 전자기 또는 광 신호들을 송신하고 수신한다.
네트워크 링크(120)는 전형적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스들로의 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124)로의 또는 인터넷 서비스 제공자(ISP)(126)에 의해 운영되는 데이터 장비로의 연결을 제공할 수 있다. 이어서, ISP(126)는 현재 흔히 "인터넷"(128)이라고 지칭되는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스들을 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 모두 디지털 데이터 스트림들을 운반하는 전기, 전자기 또는 광 신호들을 사용한다. 컴퓨터 시스템(100)으로 그리고 컴퓨터 시스템(100)으로부터 디지털 데이터를 운반하는 다양한 네트워크들을 통한 신호들 및 네트워크 링크(120) 상의 그리고 통신 인터페이스(118)를 통한 신호들은 정보를 전송하는 반송파들의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해 메시지들을 전송하고 (데이터베이스(152)로부터) 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷 예에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122), 및 통신 인터페이스(118)를 통해 애플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 애플리케이션은 예를 들어 본 명세서에 설명된 방법의 전부 또는 일부를 제공할 수 있다. 수신된 코드는 수신됨에 따라 프로세서(104)에 의해 실행될 수 있고/있거나, 나중에 실행하기 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 애플리케이션 코드를 획득할 수 있다.
도 21을 참조하면, 조명기(IL)는 소스 수집기 모듈(SO)로부터 극자외선 복사선 빔을 수신한다. EUV 복사선을 생성하기 위한 방법들은 EUV 범위의 하나 이상의 방출 라인을 갖는 적어도 하나의 원소, 예를 들어 제논, 리튬 또는 주석을 갖는 플라즈마 상태로 물질을 변환하는 것을 포함하지만, 이에 반드시 제한되지는 않는다. 종종 레이저 생성 플라즈마(LPP)라고 지칭되는 하나의 그러한 방법에서, 플라즈마는 레이저 빔으로 라인 방출 원소를 갖는 물질의 액적, 스트림 또는 클러스터와 같은 연료를 조사하는 것에 의해 생성될 수 있다. 소스 수집기 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하기 위해 도 21에 도시되지 않은 레이저를 포함하는 EUV 복사선 시스템의 일부일 수 있다. 결과적인 플라즈마는 소스 수집기 모듈에 배치된 복사선 수집기를 사용하여 수집되는 출력 복사선, 예를 들어 EUV 복사선을 방출한다. 레이저 및 소스 수집기 모듈은 예를 들어 연료 여기를 위한 레이저 빔을 제공하기 위해 CO2 레이저가 사용될 때 별개의 엔티티들일 수 있다.
그러한 경우들에서, 레이저는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 복사선 빔은 예를 들어 적당한 지향 미러들 및/또는 빔 확장기를 포함하는 빔 전달 시스템의 도움으로 레이저로부터 소스 수집기 모듈로 전달된다. 다른 경우들에서, 소스는 예를 들어 소스가 DPP 소스라고 종종 지칭되는 방전 생성 플라즈마 EUV 생성기일 때 소스 수집기 모듈의 일체로 된 부분일 수 있다.
조명기(IL)는 복사선 빔의 각도 강도 분포를 조정하기 위한 조정기를 포함할 수 있다. 일반적으로, 조명기의 동공 평면 내의 강도 분포의 적어도 외측 및/또는 내측 방사상 범위(흔히 각각 σ-외측 및 σ-내측이라고 지칭됨)가 조정될 수 있다. 또한, 조명기(IL)는 패싯형 필드(facetted field) 및 동공 미러 디바이스들과 같은 다양한 다른 컴포넌트들을 포함할 수 있다. 조명기는 그의 단면에서 원하는 균일성 및 강도 분포를 갖도록 복사선 빔을 조절하는 데 사용될 수 있다.
복사선 빔(B)은 지지 구조(예를 들어, 패터닝 디바이스 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사되고, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후에, 복사선 빔(B)은 투영 시스템(PS)을 통과하고, 투영 시스템(PS)은 빔을 기판(W)의 타겟 부분(C) 상에 포커싱한다. 제2 포지셔너(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 선형 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 복사선 빔(B)의 경로에 상이한 타겟 부분들(C)을 위치시키기 위해 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 다른 위치 센서(PS1)는 복사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확하게 위치시키기 위해 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 사용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나에서 사용될 수 있다.
1. 스텝 모드에서, 지지 구조(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)이 본질적으로 정적으로 유지되는 동안, 복사선 빔에 부여된 전체 패턴이 한꺼번에 타겟 부분(C) 상에 투영된다(즉, 단일 정적 노광). 다음으로, 기판 테이블(WT)은 상이한 타겟 부분(C)이 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)이 동기적으로 스캐닝되는 동안, 복사선 빔에 부여된 패턴이 타겟 부분(C) 상에 투영된다(즉, 단일 동적 노광). 지지 구조(예를 들어, 패터닝 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성들에 의해 결정될 수 있다.
3. 다른 모드에서, 지지 구조(예를 들어, 패터닝 디바이스 테이블)(MT)는 본질적으로 정적으로 유지되어 프로그래밍 가능 패터닝 디바이스를 유지하며, 기판 테이블(WT)은 복사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로, 펄스형 복사선 소스가 이용되며, 프로그래밍 가능 패터닝 디바이스는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 동안의 연속적인 복사선 펄스들 사이에 필요에 따라 업데이트된다. 이 동작 모드는 앞서 언급된 바와 같은 유형의 프로그래밍 가능 미러 어레이와 같은 프로그래밍 가능 패터닝 디바이스를 이용하는 마스크리스 리소그래피에 용이하게 적용될 수 있다.
도 22는 소스 수집기 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하는 장치(1000)를 더 상세히 도시한다. 소스 수집기 모듈(SO)은 소스 수집기 모듈(SO)의 인클로징 구조(220) 내에 진공 환경이 유지될 수 있도록 구성되고 배열된다. EUV 복사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 복사선은 전자기 스펙트럼의 EUV 범위의 복사선을 방출하기 위해 매우 뜨거운 플라즈마(210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 매우 뜨거운 플라즈마(210)는 예를 들어 적어도 부분적으로 이온화된 플라즈마를 유발하는 전기 방전에 의해 생성된다. 예를 들어, Xe, Li, Sn 증기 또는 임의의 다른 적합한 가스 또는 증기의 10 Pa의 분압들이 복사선의 효율적인 생성을 위해 요구될 수 있다. 일 실시예에서, EUV 복사선을 생성하기 위해, 여기된 주석(Sn)의 플라즈마가 제공된다.
뜨거운 플라즈마(210)에 의해 방출되는 복사선은 소스 챔버(211) 내의 개구 내에 또는 그 뒤에 위치되는 선택적인 가스 장벽 또는 오염물 트랩(230)(일부 경우들에서는 오염물 장벽 또는 포일 트랩으로도 지칭됨)을 통해 소스 챔버(211)로부터 수집기 챔버(212) 내로 전달된다. 오염물 트랩(230)은 채널 구조를 포함할 수 있다. 오염물 트랩(230)은 또한 가스 장벽 또는 가스 장벽과 채널 구조의 조합을 포함할 수 있다. 본 명세서에서 더 지시되는 오염물 트랩 또는 오염물 장벽(230)은 적어도 이 분야에 공지된 채널 구조를 포함한다.
수집기 챔버(211)는 소위 그레이징 입사 수집기(grazing incidence collector)일 수 있는 복사선 수집기(CO)를 포함할 수 있다. 복사선 수집기(CO)는 업스트림 복사선 수집기 측(251) 및 다운스트림 복사선 수집기 측(252)을 갖는다. 수집기(CO)를 가로지르는 복사선은 격자 스펙트럼 필터(240)로부터 반사되어 쇄선 "O"로 표시된 광학 축을 따라 가상 소스 지점(IF)에 포커싱될 수 있다. 가상 소스 지점(IF)은 일반적으로 중간 초점으로 지칭되고, 소스 수집기 모듈은 중간 초점(IF)이 인클로징 구조(220)의 개구(221)에 또는 그 근처에 위치되도록 배열된다. 가상 소스 지점(IF)은 복사선 방출 플라즈마(210)의 이미지이다.
후속하여, 복사선은 패터닝 디바이스(MA)에서의 복사선 강도의 원하는 균일성뿐만 아니라, 패터닝 디바이스(MA)에서의 복사선 빔(21)의 원하는 각도 분포를 제공하도록 배열되는 패싯형 필드 미러 디바이스(22) 및 패싯형 동공 미러 디바이스(24)를 포함할 수 있는 조명 시스템(IL)을 가로지른다. 지지 구조(MT)에 의해 유지되는 패터닝 디바이스(MA)에서의 복사선 빔(21)의 반사 시에, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의해 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되는 기판(W) 상에 이미징된다.
도시된 것보다 많은 요소가 일반적으로 조명 광학계 유닛(IL) 및 투영 시스템(PS)에 존재할 수 있다. 격자 스펙트럼 필터(240)는 선택적으로 리소그래피 장치의 유형에 따라 존재할 수 있다. 또한, 도면들에 도시된 것들보다 더 많은 미러가 존재할 수 있으며, 예를 들어 도 22에 도시된 것보다 1 내지 6개 더 많은 반사 요소가 투영 시스템(PS)에 존재할 수 있다.
수집기 광학계(CO)는 도 22에 도시된 바와 같이 단지 수집기(또는 수집기 미러)의 예로서 그레이징 입사 반사기들(253, 254 및 255)을 갖는 내포형 수집기(nested collector)로서 도시된다. 그레이징 입사 반사기들(253, 254 및 255)은 광학 축(O) 주위에 축 대칭으로 배치되고, 이러한 유형의 수집기 광학계(CO)는 DPP 소스라고 종종 지칭되는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
대안적으로, 소스 수집기 모듈(SO)은 도 23에 도시된 바와 같이 LPP 복사선 시스템의 일부일 수 있다. 레이저(LA)는 제논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료 내에 레이저 에너지를 축적하여, 수십 eV의 전자 온도를 갖는 고도로 이온화된 플라즈마(210)를 생성하도록 배열된다. 이러한 이온들의 여기 해제 및 재결합 동안 생성되는 고에너지 복사선은 플라즈마로부터 방출되고, 거의 수직 입사 수집기 광학계(CO)에 의해 수집되고, 인클로징 구조(220) 내의 개구(221) 상에 포커싱된다.
실시예들은 이하의 조항들을 사용하여 추가로 설명될 수 있다.
1. 패터닝 프로세스의 패터닝 장치의 파면을 결정하기 위한 방법으로서,
(i) 기준 장치의 기준 성능, (ii) 파면의 파면 파라미터를 액추에이터 이동들로 변환하도록 구성된 패터닝 장치의 렌즈 모델, 및 (iii) 튜닝 스캐너의 렌즈 지문을 획득하는 단계; 및
프로세서를 통해, 튜닝 스캐너의 렌즈 지문, 렌즈 모델, 및 비용 함수에 기초하여 파면 파라미터를 결정하는 단계를 포함하고, 비용 함수는 기준 성능과 튜닝 스캐너 성능 사이의 차이인 방법.
2. 조항 1에 있어서, 파면 파라미터를 결정하는 단계는 반복 프로세스이고, 반복은:
튜닝 스캐너의 렌즈 지문을 사용하는 렌즈 모델의 시뮬레이션을 통해, 초기 파면을 생성하는 단계;
초기 파면으로부터 기판 패턴을 결정하는 단계;
기판 패턴으로부터 튜닝 성능을 결정하는 단계;
튜닝 성능 및 기준 성능에 기초하여 비용 함수를 평가하는 단계; 및
비용 함수가 개선되도록 비용 함수의 기울기에 기초하여 초기 파면의 파면 파라미터를 조정하는 단계를 포함하는 방법.
3. 조항 1 또는 조항 2의 방법으로서, 파면은 튜닝 스캐너의 렌즈 지문 및 렌즈 모델의 성능 지문을 포함하는 방법.
4. 조항 3에 있어서, 파면 파라미터의 조정은 렌즈 모델의 성능 지문에 더 기초하는 방법.
5. 조항 2 내지 조항 4 중 어느 하나에 있어서, 기판 패턴을 결정하는 단계는 초기 파면 또는 조정된 파면을 사용하는 패터닝 프로세스의 프로세스 모델의 시뮬레이션을 포함하는 방법.
6. 조항 5에 있어서, 프로세스 모델은:
마스크 패턴으로부터 마스크 이미지를 예측하도록 구성된 마스크 모델;
마스크 패턴으로부터 에어리얼 이미지를 예측하도록 구성된 광학 모델; 및/또는
에어리얼 이미지로부터 레지스트 이미지를 예측하도록 구성된 레지스트 모델을 포함하는 방법.
7. 조항 2 내지 조항 4 중 어느 하나에 있어서, 기판 패턴을 결정하는 단계는:
계측 도구를 통해, 노광된 기판의 기판 측정치들을 수신하는 단계 - 기판은 초기 파면 또는 조정된 파면을 사용하여 노광됨 -; 및
기판 측정치으로부터의 윤곽 추출에 기초하여 기판 패턴을 결정하는 단계를 포함하는 방법.
8. 조항 1 내지 조항 7 중 어느 하나에 있어서, 비용 함수는 최소화 또는 최대화되는 방법.
9. 조항 1 내지 조항 8 중 어느 하나에 있어서, 비용 함수는 에지 배치 에러, CD 및/또는 에지 배치의 허용 한계 대역 내의 에러인 방법.
10. 조항 1 내지 조항 9 중 어느 하나에 있어서, 렌즈 모델은 파면 파라미터에 대응하는 튜닝 스캐너의 보정 제한에 관련된 제약들을 포함하는 방법.
11. 조항 1 내지 조항 10 중 어느 하나에 있어서, 파면 파라미터는 패터닝 장치의 광학 시스템과 연관된 오프셋, 틸트, 곡률 및/또는 최대 3차 파라미터들을 포함하는 방법.
12. 조항 1 내지 조항 11 중 어느 하나에 있어서, 파면은 슬릿 관통 파면인 방법.
13. 조항 12에 있어서, 슬릿은 직사각 형상을 갖는 방법.
14. 조항 1 내지 조항 13 중 어느 하나에 있어서, 파면은 슬릿에 걸쳐 제르니케 다항식에 의해 표현되는 방법.
15. 조항 14에 있어서, 파면 파라미터는 제르니케 계수들의 벡터로서 표현되는 방법.
16. 조항 1 내지 조항 15 중 어느 하나에 있어서,
렌즈 모델을 통해, 파면 파라미터들을 액추에이터 이동들로 변환하는 단계; 및
액추에이터 이동들에 기초하여 튜닝 스캐너의 광학 시스템을 작동시키는 단계를 더 포함하는 방법.
17. 조항 1 내지 조항 16 중 어느 하나에 있어서, 기준 성능 및 튜닝 스캐너 성능은 패턴의 윤곽 및/또는 임계 치수에 관하여 표현되는 방법.
18. 기준 장치에 대한 튜닝 스캐너의 파면을 결정하기 위한 방법으로서,
(i) 기준 렌즈 지문에 대응하는 기준 장치의 기준 성능, 및 (ii) 튜닝 스캐너의 렌즈 지문을 획득하는 단계;
프로세서를 통해, 렌즈 지문 및 비용 함수에 기초하여 튜닝 스캐너의 파면 파라미터를 결정하는 단계를 포함하고, 비용 함수는 기준 성능과 튜닝 스캐너 성능 사이의 차이를 계산하는 방법.
19. 조항 18에 있어서, 기준 성능을 획득하는 단계는:
기준 장치의 기준 렌즈 지문을 측정하는 단계;
프로세스 모델의 시뮬레이션을 통해, 기준 장치의 측정된 렌즈 지문 및 설계 레이아웃에 대응하는 패터닝 디바이스 패턴에 기초하여 기준 패턴을 생성하는 단계; 및
기준 패턴의 윤곽에 기초하여 기준 성능을 결정하는 단계를 포함하는 방법.
20. 조항 18 또는 조항 19에 있어서, 파면 파라미터를 결정하는 단계는 반복 프로세스이고, 반복은:
프로세스 모델의 시뮬레이션을 통해, 패터닝 디바이스 패턴 및 튜닝 스캐너의 렌즈 지문을 사용하여 기판 패턴을 결정하는 단계;
기판 패턴에 기초하여 튜닝 스캐너 성능을 결정하는 단계;
튜닝 스캐너 성능 및 기준 성능에 기초하여 비용 함수를 평가하는 단계; 및
비용 함수가 개선되도록 파면 파라미터에 대한 비용 함수의 기울기에 기초하여 파면 파라미터를 조정하는 단계를 포함하는 방법.
21. 조항 20에 있어서, 패터닝 디바이스 패턴은 마스크 최적화 또는 소스 마스크 최적화 프로세스의 시뮬레이션을 통해 생성되고, 렌즈 수차 모델이 프로세스 모델에 포함되는 방법.
22. 조항 19 내지 조항 21 중 어느 하나에 있어서, 기준 성능 및 튜닝 스캐너 성능은 패턴의 윤곽 및/또는 임계 치수에 관하여 표현되는 방법.
23. 조항 19 내지 조항 22 중 어느 하나에 있어서, 기준 장치는:
웨이퍼 제조 설비의 스캐너;
수차가 없는 이상적인 스캐너; 및/또는
웨이퍼 제조 설비 내의 복수의 스캐너의 평균 수차에 대해 보상되는 수차 보상된 스캐너를 포함하는 방법.
24. 조항 18 내지 조항 23 중 어느 하나에 있어서, 비용 함수는 에지 배치 에러, CD 및/또는 에지 배치의 허용 한계 대역 내의 에러인 방법.
25. 조항 18 내지 조항 24 중 어느 하나에 있어서, 파면 파라미터는 패터닝 장치의 광학 시스템과 연관된 오프셋, 틸트, 곡률 및/또는 최대 3차 파라미터들을 포함하는 방법.
26. 패터닝 프로세스를 위한 튜닝 스캐너의 파면 매칭의 방법으로서,
(i) 기판의 층에 대응하는 복수의 핫스팟 패턴, (ii) 복수의 핫스팟 패턴에 대응하는 복수의 파면, 및 (iii) 튜닝 스캐너의 렌즈 지문을 획득하는 단계;
렌즈 지문을 사용하는 패터닝 프로세스의 시뮬레이션을 통해, 튜닝 스캐너 성능을 결정하는 단계; 및
튜닝 스캐너 성능과 기준 성능 사이의 비교에 기초하여 복수의 파면으로부터 튜닝 스캐너에 대한 파면 파라미터를 선택하는 단계를 포함하는 방법.
27. 조항 26에 있어서, 복수의 파면 중 하나 이상은 최적화된 파면 파라미터들을 포함하는 방법.
28. 조항 26 또는 조항 27에 있어서, 복수의 파면 중 하나 이상은 특정 기준 성능과 연관되는 방법.
29. 패터닝 프로세스에서 사용되는 패터닝 장치에 대한 렌즈 조정 파라미터 값을 결정하는 방법으로서,
(i) 노광 파면과 연관된 동공 가중치 맵 - 동공 가중치 맵의 가중치들은 패터닝 장치의 성능 메트릭과 연관됨 -, 및 (ii) 패터닝 장치의 렌즈 모델을 획득하는 단계 - 렌즈 모델은 노광 파면과 연관된 수차 파면 파라미터를 렌즈 조정 파라미터로 변환하도록 구성됨 -;
동공 가중치 맵 및 노광 파면을 사용하여 렌즈 모델을 실행하는 것을 통해, 렌즈 모델과 연관된 렌즈 모델 성능 함수가 개선되도록 렌즈 조정 파라미터 값을 결정하는 단계 - 렌즈 모델 성능 함수는 동공 가중치 맵의 함수임 -; 및
렌즈 조정 파라미터 값과 연관된 수차 파면을 사용하여 패터닝 프로세스를 시뮬레이션하는 것을 통해, 패터닝 프로세스의 성능 메트릭이 개선되도록 동공 가중치 맵의 가중치들을 조정하는 단계를 포함하고, 성능 메트릭은 기판 상에 인쇄될 패턴과 연관된 패턴 배치 에러 및 에지 배치 에러의 함수인 방법.
30. 조항 29에 있어서, 동공 가중치 맵은 픽셀화된 이미지이고, 픽셀화된 이미지의 주어진 픽셀은 성능 메트릭에 대한 주어진 픽셀 값의 변화의 영향에 기초하여 가중치를 할당받는 방법.
31. 조항 29 또는 조항 30에 있어서, 동공 가중치 맵의 가중치들은 조명 동공과 연관된 회절 정보에 기초하고, 회절 정보는 회절 차수들 및/또는 회절 강도 패턴을 포함하는 방법.
32. 조항 30에 있어서, 회절 차수와 연관된 동공 가중치 맵의 일부는 가중치 1을 할당받고, 회절 차수와 연관되지 않은 다른 부분은 가중치 0을 할당받는 방법.
33. 조항 30에 있어서, 회절 강도 패턴은 가중치를 설명하는 제르니케 다항식들의 선형 조합인 방법.
34. 조항 29 내지 조항 34 중 어느 하나에 있어서, 동공 가중치 맵의 가중치들은 제르니케 감도들에 기초하고, 주어진 제르니케 감도는 주어진 제르니케 다항식에 대한 성능 메트릭의 편미분인 방법.
35. 조항 29 내지 조항 34 중 어느 하나에 있어서, 동공 가중치 맵의 가중치들은 파면들의 세트의 주성분 분석(PCA)에 기초하고, 파면들의 세트는 패터닝 프로세스에서 사용되는 하나 이상의 스캐너로부터 획득되는 방법.
36. 조항 35에 있어서, 동공 가중치 맵의 가중치들은 파면들의 세트의 주성분의 감도에 기초하고, 감도는 성능 메트릭에 대하여 결정되는 방법.
37. 조항 36에 있어서, 동공 가중치 맵의 가중치들은 미니-배치 알고리즘에 기초하는 방법.
38. 조항 29 내지 조항 37 중 어느 하나에 있어서, 렌즈 조정 파라미터를 결정하는 단계는 반복 프로세스이고, 반복은:
동공 가중치 맵 및 주어진 노광 파면을 사용하여 렌즈 모델을 실행하여 수차 파면을 생성하는 단계;
수차 파면에 기초하여, 수차 파면의 하나 이상의 부분과 연관된 패턴 배치 에러 및 에지 배치 에러를 결정하는 단계;
에지 배치 에러 및 패턴 배치 에러를 사용하여 성능 메트릭을 평가하는 단계; 및
성능 메트릭이 개선되도록 성능 메트릭의 기울기에 기초하여 수차 파면 파라미터를 렌즈 액추에이터 조정을 통해 조정하는 단계를 포함하는 방법.
39. 조항 29 내지 조항 32 중 어느 하나에 있어서,
동공 가중치 맵을 통해 조명 동공의 영역을 덜 가중화하거나 더 가중화하는 단계;
덜 가중화된 동공 가중치 맵 또는 더 가중화된 동공 가중치 맵을 사용하여 렌즈 모델을 실행하는 단계; 및
성능 메트릭이 최소화되도록 덜 가중화된 동공 맵 또는 더 가중화된 동공 맵, 및 그와 연관된 노광 파면을 사용하여 수차 파면과 연관된 다른 렌즈 조정 파라미터 값들을 결정하는 단계를 더 포함하는 방법.
40. 조항 29 내지 조항 33 중 어느 하나에 있어서, 덜 가중화된 영역은 패터닝 프로세스 동안 패터닝 장치의 파면 조작기를 통해 보정가능한 수차 파면과 연관되는 방법.
41. 조항 29 내지 조항 34 중 어느 하나에 있어서, 렌즈 모델 성능 함수는 최소화되는 방법.
42. 조항 29 내지 조항 35 중 어느 하나에 있어서, 렌즈 모델은 수차 파면에 대응하는 패터닝 장치의 보정 제한들에 관련된 제약들을 포함하는 방법.
43. 조항 29 내지 조항 37 중 어느 하나에 있어서, 노광 파면은 슬릿 관통 파면인 방법.
44. 조항 38에 있어서, 슬릿은 직사각 형상을 갖는 방법.
45. 조항 38에 있어서, 슬릿은 곡면 형상을 갖는 방법.
46. 조항 29 내지 조항 36 중 어느 하나에 있어서, 수차 파면 파라미터는 제르니케 다항식들과 연관된 오프셋, 틸트, 곡률 및/또는 최대 3차 또는 3차보다 높은 파라미터들과 연관되는 방법.
47. 조항 29 내지 조항 42 중 어느 하나에 있어서,
렌즈 모델을 통해, 수차 파면 파라미터를 렌즈 조정 파라미터로 변환하는 단계; 및
렌즈 조정 파라미터에 기초하여 패터닝 장치의 광학 시스템을 작동시키는 단계를 더 포함하는 방법.
48. 패터닝 장치에 대한 렌즈 액추에이터 설정을 결정하는 방법으로서,
패터닝 장치와 연관된 잔여 수차 파면에 할당된 기준 값 및 렌즈 성능 함수를 획득하는 단계; 및
렌즈 성능 함수 및 기준 값을 사용하는 패터닝 장치의 렌즈 모델을 통해, 렌즈 성능 함수를 최소화하는 것에 기초하여 패터닝 장치의 렌즈 액추에이터 공간으로부터 렌즈 액추에이터 설정을 결정하는 단계를 포함하고, 렌즈 성능 함수는 잔여 수차 파면과 연관된 리소그래피 메트릭을 포함하는 방법.
49. 조항 48에 있어서, 렌즈 성능 함수는 리소그래피 성능 함수에 기초하여 결정되고, 리소그래피 성능 함수는:
기판 상에 이미징될 패턴과 연관된 에지 배치 에러; 및
패턴 배치 에러를 포함하고, 패턴 배치 에러는 층 내의 피처들의 상호 시프트이고, 상호 시프트는 기판 상의 기준 위치에 대한 것인 방법.
50. 조항 48 또는 조항 49에 있어서, 리소그래피 메트릭은 에지 배치 에러(EPE)의 적어도 2차 및/또는 패턴 배치 에러(PPE)의 적어도 2차의 함수이고, EPE 및/또는 PPE는 렌즈 액추에이터 설정의 변화들로 인해 유발되는 방법.
51. 조항 48 내지 조항 50 중 어느 하나에 있어서, 기준 값은 리소그래피 성능 함수의 전역적 최소값에 또는 그 주위에 있는 하나 이상의 지점과 연관되는 방법.
52. 조항 48 내지 조항 51 중 어느 하나에 있어서, 리소그래피 메트릭은 렌즈 액추에이터 공간에서 초평면을 정의하고, 초평면은 리소그래피 메트릭과 렌즈 액추에이터 공간의 적어도 2개의 액추에이터 설정 사이의 관계를 제공하는 방법.
53. 조항 48 내지 조항 52 중 어느 하나에 있어서, 렌즈 액추에이터 설정을 결정하는 단계는 반복 프로세스이고, 반복은:
수차 파면을 결정하기 위해 렌즈 액추에이터 공간의 서브세트를 사용하여 렌즈 모델을 실행하는 단계;
결정된 수차 파면을 사용하여, 리소그래피 메트릭 및 렌즈 성능 함수를 결정하는 단계;
렌즈 액추에이터 공간에 대한 렌즈 성능 함수 및/또는 리소그래피 메트릭의 기울기를 결정하는 단계; 및
렌즈 성능 함수 및/또는 리소그래피 메트릭의 기울기에 기초하여, 후속 반복에서 렌즈 성능 함수 및/또는 리소그래피 메트릭이 감소되게 하는 렌즈 액추에이터 공간의 다른 서브세트를 선택하는 단계를 포함하는 방법.
54. 조항 46 내지 조항 53 중 어느 하나에 있어서, 리소그래피 메트릭은 주어진 렌즈 액추에이터 설정과 연관된 측정된 파면 및/또는 잔여 수차 파면의 감도들의 함수이고, 감도들은 패터닝 프로세스의 성능 함수에 대해 결정되는 방법.
55. 조항 54에 있어서, 렌즈 액추에이터 설정을 결정하는 단계는:
렌즈 액추에이터 공간과 연관된 측정된 파면 및/또는 수차 파면의 감도들을 계산하는 단계; 및
렌즈 액추에이터 공간의 서브세트가 리소그래피 메트릭을 최소화하도록 감도들에 기초하여 렌즈 액추에이터 공간의 서브세트로서 렌즈 액추에이터 설정을 결정하는 단계를 포함하는 방법.
56. 조항 55에 있어서, 수차 파면은 제르니케 다항식들에 의해 표현되는 방법.
57. 조항 56에 있어서, 제르니케 다항식들은 패터닝 장치의 보정 잠재력에 따라 가중화되는 방법.
58. 조항 56 또는 조항 57에 있어서, 렌즈 액추에이터 설정을 결정하는 단계는:
비교적 더 높은 수차 내용과 연관된 하나 이상의 제르니케 다항식의 가중치 감소시키는 단계를 포함하는 방법.
59. 조항 56 내지 조항 58 중 어느 하나에 있어서, 렌즈 액추에이터 설정을 결정하는 단계는:
렌즈 지문 및/또는 미러 가열로 인한 스캐너 수차들을 균형화하기 위해 렌즈 액추에이터 공간의 서브세트를 결정하는 단계를 포함하고, 균형화는 제르니케 다항식들의 가중치들을 조정하는 것에 기초하는 방법.
60. 조항 48 내지 조항 59 중 어느 하나에 있어서, 리소그래피 메트릭은 패터닝 장치를 통해 기판 상에 이미징된 패턴과 연관된 물리량을 나타내는 방법.
61. 조항 60에 있어서, 리소그래피 메트릭은 하기의 물리량:
원하는 패턴의 원하는 위치에 대한 인쇄된 패턴과 연관된 패턴 시프트,
기판에 대한 패터닝 장치의 초점 시프트 - 초점 시프트는 패터닝 장치와 연관된 비점수차 오프셋을 포함함 -,
인쇄된 패턴의 상부 및 하부에서의 임계 치수의 비대칭, 또는
인쇄된 패턴과 연관된 에지 배치 에러 중 적어도 하나를 나타내는 방법.
62. 조항 48 내지 조항 61 중 어느 하나에 있어서, 렌즈 액추에이터 설정은 제르니케 다항식들과 연관된 오프셋, 틸트, 곡률 및 최대 3차 또는 3차보다 높은 파라미터들과 연관되는 값들을 포함하는 방법.
본 명세서에 개시된 개념들은 하위 파장 피처들을 이미징하기 위한 임의의 범용 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있고, 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들에서 특히 유용할 수 있다. 이미 사용 중인 신흥 기술들은 ArF 레이저를 사용하여 193nm 파장을 그리고 심지어 불소 레이저를 사용하여 157nm 파장을 생성할 수 있는 EUV(극자외선), DUV 리소그래피를 포함한다. 더욱이, EUV 리소그래피는 싱크로트론을 사용함으로써 또는 고에너지 전자들로 물질(고체 또는 플라즈마)을 타격함으로써 20-5nm 범위 내의 파장들을 생성하여, 이 범위 내의 광자들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상의 이미징을 위해 사용될 수 있지만, 개시된 개념들은 임의의 유형의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상의 이미징을 위해 사용되는 것들과 함께 사용될 수 있다는 것이 이해될 것이다.
위의 설명들은 제한적인 것이 아니라 예시적인 것으로 의도된다. 따라서, 아래에 제시되는 청구항들의 범위로부터 벗어나지 않고 설명된 바와 같이 수정들이 이루어질 수 있다는 점이 이 분야의 기술자에게 명백할 것이다.

Claims (17)

  1. 패터닝 프로세스에서 사용되는 패터닝 장치에 대한 렌즈 조정 파라미터 값을 결정하는 방법으로서,
    (i) 노광 파면과 연관된 동공 가중치 맵 - 동공 가중치 맵의 가중치들은 패터닝 장치의 성능 메트릭과 연관됨 -, 및 (ii) 패터닝 장치의 렌즈 모델을 획득하는 단계 - 렌즈 모델은 노광 파면과 연관된 수차 파면 파라미터를 렌즈 조정 파라미터로 변환하도록 구성됨 -; 및
    동공 가중치 맵 및 노광 파면을 사용하여 렌즈 모델을 실행하는 것을 통해, 렌즈 모델과 연관된 렌즈 모델 성능 함수가 개선되도록 렌즈 조정 파라미터 값을 결정하는 단계 - 렌즈 모델 성능 함수는 동공 가중치 맵의 함수임 - 를 포함하는 방법.
  2. 제1항에 있어서,
    렌즈 조정 파라미터 값과 연관된 수차 파면을 사용하여 패터닝 프로세스를 시뮬레이션하는 것을 통해, 패터닝 프로세스의 성능 메트릭이 개선되도록 동공 가중치 맵의 가중치들을 조정하는 단계를 더 포함하고, 성능 메트릭은 기판 상에 인쇄될 패턴과 연관된 패턴 배치 에러 및 에지 배치 에러의 함수인 방법.
  3. 제1항에 있어서,
    동공 가중치 맵은 픽셀화된 이미지이고, 픽셀화된 이미지의 주어진 픽셀은 성능 메트릭에 대한 주어진 픽셀 값의 변화의 영향에 기초하여 가중치를 할당받는 방법.
  4. 제1항에 있어서,
    동공 가중치 맵의 가중치들은 조명 동공과 연관된 회절 정보에 기초하고, 회절 정보는 회절 차수들 및/또는 회절 강도 패턴을 포함하는 방법.
  5. 제4항에 있어서,
    회절 차수와 연관된 동공 가중치 맵의 일부는 가중치 1을 할당받고, 회절 차수와 연관되지 않은 다른 부분은 가중치 0을 할당받는 방법.
  6. 제4항에 있어서,
    회절 강도 패턴은 가중치를 설명하는 제르니케 다항식들의 선형 조합인 방법.
  7. 제1항에 있어서,
    동공 가중치 맵의 가중치들은 제르니케 감도들에 기초하고, 주어진 제르니케 감도는 주어진 제르니케 다항식에 대한 성능 메트릭의 편미분인 방법.
  8. 제1항에 있어서,
    동공 가중치 맵의 가중치들은 파면들의 세트의 주성분 분석(PCA)에 기초하고, 파면들의 세트는 패터닝 프로세스에서 사용되는 하나 이상의 스캐너로부터 획득되는 방법.
  9. 제8항에 있어서,
    동공 가중치 맵의 가중치들은 파면들의 세트의 주성분의 감도에 기초하고, 감도는 성능 메트릭에 대하여 결정되는 방법.
  10. 제2항에 있어서,
    렌즈 조정 파라미터를 결정하는 단계는 반복 프로세스이고, 반복은:
    동공 가중치 맵 및 주어진 노광 파면을 사용하여 렌즈 모델을 실행하여 수차 파면을 생성하는 단계;
    수차 파면에 기초하여, 수차 파면의 하나 이상의 부분과 연관된 패턴 배치 에러 및 에지 배치 에러를 결정하는 단계;
    에지 배치 에러 및 패턴 배치 에러를 사용하여 성능 메트릭을 평가하는 단계; 및
    성능 메트릭이 개선되도록 성능 메트릭의 기울기에 기초하여 수차 파면 파라미터를 렌즈 액추에이터 조정을 통해 조정하는 단계를 포함하는 방법.
  11. 제1항에 있어서,
    동공 가중치 맵을 통해 조명 동공의 영역을 덜 가중화하거나 더 가중화하는 단계;
    덜 가중화된 동공 가중치 맵 또는 더 가중화된 동공 가중치 맵을 사용하여 렌즈 모델을 실행하는 단계; 및
    성능 메트릭이 최소화되도록 덜 가중화된 동공 맵 또는 더 가중화된 동공 맵, 및 그와 연관된 노광 파면을 사용하여 수차 파면과 연관된 다른 렌즈 조정 파라미터 값들을 결정하는 단계를 더 포함하는 방법.
  12. 제1항에 있어서,
    덜 가중화된 영역은 패터닝 프로세스 동안 패터닝 장치의 파면 조작기를 통해 보정가능한 수차 파면과 연관되는 방법.
  13. 제1항에 있어서,
    렌즈 모델은 수차 파면에 대응하는 패터닝 장치의 보정 제한들에 관련된 제약들을 포함하는 방법.
  14. 제1항에 있어서,
    노광 파면은 슬릿 관통 파면이고, 슬릿은 직사각 형상 또는 곡면 형상을 갖는 방법.
  15. 제1항에 있어서,
    수차 파면 파라미터는 제르니케 다항식들과 연관된 오프셋, 틸트, 곡률 및/또는 최대 3차 또는 3차보다 높은 파라미터들과 연관되는 방법.
  16. 제1항에 있어서,
    렌즈 모델을 통해, 수차 파면 파라미터를 렌즈 조정 파라미터로 변환하는 단계; 및
    렌즈 조정 파라미터에 기초하여 패터닝 장치의 광학 시스템을 작동시키는 단계를 더 포함하는 방법.
  17. 명령어들이 기록된 비-일시적 컴퓨터 판독가능 매체에 저장된 컴퓨터 프로그램에 있어서, 상기 명령어들은 컴퓨터 시스템에 의해 실행될 때 컴퓨터 시스템으로 하여금 제1항 내지 제16항 중 어느 한 항에 따른 방법을 수행하게 하는 명령어들이 기록된 비-일시적 컴퓨터 판독가능 매체에 저장된 컴퓨터 프로그램.
KR1020237014695A 2018-06-25 2019-06-21 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화 KR20230065371A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201862689482P 2018-06-25 2018-06-25
US62/689,482 2018-06-25
US201962861673P 2019-06-14 2019-06-14
US62/861,673 2019-06-14
PCT/EP2019/066446 WO2020002143A1 (en) 2018-06-25 2019-06-21 Wavefront optimization for tuning scanner based on performance matching
KR1020207037477A KR102529085B1 (ko) 2018-06-25 2019-06-21 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207037477A Division KR102529085B1 (ko) 2018-06-25 2019-06-21 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화

Publications (1)

Publication Number Publication Date
KR20230065371A true KR20230065371A (ko) 2023-05-11

Family

ID=67137912

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207037477A KR102529085B1 (ko) 2018-06-25 2019-06-21 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
KR1020237014695A KR20230065371A (ko) 2018-06-25 2019-06-21 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207037477A KR102529085B1 (ko) 2018-06-25 2019-06-21 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화

Country Status (5)

Country Link
US (2) US11586114B2 (ko)
KR (2) KR102529085B1 (ko)
CN (1) CN112313581B (ko)
TW (3) TWI714137B (ko)
WO (1) WO2020002143A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020002143A1 (en) * 2018-06-25 2020-01-02 Asml Netherlands B.V. Wavefront optimization for tuning scanner based on performance matching
CN113260925A (zh) 2018-12-31 2021-08-13 Asml荷兰有限公司 确定图案形成装置的光学特性的分量的子集
CN115104067A (zh) * 2020-02-14 2022-09-23 Asml荷兰有限公司 确定光刻匹配性能
WO2021175521A1 (en) * 2020-03-02 2021-09-10 Asml Netherlands B.V. Method for inferring a local uniformity metric
KR20220147672A (ko) * 2020-04-02 2022-11-03 에이에스엠엘 네델란즈 비.브이. 반도체 제조 프로세스에서 기판들의 그룹에 대한 검사 전략을 결정하기 위한 방법
WO2021249720A1 (en) * 2020-06-10 2021-12-16 Asml Netherlands B.V. Aberration impact systems, models, and manufacturing processes
CN113432731B (zh) * 2021-06-28 2022-06-28 中国科学院上海光学精密机械研究所 一种光栅横向剪切干涉波前重建过程中的补偿方法
WO2023110401A1 (en) * 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7262831B2 (en) * 2004-12-01 2007-08-28 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method using such lithographic projection apparatus
JP4954211B2 (ja) 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8300214B2 (en) 2008-02-22 2012-10-30 Nikon Precision Inc. System and method for an adjusting optical proximity effect for an exposure apparatus
JP5913979B2 (ja) 2008-06-03 2016-05-11 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのプロセスシミュレーション方法
JP5225463B2 (ja) 2008-06-03 2013-07-03 エーエスエムエル ネザーランズ ビー.ブイ. レンズ加熱補償方法
US8438507B2 (en) 2008-11-20 2013-05-07 Nikon Corporation Systems and methods for adjusting a lithographic scanner
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
CN102484084B (zh) 2009-07-17 2014-12-10 克拉-坦科股份有限公司 使用设计和缺陷数据的扫描仪性能比较和匹配
US8351037B2 (en) 2010-07-12 2013-01-08 International Business Machines Corporation Method to match exposure tools using a programmable illuminator
US8495528B2 (en) * 2010-09-27 2013-07-23 International Business Machines Corporation Method for generating a plurality of optimized wavefronts for a multiple exposure lithographic process
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8736814B2 (en) 2011-06-13 2014-05-27 Micron Technology, Inc. Lithography wave-front control system and method
US9519285B2 (en) 2013-01-23 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and associated methods for tuning processing tools
US9081289B2 (en) 2013-03-15 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for optimization of an imaged pattern of a semiconductor device
WO2015165699A1 (en) * 2014-05-02 2015-11-05 Asml Netherlands B.V. Reduction of hotspots of dense features
JP6831784B2 (ja) * 2014-12-01 2021-02-17 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ製造プロセスに関する診断情報を取得するための方法および装置、診断装置を含むリソグラフィックプロセシングシステム
KR20170096002A (ko) 2014-12-17 2017-08-23 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 토포그래피 유도 위상을 이용하는 장치 및 방법
US10261423B2 (en) 2015-06-22 2019-04-16 Asml Netherlands B.V. Lithographic method and apparatus
NL2016903A (en) * 2015-06-23 2016-12-29 Asml Netherlands Bv Lithographic Apparatus and Method
WO2017067752A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017080729A1 (en) * 2015-11-13 2017-05-18 Asml Netherlands B.V. Methods for identifying a process window boundary
CN112631086A (zh) * 2016-07-11 2021-04-09 Asml荷兰有限公司 用于确定性能参数的指纹的方法和设备
EP3270225A1 (en) * 2016-07-11 2018-01-17 ASML Netherlands B.V. Method and apparatus for determining a fingerprint of a performance parameter
US11029594B2 (en) * 2016-09-13 2021-06-08 Asml Netherlands B.V. Optimization of a lithography apparatus or patterning process based on selected aberration
CN110235061B (zh) * 2017-01-31 2021-06-18 Asml荷兰有限公司 用于增加图案定位的准确度的方法及系统
WO2018153711A1 (en) * 2017-02-22 2018-08-30 Asml Netherlands B.V. Computational metrology
WO2020002143A1 (en) * 2018-06-25 2020-01-02 Asml Netherlands B.V. Wavefront optimization for tuning scanner based on performance matching
US20220260920A1 (en) * 2019-05-22 2022-08-18 Asml Netherlands B.V. Method for determining a sampling scheme, a semiconductor substrate measurement apparatus, a lithographic apparatus

Also Published As

Publication number Publication date
TWI815508B (zh) 2023-09-11
WO2020002143A1 (en) 2020-01-02
US20230161264A1 (en) 2023-05-25
TW202240320A (zh) 2022-10-16
KR20210014672A (ko) 2021-02-09
TW202014804A (zh) 2020-04-16
US11977334B2 (en) 2024-05-07
TWI769588B (zh) 2022-07-01
TW202121069A (zh) 2021-06-01
TWI714137B (zh) 2020-12-21
CN112313581B (zh) 2024-05-03
CN112313581A (zh) 2021-02-02
KR102529085B1 (ko) 2023-05-08
US20210364929A1 (en) 2021-11-25
US11586114B2 (en) 2023-02-21

Similar Documents

Publication Publication Date Title
US20210356874A1 (en) Process window based on defect probability
KR102529085B1 (ko) 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
CN112543892A (zh) 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
CN114026500A (zh) 在半导体制造过程中应用沉积模式的方法
TWI750648B (zh) 用於判定與期望圖案相關聯之隨機變異之方法
CN115104068A (zh) 用于以基于缺陷的过程窗口为基础的校准模拟过程的方法
CN113168121B (zh) 基于局部电场来调整图案化过程的模型中的目标特征的方法
US11474436B2 (en) Tuning patterning apparatus based on optical characteristic
US20220404711A1 (en) Process monitoring and tuning using prediction models
TWI793443B (zh) 判定圖案之像差靈敏度的方法
US11561478B2 (en) Determining subset of components of an optical characteristic of patterning apparatus

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal