KR20230117366A - 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법 - Google Patents

마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법 Download PDF

Info

Publication number
KR20230117366A
KR20230117366A KR1020237020655A KR20237020655A KR20230117366A KR 20230117366 A KR20230117366 A KR 20230117366A KR 1020237020655 A KR1020237020655 A KR 1020237020655A KR 20237020655 A KR20237020655 A KR 20237020655A KR 20230117366 A KR20230117366 A KR 20230117366A
Authority
KR
South Korea
Prior art keywords
mask image
contour
mask
image
model
Prior art date
Application number
KR1020237020655A
Other languages
English (en)
Inventor
준 타오
유 카오
크리스토퍼 앨런 스펜스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20230117366A publication Critical patent/KR20230117366A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Image Analysis (AREA)

Abstract

본 명세서에서, 마스크 패턴을 결정하는 방법 및 기계 학습 모델을 트레이닝하는 방법이 설명된다. 패터닝 공정과 연계된 마스크 패턴에 대한 데이터를 생성하는 방법은 (ⅰ) 디자인 패턴과 연계된 제 1 마스크 이미지(예를 들어, CTM), (ⅱ) 제 1 마스크 이미지에 기초한 윤곽(예를 들어, 레지스트 윤곽), (ⅲ) 디자인 패턴에 기초한 기준 윤곽(예를 들어, 이상적인 레지스트 윤곽), 및 (ⅳ) 윤곽과 기준 윤곽 사이의 윤곽 차이를 얻는 단계를 포함한다. 윤곽 차이 및 제 1 마스크 이미지는 모델에 입력되어 마스크 이미지 수정 데이터를 생성한다. 제 1 마스크 이미지 및 마스크 이미지 수정 데이터에 기초하여, 패터닝 공정에서 채택될 마스크 패턴을 결정하기 위한 제 2 마스크 이미지가 생성된다.

Description

마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법
본 출원은 2020년 12월 18일에 출원된 미국 출원 63/127,453의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 명세서의 기재내용은 리소그래피 장치들 및 공정들에 관한 것으로, 특히 마스크 패턴을 생성하는 방법 및 마스크 패턴 생성과 연계된 기계 학습 모델을 트레이닝하는 방법에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별층에 대응하는 회로 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 회로 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 회로 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 회로 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 회로 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판이 이동된다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 배율 인자(M)(일반적으로 < 1)를 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 인자(M) 배가 될 것이다. 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792로부터 얻을 수 있다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 절차들을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 핀에 연결되는 캐리어 등에 장착될 수 있다.
유의되는 바와 같이, 마이크로리소그래피는 IC의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 심자외선 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수들, 즉 조명 소스(예를 들어, 193 nm 조명 소스)로부터의 방사선의 파장의 절반보다 작은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처(feature)들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택되는 방사선의 파장(현재, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세-조정 단계들이 리소그래피 투영 장치 및/또는 디자인 레이아웃에 적용된다. 이들은, 예를 들어 NA 및 광 코히런스(optical coherence) 세팅들의 최적화, 커스터마이징 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다. 본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처(aperture) 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
리소그래피 및 다른 패터닝 공정 기술들의 발전으로, 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 치수 사양들을 충족하기 위해, 무엇보다도 개선된 마스크 패턴들이 리소그래피에서 채택될 마스크를 제조하는 데 필요하다. 예를 들어, 개선된 마스크 패턴들은 역 리소그래피 시뮬레이션들[예를 들어, 광 근접 보정(OPC)]을 사용하여 생성할 수 있으며, 이는 연산 집약적이고 시간 소모적이다. 마스크 패턴 디자인 시간 및 연산 시간을 개선하기 위해, 기계 학습 모델들이 사용될 수 있다. 기존 기계 학습 모델들(예를 들어, 컨볼루션 뉴럴 네트워크)은 종래의 OPC 또는 역 OPC보다 빠를 수 있지만, 여전히 개선의 여지가 있으며, 최종 마스크 패턴을 얻기 위해 종래의 OPC 또는 역 OPC 알고리즘에서 필요한 반복 횟수를 더 감소시킨다. 다시 말해서, 최종 마스크 패턴을 결정하기 위한 종래의 OPC 프로세스를 수행하기 전에, 기존 OPC 모델의 출력들(예컨대, 마스크 이미지)이 더 개선될 수 있다.
본 발명은 앞서 논의된 다양한 문제들을 해결한다. 일 실시형태에서, 본 발명은 패터닝 공정에서 채택될 마스크 패턴들을 결정하기 위해 사용되는 마스크 이미지들을 결정하는 개선된 방법을 제공한다. 또 다른 실시형태에서, 본 발명은 마스크 이미지 수정 데이터를 결정하도록 구성되는 모델을 생성하는 트레이닝 방법을 제공한다. 본 발명에서 결정된 모델은 기존 마스크 패턴 생성 공정들에서 채택되어 마스크 패턴들의 품질을 더 개선하고, 차례로 프린트된 회로들의 치수 정확성을 개선할 수 있다.
일 실시예에서, 패터닝 공정과 연계된 마스크 패턴에 대한 데이터를 생성하는 방법이 제공된다. 상기 방법은 (ⅰ) 디자인 패턴과 연계된 제 1 마스크 이미지, (ⅱ) 제 1 마스크 이미지에 기초한 윤곽(예를 들어, 다각형 형상들, 윤곽 이미지 등) -윤곽은 기판의 피처의 윤곽을 나타냄- , (ⅲ) 디자인 패턴에 기초한 기준 윤곽(예를 들어, 다각형 형상들, 기준 윤곽 이미지), 및 (ⅳ) 윤곽과 기준 윤곽(예를 들어, 기판 상에 프린트될 수 있는 이상적인 윤곽) 사이의 윤곽 차이를 포함하는 입력 데이터를 얻는 단계를 포함한다. 제 1 마스크 이미지 및 윤곽 차이 이미지는 마스크 이미지 수정 데이터를 생성하기 위해 모델(예컨대, CNN)에 입력될 수 있다. 일 실시예에서, 마스크 수정 데이터는 패터닝 공정의 성능 파라미터가 원하는 성능 범위 내에 있도록 하기 위한 제 1 마스크 이미지의 수정량을 나타낸다. 마스크 이미지 수정 데이터에 기초하여, 제 1 마스크 이미지는 패터닝 공정에서 채택될 마스크 패턴을 결정하기 위한 제 2 마스크 이미지를 생성하도록 업데이트될 수 있다.
일 실시예에서, 제 2 마스크 이미지 또는 업데이트된 마스크 이미지의 생성은 반복적인 프로세스일 수 있으며, 여기서 제 2 마스크 이미지는 모델을 사용하여 더 업데이트될 수 있다. 일 실시예에서, 모델로의 입력 데이터 및 모델로부터의 출력은 그레이 스케일 이미지들일 수 있다.
일 실시예에서, 패터닝 공정과 연계된 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델을 결정하는 방법이 제공된다. 상기 방법은 (ⅰ) 디자인 패턴에 기초한 제 1 마스크 이미지, (ⅱ) 제 1 마스크 이미지에 기초한 윤곽 -윤곽은 피처의 윤곽을 나타냄- , (ⅲ) 제 1 마스크 이미지 및 잡음에 기초한 잡음 유도된 제 1 마스크 이미지, (ⅳ) 잡음 유도된 제 1 마스크 이미지에 기초한 기준 윤곽, 및 (ⅴ) 윤곽과 기준 윤곽 사이의 차이에 기초한 윤곽 차이를 포함하는 트레이닝 데이터를 얻는 단계를 포함한다. 윤곽 차이 및 제 1 마스크 이미지는 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델을 결정하기 위해 더 사용될 수 있다.
일 실시예에 따르면, 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품이 제공된다. 명령어들은, 컴퓨터에 의해 실행될 때, 청구항들에 열거된 방법들을 구현한다.
이제 첨부된 도면들을 참조하여, 단지 예시의 방식으로만 실시예들을 설명할 것이다:
도 1은 일 실시예에 따른, 리소그래피 시스템의 다양한 서브시스템들의 블록 다이어그램;
도 2는 일 실시예에 따른, 도 1의 서브시스템들에 대응하는 시뮬레이션 모델들의 블록 다이어그램;
도 3은 일 실시예에 따른, 패터닝 공정과 연계된 마스크 패턴에 대한 데이터를 생성하도록 구성되는 모델을 결정하는 방법의 흐름도;
도 4는 일 실시예에 따른, 모델을 결정하기 위한 예시적인 트레이닝 데이터를 생성하는 예시적인 프로세스들을 나타내는 도면;
도 5는 일 실시예에 따른, 모델을 결정하기 위해 사용되는 또 다른 예시적인 트레이닝 데이터를 나타내는 도면;
도 6은 일 실시예에 따른, 도 4 및 도 5의 트레이닝 데이터를 사용하여 모델을 결정하는 예시적인 프로세스를 나타내는 도면;
도 7은 일 실시예에 따른, 마스크 패턴을 결정하는 데 사용될 마스크 이미지 수정 데이터를 생성하는 방법의 흐름도;
도 8은 일 실시예에 따른, 도 3에 따라 결정된 모델을 사용하여 마스크 이미지 수정 데이터를 생성하는 예시를 나타내는 도면;
도 9는 도 3에 따라 결정된 모델의 기존 마스크 생성 프로세스로의 예시적인 통합을 나타내는 블록 다이어그램;
도 10은 일 실시예에 따른, 공동 최적화(joint optimization)의 예시적인 방법론의 측면들을 나타내는 흐름도;
도 11은 일 실시예에 따른, 또 다른 최적화 방법의 일 실시예를 나타내는 도면;
도 12a, 도 12b 및 도 13은 일 실시예에 따른, 다양한 최적화 프로세스들의 예시적인 흐름도들;
도 14는 일 실시예에 따른, 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 15는 일 실시예에 따른, 리소그래피 투영 장치의 개략적인 다이어그램;
도 16은 일 실시예에 따른, 또 다른 리소그래피 투영 장치의 개략적인 다이어그램;
도 17은 일 실시예에 따른, 도 16의 장치의 더 상세한 도면; 및
도 18은 일 실시예에 따른, 도 16 및 도 17의 장치의 소스 컬렉터 모듈(SO)의 더 상세한 도면이다.
이제, 당업자가 실시예들을 실시할 수 있게 하도록 실례가 되는 예시들로서 제공되는 도면들을 참조하여, 실시예들이 상세하게 설명될 것이다. 특히, 아래의 숫자들 및 예시들은 단일 실시예로 범위를 제한하려는 것이 아니며, 설명되거나 예시된 요소들 중 일부 또는 전부의 상호교환에 의해 다른 실시예들이 가능하다. 편리하다면, 동일하거나 유사한 부분들을 지칭하기 위해 도면 전체에 걸쳐 동일한 참조 번호들이 사용될 것이다. 이 실시예들의 소정 요소들이 알려진 구성요소들을 사용하여 부분적으로 또는 완전히 구현될 수 있는 경우, 실시예들의 이해에 필요한 이러한 알려진 구성요소들의 부분들만이 설명될 것이며, 이러한 알려진 구성요소들의 다른 부분들의 상세한 설명은 생략되어 실시예들의 설명을 모호하게 하지 않을 것이다. 본 명세서에서, 단일 구성요소를 나타내는 실시예는 제한적인 것으로 간주되어서는 안 되며; 오히려, 본 명세서에서 달리 명시적으로 언급되지 않는 한, 범위는 복수의 동일한 구성요소들을 포함하는 다른 실시예들을 포괄하도록 의도되고, 그 역도 마찬가지이다. 더욱이, 출원인들은 명세서 또는 청구항들의 여하한의 용어가 명시적으로 언급되지 않는 한, 일반적이지 않거나 특별한 의미를 갖는 것을 의도하지 않는다. 또한, 범위는 예시에 의해 본 명세서에서 언급되는 구성요소들에 대한 현재 알려진 및 미래 알려질 균등물들을 포괄한다.
본 명세서에서는, IC의 제조에 대하여 특히 언급되지만, 본 명세서의 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 함을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 타입들의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 리소그래피의 결과들 및/또는 공정들이 더 바람직한 특성들, 예컨대 기판 상의 디자인 레이아웃의 더 높은 투영 정확성, 더 큰 공정 윈도우 등을 갖도록 리소그래피 투영 장치를 조정하는 것을 의미한다.
또한, 리소그래피 투영 장치는 2 이상의 기판 테이블(및/또는 2 이상의 패터닝 디바이스 테이블)을 갖는 타입으로 이루어질 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가적인 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비 작업 단계들이 수행될 수 있다. 트윈 스테이지(twin stage) 리소그래피 투영 장치는, 예를 들어 본 명세서에서 인용참조되는 US 5,969,441에서 설명된다.
앞서 언급된 패터닝 디바이스는 디자인 레이아웃들을 포함하거나 형성할 수 있다. 디자인 레이아웃들은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들은 통상적으로 "임계 치수"(CD)라고 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소 폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목표들 중 하나는 원래 회로 디자인을 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 다른 이러한 패터닝 디바이스의 예시들로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선(diffracted radiation)으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여 회절 방사선만이 남게 할 수 있다; 이러한 방식으로, 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다. 이러한 거울 어레이들에 관한 더 많은 정보는, 예를 들어 미국 특허 제 5,296,891호 및 제 5,523,193호로부터 얻을 수 있으며, 이들은 본 명세서에서 인용참조된다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
간략한 도입부로서, 도 1은 예시적인 리소그래피 투영 장치(10A)를 나타낸다. 주요 구성요소들은 심자외선 엑시머 레이저 소스 또는 극자외선(EUV) 소스를 포함한 다른 타입의 소스일 수 있는 방사선 소스(12A)(앞서 언급된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음); (시그마로서 표시된) 부분적 코히런스(partial coherence)를 정의하고, 상기 소스(12A)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있는 조명 광학기; 패터닝 디바이스(18A); 및 기판 평면(22A) 상에 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16Ac)이다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(20A)가 기판 평면(22A) 상에 부딪히는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수 NA = sin(Θmax)를 정의한다.
시스템의 최적화 프로세스에서, 시스템의 성능 지수(figure of merit)가 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최소화하는 시스템의 파라미터들(디자인 변수들)의 세트를 발견하는 과정으로 압축된다. 비용 함수는 최적화의 목표에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 소정 특성들[평가 포인트(evaluation point)들]의 의도된 값들(예를 들어, 이상적인 값들)에 대한 이러한 특성들의 편차들의 가중 RMS(root mean square)일 수 있다; 또한, 비용 함수는 이 편차들의 최대값(즉, 가장 심한 편차)일 수도 있다. 본 명세서에서 "평가 포인트들"이라는 용어는 시스템의 여하한의 특성을 포함하는 것으로 폭넓게 해석되어야 한다. 시스템의 디자인 변수들은 시스템 구현의 실용성(practicality)들로 인해 상호의존적이고, 및/또는 유한한 범위로 한정될 수 있다. 리소그래피 투영 장치의 경우, 제약은 흔히 패터닝 디바이스 제조성 디자인 규칙들, 및/또는 조절가능한 범위들과 같은 하드웨어의 물리적 속성들 및 특성들과 관련되며, 평가 포인트들은 기판 상의 레지스트 이미지에 대한 물리적 포인트, 및 도즈 및 포커스와 같은 비-물리적 특성들을 포함할 수 있다.
리소그래피 투영 장치에서, 소스는 조명(즉, 광)을 제공하고; 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 상기 조명을 지향하고 성형한다. "투영 광학기"라는 용어는, 본 명세서에서 방사선 빔의 파면을 변경할 수 있는 여하한의 광학 구성요소를 포함하는 것으로 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 기판 상의 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 용해도(solubility)의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 일반적으로 승인된 미국 특허 8,200,468에서 찾아볼 수 있고, 이는 본 명세서에서 그 전문이 인용참조된다. 레지스트 모델은 레지스트 층의 속성들(예를 들어, 노광, PEB 및 현상 시 일어나는 화학 공정들의 효과들)에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 소스, 패터닝 디바이스 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우한다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다.
리소그래피 투영 장치에서의 리소그래피를 시뮬레이션하는 예시적인 흐름도가 도 2에 예시된다. 소스 모델(31)이 소스의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(32)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 디자인 레이아웃 모델(35)이 패터닝 디바이스에 의해 형성되는, 또는 패터닝 디바이스 상의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들[주어진 디자인 레이아웃(33)에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함]을 나타낸다. 소스 모델(31), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(35)로부터 에어리얼 이미지(36)가 시뮬레이션될 수 있다. 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 레지스트 이미지(38)가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽들 및 CD들을 예측할 수 있다.
더 명확하게는, 소스 모델(31)은 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상[예를 들어, 환형, 쿼드러폴(quadrupole) 및 다이폴(dipole) 등과 같은 오프-액시스(off-axis) 방사선 소스들]을 포함 -이에 제한되지는 않음- 하는 소스의 광학적 특성들을 나타낼 수 있다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 또한, 디자인 레이아웃 모델(35)은, 예를 들어 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 물리적 패터닝 디바이스의 물리적 속성들을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치들, 에어리얼 이미지 세기 기울기들, 및 CD들을 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 OPC-전 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
이 디자인 레이아웃으로부터, 1 이상의 부분이 식별될 수 있으며, 이는 "클립(clip)"이라고 칭해진다. 일 실시예에서, 클립들의 일 세트가 추출되고, 이는 디자인 레이아웃 내의 복잡한 패턴들을 나타낸다(전형적으로, 약 50 내지 1000 개의 클립들이 사용되지만, 여하한 수의 클립들이 사용될 수 있음). 당업자라면 이해하는 바와 같이, 이 패턴들 또는 클립들은 디자인의 작은 부분들(즉, 회로들, 셀들 또는 패턴들)을 나타내며, 특히 클립들은 특정 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 다시 말하면, 클립들은 경험에 의해(고객에 의해 제공된 클립들을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션 실행에 의해 중요한 피처(critical feature)들이 식별되는 디자인 레이아웃의 부분들일 수 있거나, 또는 디자인 레이아웃의 부분들과 유사할 수 있거나, 또는 디자인 레이아웃의 부분들과 유사한 거동을 가질 수 있다. 클립들은 통상적으로 1 이상의 테스트 패턴 또는 게이지 패턴(gauge pattern)을 포함한다.
클립들의 더 큰 초기 세트는 특정 이미지 최적화를 필요로 하는 디자인 레이아웃 내의 알려진 중요한 피처 영역들에 기초하여 고객에 의해 선험적으로(a priori) 제공될 수 있다. 대안적으로, 또 다른 실시예에서, 클립들의 더 큰 초기 세트는 중요한 피처 영역들을 식별하는 어떤 종류의 자동화[예를 들어, 머신 비전(machine vision)] 또는 수동 알고리즘을 이용함으로써 전체 디자인 레이아웃으로부터 추출될 수 있다.
패터닝 공정의 시뮬레이션은, 예를 들어 에어리얼, 레지스트 및/또는 에칭 이미지 내의 윤곽, CD, 에지 배치(예를 들어, 에지 배치 오차), 패턴 시프트 등을 예측할 수 있다. 즉, 에어리얼 이미지(34), 레지스트 이미지(36) 또는 에칭 이미지(40)는 패턴의 특성(예를 들어, 존재, 위치, 타입, 형상 등)을 결정하기 위해 사용될 수 있다. 따라서, 시뮬레이션의 목적은 예를 들어 프린트된 패턴의 에지 배치, 및/또는 윤곽들, 및/또는 패턴 시프트, 및/또는 에어리얼 이미지 세기 기울기, 및/또는 CD 등을 정확히 예측하는 것이다. 이 값들은, 예를 들어 패터닝 공정을 보정하고, 결함이 발생할 것으로 예측되는 곳을 식별하는 등을 위해 의도된 디자인과 비교될 수 있다. 의도된 디자인은 일반적으로 OPC-전 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
패터닝 디바이스 패턴을 다양한 리소그래피 이미지들(예를 들어, 에어리얼 이미지, 레지스트 이미지 등)로 변환하는 데 사용되는 기술들 및 모델들, 이 기술들 및 모델들을 이용한 OPC의 적용, 및 (예를 들어, 공정 윈도우에 관한) 성능의 평가의 세부사항들은 미국 특허 출원 공개공보 US 2008-0301620호, 2007-0050749호, 2007-0031745호, 2008-0309897호, 2010-0162197호, 2010-0180251호, 및 2011-0099526호에 설명되어 있으며, 이들은 각각 본 명세서에서 그 전문이 인용참조된다.
리소그래피 노드가 계속해서 축소됨에 따라, 점점 더 복잡한 패터닝 디바이스 패턴(더 나은 가독성을 위해 교환가능하게 마스크라고도 함)이 필요하다[예를 들어, 곡선적 마스크(curvilinear mask)]. 본 방법은 핵심 층들에서 DUV 스캐너, EUV 스캐너, 및/또는 다른 스캐너들과 사용될 수 있다. 본 발명에 따른 방법은 소스 마스크 최적화(SMO), 마스크 최적화, 및/또는 OPC를 포함하는 마스크 최적화 프로세스의 상이한 측면에 포함될 수 있다. 예를 들어, 소스 마스크 최적화 프로세스는 "Optimization Flows of Source, Mask and Projection Optics"라는 제목의 미국 특허 9,588,438호에 설명되어 있으며, 이는 본 명세서에서 그 전문이 인용참조된다.
일 실시예에서, 패터닝 디바이스 패턴은 직사각형 또는 계단형과 같은 형상들을 갖는 맨해튼 패턴(Manhattan pattern)들과 대조적으로, 다각형 형상들을 갖는 곡선적 SRAF들을 포함하는 곡선적 마스크이다. 곡선적 마스크는 맨해튼 패턴에 비해 기판 상에 더 정확한 패턴들을 생성할 수 있다. 하지만, 곡선적 SRAF들의 지오메트리, 타겟 패턴들에 대한 그 위치들, 또는 다른 관련 파라미터들은 이러한 곡선적 형상들이 제조 불가능할 수 있기 때문에 제조 제한을 야기할 수 있다. 따라서, 이러한 제한들이 마스크 디자인 과정 동안 설계자에 의해 고려될 수 있다. 곡선적 마스크 제조 시 한계 및 과제들에 대한 상세한 논의는 Spence 외의 "Manufacturing Challenges for Curvilinear Masks", Proceeding of SPIE Volume 10451, Photomask Technology, 1045104(16 October 2017); doi: 10.1117/12.2280470에서 제공되며, 이는 본 명세서에서 그 전문이 인용참조된다.
광 근접 보정(OPC)은 회절 및 공정 효과들로 인한 이미지 오차들을 보상하는 데 일반적으로 사용되는 포토리소그래피 향상 기술이다. 기존의 모델-기반 OPC는 일반적으로: (ⅰ) 규칙 리타겟팅(rule retargeting)을 포함한 웨이퍼 타겟 패턴 도출, (ⅱ) 분해능-이하 어시스트 피처(sub-resolution assist feature: SRAF) 배치, 및 (ⅲ) (예를 들어, 웨이퍼 상의 세기 맵 계산에 의한) 모델 시뮬레이션을 포함한 반복 보정 수행을 포함하는 여러 단계들로 이루어진다. 모델 시뮬레이션의 가장 시간 소모적인 부분들은 마스크 회절, 광학 이미징 및 레지스트 현상의 시뮬레이션 및 마스크 규칙 체크(MRC)에 기초한 모델-기반 SRAF 생성 및 클린업(cleanup)이다.
OPC 시뮬레이션의 과제들 중 하나는 런타임 및 정확성이다. 일반적으로, 결과가 정확할수록 OPC 흐름은 느리다. 더 나은 공정 윈도우를 얻기 위해, 각각의 OPC 반복에서 상이한 조건들(공칭 조건, 디포커스 조건, 오프-도즈 조건) 하의 더 많은 모델 시뮬레이션들이 필요하다. 또한, 더 많은 패터닝 공정 관련 모델들이 포함될수록 OPC 결과가 타겟 패턴에 수렴하게 만들기 위해 더 많은 반복들이 필요하다. 처리되어야 하는 데이터의 많은 양(칩 상의 수십억 개의 트랜지스터들)으로 인해, 런타임 요건은 OPC 관련 알고리즘의 복잡성에 심각한 제약을 둔다. 또한, 정확성 요건들은 집적 회로들의 축소가 계속됨에 따라 더 엄격해지고 있다. 이러한 것으로서, 이 문제들을 해결하기 위해서는 새로운 알고리즘들 및 기술들이 필요하다. 예를 들어, 폴리곤-기반 OPC에 대해 상이한 솔루션이 필요하다. 본 발명은, 예를 들어 OPC-후 레이아웃들을 결정하는 방법들을 제공한다. 상기 방법들은 빠른 속도 및 OPC-후 레이아웃의 단순성을 유지하면서 높은 정확성을 제공한다.
일 실시예에서, 곡선적 마스크 패턴은 초기 마스크 패턴의 곡선적 형상들을 생성하기 위해 레벨-세트(level-set) 방법을 채택하는 연속 투과 마스크(CTM+) 프로세스(CTM 프로세스의 확장)로부터 얻어질 수 있다. CTM 프로세스의 일 예시는 앞서 언급된 미국 특허 8,584,056호에 설명되어 있다. 일 실시예에서, CTM+ 프로세스는 초기 마스크 패턴(또는 일반적으로 마스크 패턴)의 일부 또는 1 이상의 특성에 기초하여, 여하한의 적절한 방법을 사용하여 그 어시스트 피처들의 1 이상의 특성을 결정하는 단계들을 수반한다. 예를 들어, 어시스트 피처들의 1 이상의 특성은 Y. Shen 외의 Level-Set-Based Inverse Lithography For Photomask Synthesis, Optics Express, Vol. 17, pp. 23690-23701(2009)에서 설명되거나, 미국 특허 9,111,062호에서 설명된 방법을 사용하여 결정될 수 있으며, 이들은 본 명세서에서 그 전문이 인용참조된다. 예를 들어, 1 이상의 특성은 어시스트 피처들의 1 이상의 기하학적 특성(예를 들어, 절대 위치, 상대 위치, 또는 형상), 어시스트 피처들의 1 이상의 통계적 특성, 또는 어시스트 피처들의 파라미터화를 포함할 수 있다. 어시스트 피처들의 통계적 특성의 예시들은 어시스트 피처들의 기하학적 차원의 평균 또는 분산을 포함할 수 있다.
종래의 OPC는 다변수 솔버 또는 단일-변수 솔버를 사용하여, 시뮬레이션된 웨이퍼 윤곽과 원하는 타겟 윤곽 사이의 차이를 마스크 평면으로 다시 전파함으로써, 마스크 다각형들에 대한 반복적인 보정들을 수행한다. 우수한 공정 윈도우를 달성하기 위해, 다수 공정 윈도우 조건들(예를 들어, 도즈-포커스 변동들)에 대한 리소그래피 시뮬레이션들이 적용되어 마스크 패턴을 결정한다. 이 프로세스는 최종 마스크 패턴으로 수렴하기 위해 여러 번 반복된다.
반면에, 역 OPC는 전형적으로 경사-기반 솔버(gradient-based solver)를 사용한다. 역 OPC 프로세스는 최소화되는 비용 함수를 채택한다. 비용 함수는 상이한 공정 조건들 하에서의 에지 배치 오차들을 포함한다. 역 OPC 프로세스는 종래의 OPC보다 수렴하는 데 훨씬 더 많은 반복을 수행한다. 역 OPC는 디자인 레이아웃을 패치(patch)들로 처리하며, 각각의 패치에 대해 곡선적 다각형 형상들이 생성될 수 있다. 최종 마스크 패턴을 생성하기 위해 곡선적 마스크 형상들을 병합하도록 각각의 패치가 반복 알고리즘을 통해 개별적으로 처리되는 패치 경계들에 걸쳐 곡선적 형상들을 병합하는 것이 어렵다.
딥 러닝 기반 접근법들이 기계 학습 모델들을 트레이닝하여 기존 또는 역 OPC를 가속화하기 위해 개발될 수 있다. 통상적으로, 딥 러닝 모델[예컨대, DCNN(Deep Convolutional Neural Network)]은 타겟 패턴을 마스크 패턴으로 변환하도록 트레이닝된다. 기준 OPC 알고리즘에 의해 생성되는 트레이닝 샘플들이 트레이닝을 위해 사용될 수 있다. 이 딥 러닝 모델은 완벽하지 않을 수 있지만, 최종 마스크 패턴의 우수한 근사치를 제공할 수 있다. 딥 러닝 모델들은 몇 번의 반복(즉, 종래의 OPC 또는 역 OPC 알고리즘보다 훨씬 적은 반복)만을 필요로 하며, 이에 의해 마스크 패턴 생성 프로세스의 속도를 실질적으로 높인다. 하지만, 추가적으로, 리소그래피 시뮬레이션이 특히 마지막 몇 번의 반복에서 다수 공정 윈도우 조건들과 사용된다. 리소그래피 시뮬레이션의 다변수 솔버도 시간 소모적이며, 이에 따라 여전히 최종 수렴 결과, 즉 최종 마스크 패턴을 달성하는 데 상당한 컴퓨팅 시간이 걸릴 수 있다. 예시적인 기계 학습 방법들이 PCT 공개공보 WO2020169303A1호, WO2019238372A1호 및 WO2019162346A1호에 설명되어 있으며, 이들은 모두 본 명세서에서 그 전문이 인용참조된다.
기존 기계 학습 모델들(예컨대, DCNN, CNN)은 종래의 OPC 또는 역 OPC보다 빠를 수 있지만, 여전히 최종 마스크 패턴을 얻기 위해 종래의 OPC 또는 역 OPC 알고리즘에 필요한 반복 횟수를 더 감소시키고 개선할 필요가 존재한다. 다시 말해서, 최종 마스크 패턴을 결정하기 위한 종래의 OPC 프로세스를 수행하기 전에 기존 OPC 모델의 출력들(예를 들어, 마스크 이미지)이 더 개선될 수 있다. OPC 최적화 프로세스의 각 반복에 대해, 상이한 OPC가 마스크 패턴들, 웨이퍼 타겟 패턴들, 또는 OPC 시뮬레이션 프로세스의 수렴과 관련된 상이한 문제를 야기할 수 있다. OPC 시뮬레이션 프로세스에서, 종래의 단일 변수 솔버 및 단일 조건 OPC 솔버는 빠른 속도를 제공하지만, 반복이 진행됨에 따라 매우 상이한 시뮬레이션 결과들을 생성한다. 역 OPC 시뮬레이션 프로세스에서와 같이 다-조건 변수 솔버의 경우, 시뮬레이션 프로세스는 반복마다 실질적으로 느려질 것이다. 타겟 조정 방법이 품질 및 속도 모두에 대해 우수하지만, 타겟 조정 흐름에 사용되는 딥 CNN 모델을 트레이닝하는 것이 복잡하다. 예를 들어, DCNN을 트레이닝하기 위해, 역 OPC 시뮬레이션의 추가적인 라운드가 리타겟 층에서 수행되어 트레이닝 데이터를 준비한다. 따라서, 기존 OPC 모델의 정확성을 개선하여 OPC 모델을 적용한 후에 필요한 반복 횟수를 더 제어하는 것이 바람직하다. 이를 위해, 본 발명은 기존 OPC 모델의 출력을 보완하기 위해 출력이 사용될 수 있는 또 다른 모델을 결정하는 것을 설명한다.
본 발명의 일 실시예에서, 강화 학습 프로세스가 OPC 최적화에 사용될 기계 학습 모델(예컨대, CNN, DCNN)을 트레이닝하기 위해 채택될 수 있으며, 이는 본 명세서에서 일부 실시예들에 대해 제 2 모델 또는 제 2 기계 학습 모델로 지칭된다. 강화 학습에서, 모델은 윤곽 차이(예컨대, 레지스트 윤곽 차이)와 마스크 이미지(예컨대, CTM 이미지 또는 CTM+ 이미지) 픽셀 값 사이의 관계를 학습한 후, 기준 윤곽(예컨대, 규정된 이상적인 레지스트 윤곽)이 달성될 경우에 마스크 이미지 차이가 어떻게 되어야 하는지를 예측하도록 구성된다. 예를 들어, 실측 데이터(예를 들어, CTM 이미지들)에서 몬테카를로 검색을 사용함으로써, CNN 모델이 구축된다. 이 CNN 모델을 적용하는 것은 사전설정된 OPC 관련 이미지(예를 들어, OPC에서 사용되는 마스크 이미지)를 80 % 이상 개선하는 데 도움이 될 수 있으며, 이 결과는 최종 OPC 솔루션에 실질적으로 근접한다. 일 실시예에서, 제 1 OPC 모델은 (앞서 논의된 바와 같은) OPC 프로세스에서 채택되는 기존 모델일 수 있고, 본 발명에 따라 트레이닝되는 제 2 모델은 제 1 OPC 모델의 정확성을 개선하기 위해 사용될 수 있다. 예를 들어, 제 1 OPC 모델은 마스크 이미지를 생성하고, 제 2 모델은 마스크 이미지를 개선하여, 개선된 마스크 이미지가 OPC 프로세스에서 채택될 때 최종 OPC 솔루션(예를 들어, 최종 마스크 패턴)에 근접한 솔루션(예를 들어, 마스크 패턴)을 생성하도록 한다.
실측 데이터에 대한 몬테카를로 검색과 같은 강화 학습을 사용한 제 2 모델의 트레이닝에서, 트레이닝 데이터를 준비하기 위해 추가적인 OPC 프로세스 시뮬레이션은 필요하지 않다. 일 실시예에서, 제 2 모델의 출력을 사용하여, 제 1 OPC 모델의 정확성(예를 들어, DCNN, CNN 모델 정확성)이 상당히 개선될 수 있다. 예를 들어, 여기에서 제 2 모델을 한 번 적용함으로써, 제 1 OPC 모델의 정확성의 47 % 개선에 도달할 수 있다. 추가적으로, 제 2 모델이 반복적으로 적용되는 경우, 80 % 이상의 개선에 도달할 수 있다. 예를 들어, 트레이닝된 제 2 모델을 두 번, 세 번 등 적용하면, 제 1 OPC 모델의 정확성이 80 % 이상 개선될 수 있다. 따라서, 제 1 OPC 모델(예를 들어, DCNN)의 출력은 여기에서 설명된 제 2 모델의 출력으로 보완될 때, 예상되는 최종 OPC 솔루션에 매우 근접한 솔루션을 제공한다. 예를 들어, 최종 OPC 솔루션은 CD, EPE, LCDU 또는 기판의 패터닝 공정과 관련된 다른 성능 파라미터들에 기초하여 도출될 수 있다.
일 실시예에서, 제 1 OPC 모델 및 (본 발명에 따라 트레이닝된) 제 2 모델은 2 개의 개별 모델들로서 언급될 수 있다. 예를 들어, 제 1 OPC 모델은 제 1 CNN 모델일 수 있고, 제 2 모델은 제 2 CNN 모델일 수 있다. 하지만, 일 실시예에서, 제 1 모델은 제 2 모델로 증강되어 단일 모델을 나타낼 수 있다. 다시 말해서, 제 1 모델 및 제 2 모델은 단일 모델일 수 있다. 예를 들어, 제 1 CNN 모델의 출력 층들이 제 2 CNN 모델의 입력 층들과 커플링되어 단일 CNN 모델을 생성할 수 있다. 본 발명은 본 발명의 개념들을 논의하기 위해 제 1 모델 및 제 2 모델을 개별적으로 설명하지만, 이것이 본 발명의 범위를 제한하지는 않는다. 당업자는 본 명세서에 설명된 방법들에 따라 단일 모델을 트레이닝할 수 있다.
도 3은 일 실시예에 따른 마스크 이미지 및 윤곽 차이에 기초하여 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델을 결정하는 방법(300)의 흐름도이다. 모델(300)은 강화 학습에 기초하여 결정된다. 예를 들어, 마스크 이미지가 무작위 잡음(예컨대, 백색 잡음)을 추가함으로써 교란되어, 마스크 이미지를 개선하기 위한 데이터를 예측하도록 모델을 트레이닝하기 위한 트레이닝 데이터를 생성할 수 있다. 상기 방법(300)은 트레이닝 데이터를 얻는 프로세스(P302) 및 트레이닝 데이터를 사용하여 모델을 결정하는 프로세스(P304)를 포함한다. 프로세스들(P302 및 P304)은 아래에서 더 논의된다.
일 실시예에서, 프로세스 P302는 (ⅰ) 디자인 패턴(DP)에 기초한 제 1 마스크 이미지(MI1), (ⅱ) 제 1 마스크 이미지(MI1)에 기초한 윤곽(301c) -윤곽은 피처의 윤곽을 나타냄- , (ⅲ) 제 1 마스크 이미지(MI1) 및 잡음에 기초한 잡음 유도된 제 1 마스크 이미지(NMI1), (ⅳ) 잡음 유도된 제 1 마스크 이미지(NMI1)에 기초한 기준 윤곽(301r), 및 (ⅴ) 윤곽(301c)과 기준 윤곽(301r) 사이의 차이에 기초한 윤곽 차이(DC1)를 얻는 단계를 포함한다.
일 실시예에서, 디자인 패턴(DP)은 이미지[예를 들어, 픽셀화된 이미지(pixelated image)], 기판 상에 프린트되기를 원하는 디자인 레이아웃과 연계된 이미지 데이터(예를 들어, 픽셀 위치 및 세기), 또는 GDS 포맷의 다각형 형상들로서 표현되는 데이터일 수 있다.
본 발명은 제 1 마스크 이미지(MI1)를 생성하는 여하한의 특정 방법 또는 프로세스에 제한되지 않는다. 일 실시예에서, 제 1 마스크 이미지(MI1)는 디자인 패턴(DP)에 기초하여 생성될 수 있다. 예를 들어, 제 1 마스크 이미지(MI1)는 PCT 공개공보 WO2020169303A1호, WO2019238372A1호 및 WO2019162346A1호에서의 방법들에 따라 트레이닝되는 기계 학습 모델에 의해 생성될 수 있으며, 이들은 모두 본 명세서에서 그 전문이 인용참조된다. 일 실시예에서, 마스크 이미지는 미국 특허 8,584,056호 및 9,111,062호에 설명된 프리폼(free-form) OPC 시뮬레이션 프로세스에 의해 생성될 수 있다. 제 1 마스크 이미지(MI1)는 직선적 패턴 기반 이미지, CTM 또는 CTM+ 이미지일 수 있다. 일 실시예에서, 제 1 마스크 이미지(MI1)는 그레이 스케일 광 근접 보정(OPC) 후 이미지들이다.
일 실시예에서, OPC-후 이미지는 이미지(예컨대, 픽셀화된 이미지) 또는 이미지 데이터(예컨대, 픽셀 위치 및 세기)로서 표현되는 데이터일 수 있다. 일 실시예에서, OPC-후 이미지는 패턴 데이터, 예를 들어 주 피처 데이터 및 어시스트 피처 데이터를 포함한다. 주 피처는 OPC-후 패턴 내에서 디자인 레이아웃의 디자인 피처에 대응하는 피처를 지칭한다. 일 실시예에서, 주 피처 데이터 및 어시스트 피처 데이터는 분리될 수 있다. 일 실시예에서, 주 피처 데이터 및 어시스트 피처 데이터는 2 개의 상이한 이미지들로서, 또는 조합된 형태로, 예를 들어 단일 이미지로서 표현될 수 있다.
일 실시예에서, OPC-후 이미지를 얻는 것은 디자인 레이아웃의 디자인 피처들에 대응하는 주 피처들의 기하학적 형상들(예를 들어, 다각형 형상들 또는 비-다각형 형상들, 예컨대 정사각형, 직사각형, 둥근 다각형, 또는 원형 형상들 등)과 관련된 데이터를 얻는 것을 수반한다. 유사하게, 어시스트 피처들의 기하학적 형상들도 얻어질 수 있다. 예를 들어, OPC-후 이미지의 이미지 처리(예컨대, 에지 검출)가 수행되어 디자인 레이아웃 또는 OPC-후 이미지의 기하학적 형상들을 추출할 수 있다.
일 실시예에서, 윤곽(301c)은 제 1 마스크 이미지(MI1)에 기초하여 생성될 수 있다. 일 실시예에서, 윤곽(301c)을 얻는 것은 시뮬레이션된 이미지를 생성하기 위해 입력으로서 제 1 마스크 이미지(MI1)를 사용하여 패터닝 공정 모델을 실행하는 단계; 윤곽 추출 알고리즘을 사용하여, 시뮬레이션된 이미지로부터 윤곽을 추출하는 단계; 및 윤곽(301c)을 변환하여 윤곽 이미지를 생성하는 단계를 수반한다. 일 실시예에서, 윤곽은 에지 검출 알고리즘으로서 채택하는 이미지 처리에 의해 추출될 수 있는 기하학적 형상 정보를 포함한다.
일 실시예에서, 윤곽(301c)은 다각형 형상들(예컨대, GDS 포맷), 이미지 또는 다른 데이터 포맷들로서 표현될 수 있다. 일 실시예에서, 윤곽(301c)은 피처의 윤곽을 나타내는 윤곽 이미지로 변환될 수 있다. 일 실시예에서, 윤곽(301c)은 현상 후 공정, 에칭 후 공정(예를 들어, 레지스트 공정, 에칭 공정 등), 또는 웨이퍼 기판의 패터닝과 연계된 다른 공정과 연계될 수 있다. 따라서, 윤곽 이미지는 레지스트 이미지 또는 에칭 이미지라고 칭해질 수 있다. 일 실시예에서, 현상 후 공정은 레지스트 공정, 에칭 공정, 또는 다른 공정들일 수 있다. 예를 들어, 윤곽(301)은 제 1 마스크 이미지에서 현상-후 검사(ADI) 모델을 적용함으로써 생성된다. 따라서, 윤곽(301c)은 레지스트 윤곽 또는 에칭 윤곽일 수 있다. 레지스트 윤곽 및 에칭 윤곽은 단지 예시적이며, 본 발명의 범위를 제한하지 않는다는 것을 이해할 수 있다. 본 발명은 기판의 타입 또는 특정 공정과 연계된 윤곽들로 제한되지 않는다. 예를 들어, 일 실시예에서, 기판은 하드 마스크를 제조하는 데 사용되는 마스크 기판일 수 있다. 따라서, 윤곽은 마스크 관련 패터닝 공정들이 수행되는 마스크 기판과 연계된 윤곽들을 지칭할 수 있다.
일 실시예에서, 래스터화 연산이 기하학적 형상 데이터에서 수행되어 이미지 표현을 생성할 수 있다. 예를 들어, 래스터화 연산은 기하학적 형상들(예컨대, 벡터 그래픽 포맷)을 픽셀화된 이미지로 변환한다. 일 실시예에서, 래스터화는 피처 형상들을 명확하게 식별하고 잡음을 감소시키기 위해 로우 패스 필터를 적용하는 것을 더 수반할 수 있다.
일 실시예에서, 잡음 유도된 제 1 마스크 이미지(NMI1)는 제 1 마스크 이미지(MI1) 및 잡음을 사용하여 생성될 수 있다. 예를 들어, 유도된 잡음은 영(zero) 평균 및 유한한 분산을 갖는 비상관 랜덤 변수들인 이산 신호들을 특징으로 하는 백색 잡음일 수 있다. 일 실시예에서, 잡음은 제 1 마스크 이미지(MI1)의 주 피처들에 대응하는 부분들에서 유도될 수 있다.
일 실시예에서, 기준 윤곽(301r)은 잡음 유도된 제 1 마스크 이미지(NMI1)로부터 결정될 수 있다. 일 실시예에서, 기준 윤곽(301r)을 얻는 것은 무작위 잡음 이미지를 생성하여 제 1 마스크 이미지(MI1)에 추가하는 것을 포함한다. 기준 윤곽(301r)을 얻는 것은 윤곽 추출 알고리즘을 사용하여, 잡음 유도된 제 1 마스크 이미지(NMI1)로부터 윤곽을 추출하는 단계; 및 윤곽을 변환하여 기준 윤곽 이미지를 생성하는 단계를 포함한다. 예를 들어, 윤곽은 앞서 논의된 바와 같이 래스터화 연산을 적용함으로써 윤곽 이미지로 변환될 수 있다.
일 실시예에서, 윤곽 차이(DC1)는 윤곽(301c)과 기준 윤곽(301r) 사이의 차이를 사용함으로써 결정된다. 앞서 언급된 바와 같이, 제 1 이미지, 윤곽 이미지, 기준 윤곽 이미지 및 마스크 이미지 수정 데이터는 그레이 스케일 픽셀화된 이미지들일 수 있다. 따라서, 윤곽 차이(DC1)는 그레이 스케일 픽셀화된 이미지일 수 있다.
도 4 및 도 5는 실례를 위해 이미지들로서 표현되는 예시적인 트레이닝 데이터를 나타낸다. 본 발명은 이미지 표현에 제한되지 않으며, 트레이닝되는 모델과 연계된 다른 적절한 허용 데이터 포맷들(예컨대, 벡터, 테이블 등)이 사용될 수 있다. 도 5에서, 마스크 이미지(401MI)는 도 10 내지 도 14에 따른 공정 모델들, 종래의 OPC, 또는 CTM 또는 CTM+ 마스크 생성 흐름을 채택한 프리폼 OPC와 같은 OPC 프로세스를 시뮬레이션함으로써 얻어질 수 있다.
본 예시에서, 마스크 이미지(401MI)는 디자인 패턴을 사용하여 (예를 들어, 레벨-세트 방법을 채택하는) CTM+ 흐름으로부터 얻어진다. 마스크 이미지(401MI)는 디자인 패턴의 피처들에 대응하는 주 피처들을 나타내는 부분들(예를 들어, 부분 MF1과 같은 어두운 부분들) 및 주 피처들(예를 들어, MF1)을 둘러싸는 어시스트 피처 부분들(예를 들어, 부분 AF1과 같은 상대적으로 덜 어두운 부분들)을 포함한다. 마스크 이미지(401MI)는 픽셀화된 그레이 스케일 이미지이며, 각각의 픽셀은 세기 값을 갖는다. 예를 들어, 마스크 이미지(401MI)의 주 피처 부분들(예를 들어, MF1)은 어시스트 피처 부분들(예를 들어, AF1)에 비해 더 높은 픽셀 세기들을 갖는다. 통상적으로, 마스크 이미지로부터, 1 이상의 주 피처 및 어시스트 피처가 추출되어 디자인 패턴에 대응하는 마스크 패턴을 디자인할 수 있다. 마스크 이미지가 정확할수록 패터닝된 기판이 정확해질 것이다.
일 실시예에서, 마스크 이미지(401MI)는 마스크 이미지(401MI)로부터 윤곽들(401c)을 추출하기 위해 윤곽 추출 프로세스(P402)에 입력될 수 있다. 본 발명은 마스크 이미지로부터 윤곽들을 얻는 메카니즘의 여하한의 특정 방법에 제한되지 않는다. 윤곽들은 마스크 이미지에 직접 대응하는 마스크 이미지 윤곽들, 또는 마스크 이미지로부터 도출되는 레지스트 이미지들의 레지스트 윤곽들, 또는 여하한의 다른 적절한 타입들의 피처 윤곽들일 수 있다. 예를 들어, 윤곽 추출 프로세스(P402)는 주 피처들에 대응하는 윤곽들(401c)을 추출한다. 일 예시에서, 윤곽 추출 프로세스(P402)는 주 피처들에 대응하는 윤곽들을 식별하고 추출하기 위해 픽셀 세기 임계화 방법(pixel intensity thresholding method)을 채택할 수 있다. 또 다른 예시에서, 윤곽 추출 프로세스(P402)는 마스크 이미지로부터 윤곽들을 생성하도록 구성되는 기계 학습 모델을 채택할 수 있다. 또 다른 예시에서, 윤곽은 에지 검출 알고리즘으로서 채택하는 이미지 처리에 의해 추출될 수 있는 기하학적 형상 정보를 포함한다. 본 발명은 특정 윤곽 추출 방법에 제한되지 않는다. 또 다른 예시에서, 윤곽(401c)을 결정하는 것은 지정된 임계치를 사용함으로써 마스크 이미지(401MI)로부터 윤곽/다각형을 추출하는 것을 수반한다. 다각형/윤곽은 주 피처들 및 어시스트 피처들을 모두 포함할 수 있다. 다각형/윤곽을 사용하여 공정 시뮬레이션 모델(예를 들어, 레지스트 모델)을 적용하고, 시뮬레이션된 이미지(예를 들어, 레지스트 이미지)를 얻는다. 레지스트 이미지로부터, 윤곽(401c)이 추출될 수 있다. 유사하게, 기준 윤곽(402r)은 잡음 유도된 마스크 이미지를 사용하여 얻어질 수 있다.
일 실시예에서, 윤곽들(401c)은 다각형 형상들, 곡선적 형상들, 또는 직선적 외곽선들일 수 있다. 일 실시예에서, 윤곽선들(401c)은 래스터화 연산을 적용함으로써 이미지로 더 변환될 수 있다. 예를 들어, 주 피처들(예컨대, 마스크 이미지의 MF1)에 대응하는 윤곽들을 포함하는 윤곽(401c)은 윤곽 이미지(401CI)로 변환될 수 있다. 윤곽 이미지(401CI)는 주 피처들(예를 들어, 마스크 이미지의 MF1)에 대응하여 더 높은 픽셀 세기 값들을 갖는 픽셀화된 그레이 스케일 이미지일 수 있다. 일 실시예에서, 윤곽(401c)은 트레이닝 데이터에 포함될 수 있다. 대안적으로 또는 추가적으로, 윤곽 이미지(401CI)가 트레이닝 데이터에 포함될 수 있다.
일 실시예에서, 마스크 이미지(401MI)는 트레이닝 데이터에 포함될 기준 윤곽 데이터를 생성하도록 수정될 수 있다. 일 실시예에서, 마스크 이미지(401MI)는 잡음 이미지(402RN)를 사용하여 수정될 수 있다. 잡음 이미지(402RN)는 픽셀 세기 값들이 서로 상관되지 않거나 무작위로 할당되는 백색 잡음일 수 있다. 일 실시예에서, 잡음 이미지(402RN)는 마스크 패턴(401MI)의 주 피처 부분들(예를 들어, MF1)에 대응하는 부분들에서만 백색 잡음을 포함할 수 있다. 일 실시예에서, 프로세스 P404가 마스크 이미지(401MI)를 잡음 이미지(402RN)와 조합하여 잡음 유도된 마스크 이미지(402MI)를 생성한다. 잡음 유도된 마스크 이미지(402MI)는 기준 윤곽(402r)을 추출하기 위해 (앞서 논의된) 프로세스 P402에 입력될 수 있다.
일 실시예에서, 기준 윤곽(402r)은 기준 윤곽(402r)에 래스터화 연산을 적용함으로써 기준 윤곽 이미지(402RI)로 변환될 수 있다. 일 실시예에서, 기준 윤곽(402r)은 트레이닝 데이터에 포함될 수 있다. 대안적으로 또는 추가적으로, 기준 윤곽 이미지(402RI)가 트레이닝 데이터에 포함될 수 있다. 유도된 잡음을 통합함으로써, 이러한 기준 윤곽은 마스크 이미지에 존재할 수 있는 확률적 변동들을 설명할 수 있다. 이러한 것으로서, 기준 윤곽을 사용하여 트레이닝된 모델은 확률적 변동에 대해 더 견고할 수 있고, 이에 의해 더 신뢰성 있고 정확한 마스크 패턴들을 생성할 수 있다.
도 5를 참조하면, 윤곽(401c)과 기준 윤곽(402r) 사이의 차이에 기초하여 차이 윤곽(도시되지 않음)이 생성될 수 있다. 일 실시예에서, 차이 윤곽 이미지(401DI)는 윤곽 이미지(401CI)와 기준 윤곽 이미지(402RI)의 픽셀 세기들 사이의 차이를 사용함으로써 생성될 수 있다. 일 실시예에서, 차이 윤곽은 트레이닝 데이터에 포함될 수 있다. 추가적으로 또는 대안적으로, 차이 윤곽 이미지(401DI)가 트레이닝 데이터에 포함될 수 있다. 나타낸 바와 같이, 차이 윤곽 이미지(401DI)는 잡음이 유도된 주 피처 부분들에 대응하는 (예를 들어, 링과 같은 형상들의) 상이한 픽셀 세기 값들을 포함한다.
도 3을 다시 참조하면, 프로세스 P304는 윤곽 차이(DC1) 및 제 1 마스크 이미지(MI1)에 기초하여, 마스크 이미지 수정 데이터(310)를 생성하도록 구성되는 모델(DL2)을 결정하는 것을 포함하고, 예를 들어 이는 OPC 최적화 프로세스에서 마스크 이미지(예를 들어, MI1')를 업데이트하는 데 사용될 수 있다. 일 실시예에서, 모델(DL2)은 마스크 이미지 수정 데이터가 제 1 마스크 이미지(MI1)에서 유도되는 잡음의 지정된 임계치 내에 있도록 모델 파라미터들을 조정함으로써 결정된다. 일 실시예에서, 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델(DL2)은 기계 학습 모델일 수 있다. 예를 들어, 기계 학습 모델은 CNN, DCNN, 또는 다른 뉴럴 네트워크이다.
일 실시예에서, 모델(DL2)을 트레이닝하는 것은 반복적인 프로세스이다. 각각의 반복은 입력으로서 윤곽 차이(DC1) 및 제 1 마스크 이미지(MI1)를 사용하여, 초기 마스크 이미지 수정 데이터를 생성하도록 초기 모델 파라미터 값들을 갖는 모델(DL2)을 실행하는 것을 포함할 수 있다. 초기 마스크 이미지 수정 데이터는 잡음과 비교될 수 있다. 비교는 마스크 이미지 수정 데이터가 잡음과 얼마나 밀접하게 매칭하는지를 나타낼 수 있다. 비교에 기초하여, 초기 모델 파라미터 값들은 마스크 이미지 수정 데이터가 잡음의 지정된 매칭 임계치 내에 있도록 조정될 수 있다. 예를 들어, 매칭 임계치는 95 % 이상일 수 있다.
일 실시예에서, 모델 파라미터 값들의 조정은 경사 하강 방법, 또는 기계 학습과 관련된 다른 방법들에 기초할 수 있다. 예를 들어, 모델(DL2)의 성능이 성능 함수(예를 들어, 모델 출력과 기준 사이의 차이)를 통해 결정될 수 있다. 또한, 경사 하강 방법에서, 성능의 그래디언트(gradient)가 모델 파라미터들에 대해 연산될 수 있다. 그래디언트는 모델(DL2)의 성능을 개선하기 위해 가이드로서 사용되어, 모델(DL2)이 잡음과 매칭하는 개선된 마스크 이미지 수정 데이터를 점진적으로 생성하도록 한다.
도 6은 본 명세서에 설명된 도 4 및 도 5의 트레이닝 데이터를 사용한 모델의 예시적인 트레이닝을 나타낸다. 도 6을 참조하면, 트레이닝 데이터의 마스크 이미지(401MI) 및 차이 윤곽 이미지(401DI)는 트레이닝되는 모델에 대한 입력으로서 작용하고, 잡음 이미지(402RN)는 모델의 출력(412)이 비교될 수 있는 기준의 역할을 할 수 있다. 비교에 기초하여, 트레이닝되는 모델의 성능을 결정하기 위해 모델 출력(412)이 잡음 이미지(402RN)와 얼마나 밀접하게 매칭하는지가 결정될 수 있다. 예를 들어, 모델 출력(412)이 기준 윤곽 이미지(402RN)의 원하는 매칭 임계치(예를 들어, 95 % 이상) 내에 있는 경우, 모델은 트레이닝된 모델(DL2)로 간주된다. 일 실시예에서, 모델(DL2)은 개선된 마스크 이미지들을 생성하기 위한 마스크 이미지 수정 데이터를 생성하는 데 더 사용될 수 있다.
일 실시예에서, 트레이닝된 모델(DL2)은 마스크 이미지 수정 데이터 및 업데이트된 마스크 이미지를 생성하기 위해 채택될 수 있다. 예를 들어, 상기 방법(300)은 디자인 패턴(DP)에 기초한 기준 윤곽 및 마스크 이미지를 얻는 단계; 마스크 이미지 수정 데이터를 생성하기 위해 마스크 이미지 및 윤곽 차이를 사용하여 모델(DL2)을 실행하는 단계; 및 마스크 이미지 수정 데이터를 마스크 이미지와 조합함으로써 마스크 이미지를 업데이트하는 단계를 더 포함한다.
일 실시예에서, 마스크 이미지를 업데이트하는 단계는 (ⅰ) 업데이트된 마스크 이미지에 기초하여 윤곽 차이를 업데이트하는 단계; (ⅱ) 마스크 이미지 수정 데이터를 생성하기 위해 업데이트된 마스크 이미지 및 업데이트된 윤곽 차이를 사용하여 모델을 실행하는 단계; (ⅲ) 마스크 이미지 수정 데이터를 업데이트된 마스크 이미지와 조합하는 단계; (ⅳ) 업데이트된 마스크 이미지에 기초하여, 성능 파라미터가 지정된 성능 임계치 내에 있는지 여부를 결정하는 단계; 및 (ⅴ) 성능 임계치를 만족하지 않는 성능 파라미터에 응답하여, 단계들 (ⅰ) 내지 (ⅳ)를 수행하는 단계를 포함하는 반복적인 프로세스이다.
도 7은 일 실시예에 따른, 시작 마스크 이미지로부터 최적화된 마스크 이미지 또는 마스크 패턴을 생성하기 위해 [예를 들어, 상기 방법(300)에 따라 트레이닝된] 트레이닝된 모델을 채택하는 방법(700)의 흐름도이다.
일 실시예에서, 프로세스 P702는 (ⅰ) 디자인 패턴(DP)과 연계된 제 1 마스크 이미지(MI1), (ⅱ) 제 1 마스크 이미지(MI1)에 기초한 윤곽(C1) -윤곽(C1)은 피처의 윤곽을 나타냄- , (ⅲ) 디자인 패턴(DP)에 기초한 기준 윤곽(RC1); 및 (ⅳ) 윤곽(C1)과 기준 윤곽(RC1) 사이의 윤곽 차이(DC1)를 얻는 것을 포함한다.
일 실시예에서, 제 1 마스크 이미지(MI1)는 제 1 마스크 이미지(MI1)를 생성하기 위해 입력으로서 디자인 패턴(DP)을 사용하여 마스크 생성 모델을 실행함으로써 얻어질 수 있다. 제 1 마스크 이미지(MI1)는 본 발명의 범위를 벗어나지 않고 당업자에게 잘 알려진 여하한의 적절한 방식으로 생성될 수 있다. 일 실시예에서, 제 1 마스크 이미지(MI1)는 연속 투과 마스크(CTM) 이미지일 수 있다. 일 실시예에서, 마스크 생성 모델은 예를 들어 실측 자료로서 역 리소그래피에 의해 생성되는 CTM 이미지를 사용하여 트레이닝된 기계 학습 모델일 수 있다. 일 실시예에서, 제 1 마스크 이미지(MI1)는 제 1 그레이 스케일 광 근접 보정(OPC) 후 이미지들일 수 있다.
일 실시예에서, 윤곽(C1)은 제 1 마스크 이미지(MI1)로부터 추출될 수 있다. 윤곽(C1)은 마스크 피처의 윤곽을 나타낸다. 일 실시예에서, 윤곽(C1)을 얻는 것은 시뮬레이션된 이미지, 예를 들어 현상 후 레지스트 이미지 또는 에칭 이미지를 생성하기 위해 입력으로서 제 1 마스크 이미지(MI1)를 사용하여 패터닝 공정 모델을 실행하는 단계; 윤곽 추출 알고리즘을 사용하여, 시뮬레이션된 이미지로부터 윤곽을 추출하는 단계; 및 윤곽을 변환하여 윤곽 이미지를 생성하는 단계를 포함한다. 일 실시예에서, 윤곽(C1)은 기하학적 형상 정보를 포함하며, 이는 에지 검출 알고리즘과 같은 이미지 처리를 사용하여 추출될 수 있다. 일 실시예에서, 윤곽(C1)은 현상 후 공정과 연계된 윤곽이고, 현상 후 공정은 레지스트 공정 또는 에칭 공정이다.
일 실시예에서, 기준 윤곽(RC1)은 디자인 패턴(DP)을 사용하여 생성될 수 있다. 일 실시예에서, 기준 윤곽(RC1)은 기판 상에 형성될 이상적인 윤곽이다. 일 실시예에서, 이상적인 윤곽은 이상적인 공정 조건들을 갖는 패터닝 공정 또는 공정 파라미터들의 무시할 수 있는 변동들을 갖는 공정을 시뮬레이션함으로써 생성될 수 있다. 예를 들어, 이상적인 조건들은 무시할 수 있거나 보정가능한 광학 수차, 완벽한 레지스트 현상, 무시할 수 있는 도즈 또는 포커스 변동들 등을 포함할 수 있다. 일 실시예에서, 기준 윤곽(RC1)은 디자인 패턴(DP)을 래스터화함으로써 얻어진다.
일 실시예에서, 윤곽 차이(DC1)는 윤곽(C1)과 기준 윤곽(RC1) 사이의 차이를 취함으로써 생성될 수 있다. 일 실시예에서, 윤곽 차이(DC1)는 이미지로서 표현될 수 있다(예를 들어, 도 8의 이미지 801DI 참조).
일 실시예에서, 프로세스 P704는 윤곽 차이(DC1) 및 제 1 마스크 이미지(MI1)를 사용하는 모델(DL2)을 통해, 제 1 마스크 이미지(MI1)의 수정량을 나타내는 마스크 이미지 수정 데이터(705)를 생성하는 것을 포함한다. 일 실시예에서, 수정 데이터는 마스크 이미지에 추가될 때 패터닝 공정의 성능 파라미터(예컨대, EPE)가 원하는 성능 범위 내에 있도록 한다. 예를 들어, 패터닝 공정의 EPE는 기존 기술에 비해 개선된다. 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델(DL2)은 기계 학습 모델일 수 있다.
마스크 이미지 수정 데이터(705)는 마스크 이미지(MI)의 주 피처들 또는 어시스트 피처들에 대응하는 위치들에서의 값들(예컨대, 세기 값들)을 포함할 수 있다. 일 실시예에서, 마스크 이미지 수정 데이터(705)의 이러한 값들이 마스크 이미지와 조합되어 업데이트된 마스크 이미지를 생성할 때, 주 피처들 또는 어시스트 피처들에 대응하는 부분들이 변화될 수 있다. 이러한 것으로서, 업데이트된 마스크 이미지가 주 피처들 또는 어시스트 피처들의 윤곽들을 추출하는 데 사용될 때, 이러한 추출된 윤곽들은 입력된 마스크 이미지로부터 추출된 윤곽들과 비교하여 상이할(예를 들어, 개선될) 것이다.
일 예시에서, 마스크 이미지 수정 데이터(705)는 그레이 스케일 이미지로서 표현된다. 예를 들어, 도 8의 마스크 이미지 수정 데이터(810)를 참조한다. 마스크 이미지 수정 데이터(705)는 마스크 이미지에 추가되어 업데이트된 마스크 이미지를 생성할 수 있다. 본 예시에서, 마스크 이미지 수정 데이터는 업데이트된 마스크 이미지가 사용될 때 마스크 패턴의 형상들의 실질적인 변화를 야기할 수 있는 주 피처들에 대응하는 위치들에서 상대적으로 높은 세기 값들을 갖는 부분들을 포함한다.
일 실시예에서, 프로세스 P706은 제 1 마스크 이미지(MI1) 및 마스크 이미지 수정 데이터(705)에 기초하여, 패터닝 공정에서 채택될 마스크 패턴을 결정하기 위한 제 2 마스크 이미지(MI2)를 생성하는 것을 포함한다. 일 실시예에서, 제 2 마스크 이미지(MI2)는 제 2 그레이 스케일 광 근접 보정(OPC) 후 이미지들일 수 있다.
일 실시예에서, 제 2 마스크 이미지(MI2)는 업데이트된 마스크 이미지 및 업데이트된 차이 윤곽을 사용하여 반복함으로써 더 최적화될 수 있다. 예를 들어, 제 2 마스크 이미지(MI2)를 생성하는 것은 반복적인 프로세스일 수 있다. 각각의 반복은 마스크 이미지 데이터로 현재 마스크 이미지(예컨대, 마지막에 업데이트된 마스크 이미지)를 업데이트하는 단계; 및 업데이트된 마스크 이미지 및 마스크 이미지 수정 데이터(705)에 기초하여, 제 2 마스크 이미지(MI2)를 생성하는 단계를 포함한다. 일 실시예에서, 각각의 반복은 업데이트된 마스크 이미지와 기준 윤곽(RC1) 사이의 차이에 기초하여 업데이트된 윤곽 차이를 생성하는 단계; 및 업데이트된 마스크 이미지 및 업데이트된 윤곽 차이에 기초하여, 마스크 이미지 수정 데이터(705)를 생성하는 단계를 더 포함한다.
일 실시예에서, 상기 방법(700)은 제 2 마스크 이미지(MI2)로부터 마스크 패턴을 결정하는 프로세스(P710)를 더 포함할 수 있다. 본 발명은 마스크 이미지로부터 마스크 패턴을 결정하는 여하한의 특정 방법 또는 프로세스에 제한되지 않는다. 일 실시예에서, 프로세스 P710은 마스크 패턴을 생성하기 위해, 제 2 마스크 이미지(MI2)에 기초하여, 제 2 마스크 이미지(MI2)로부터 마스크 패턴 에지들을 추출하는 것을 포함한다. 일 실시예에서, 마스크 패턴 에지들을 추출하는 것은 임계화를 통해, 마스크 패턴에 사용하기 위한 1 이상의 피처와 연계된 에지들을 검출하도록 제 2 마스크 이미지(MI2)를 처리하는 단계; 및 1 이상의 피처의 에지들을 사용하여 마스크 패턴을 생성하는 단계를 포함한다. 일 실시예에서, 마스크 패턴은 디자인 패턴(DP)에 대응하는 주 피처, 및 주 피처 주위에 위치되는 1 이상의 어시스트 피처를 포함한다. 일 실시예에서, 추출된 마스크 패턴 에지들은 주 피처 및 1 이상의 어시스트 피처와 연계된 다각형들 또는 곡선 외곽선들을 포함한다.
도 8은 본 발명의 실시예들에 따라 마스크 이미지 수정 데이터를 생성하는 모델의 예시적인 적용을 나타낸다. 일 실시예에서, 모델(DL2)은 앞서 논의된 방법(300)에 따라 결정된다. 모델(DL2)은 입력으로서 차이 윤곽(801DI) 및 마스크 이미지(801MI)를 수신하고, 출력으로서 마스크 이미지 수정 데이터(810)를 생성한다. 본 예시에서, 차이 윤곽(801DI)과 마스크 이미지(801MI)는 실례를 위해 그레이 스케일 픽셀화된 이미지들로서 표현된다.
일 실시예에서, 차이 윤곽 이미지(801DI)는 마스크 이미지(801MI)로부터 추출된 윤곽과 기준 윤곽 사이의 차이를 취함으로써 생성될 수 있다. 일 실시예에서, 기준 윤곽은 기판 상에 형성될 수 있는 이상적인 윤곽이다. 일 실시예에서, 이상적인 윤곽은 디자인 패턴에 대해 최소 에지 배치 오차를 갖는 시뮬레이션된 윤곽일 수 있다. 일 실시예에서, 이상적인 윤곽은 무시할 수 있는 수차들 또는 보정가능한 수차들과 같은 이상적인 공정 조건들, 물리 기반 방정식에 따른 이상적인 레지스트 거동 모델, 또는 무시할 수 있는 파라미터 변동들을 갖는 다른 공정 조건들을 가정하여 패터닝 공정을 시뮬레이션함으로써 얻어지는 시뮬레이션된 윤곽일 수 있다.
일 실시예에서, 마스크 이미지(801MI)는 프리폼 OPC 시뮬레이션으로부터 얻어지거나, 예를 들어 디자인 패턴을 입력으로서 사용하여 마스크 이미지를 생성하도록 구성되는 기계 학습 모델로부터 얻어진 CTM 이미지일 수 있다. 마스크 이미지(801MI)는 마스크 이미지 수정 데이터(810)를 사용하여 업데이트될 수 있다. 일 실시예에서, 마스크 이미지 업데이트는 반복적인 프로세스일 수 있다. 예를 들어, 마스크 이미지(801MI)는 (예를 들어, 도 7의 프로세스 P706에서 논의된 바와 같이) 마스크 이미지 수정 데이터(810)를 사용하여 업데이트될 수 있다. 이러한 것으로서, 후속 반복에서는, 업데이트된 마스크 이미지[예를 들어, 초기 마스크 이미지(801MI)와 마스크 이미지 수정 데이터(810)의 합계]가 모델(DL2)에 대한 입력으로서 사용될 수 있다. 업데이트된 마스크 이미지가 후속 반복에서 사용됨에 따라, 차이 윤곽 이미지도 업데이트된다. 예를 들어, 업데이트된 마스크 이미지를 사용하여, 앞서 논의된 바와 같이 업데이트된 윤곽 이미지가 추출될 수 있다. 업데이트된 윤곽 이미지 및 기준 윤곽 이미지에 기초하여, 업데이트된 윤곽 차이 이미지가 생성될 수 있다.
일 실시예에서, 모델(DL2)은 도 8을 참조하여 논의된 바와 같이, 마스크 이미지를 반복적으로 업데이트함으로써 마스크 이미지를 최적화하는 데 사용될 수 있다. 예를 들어, 연속 반복들에서, 업데이트된 마스크 이미지 및 업데이트된 윤곽 차이 이미지는 모델(DL2)에 대한 입력으로서 사용될 수 있고, 새로운 마스크 이미지 수정 데이터를 생성하여 마스크 이미지를 더 업데이트할 수 있다. 일 실시예에서, 마스크 이미지의 최적화는 지정된 반복 횟수 동안 수행될 수 있다. 일 실시예에서, 마스크 이미지는 후속 반복들이 이전 마스크 이미지의 최소 변화들을 생성할 때 최적화된 것으로 간주될 수 있다.
도 9는 마스크 패턴을 결정하는 기존 방법으로의 모델(DL2)의 예시적인 통합을 나타낸다. 본 예시에서, 디자인 패턴(DP)이 제 1 기계 학습 모델(DL1)(예컨대, 트레이닝된 CNN)에 입력되어 마스크 이미지(MI)를 생성할 수 있다. 마스크 이미지(MI)는 제 2 기계 학습 모델(예컨대, 본 발명에 따라 트레이닝된 DL2)에 입력되어 마스크 이미지 수정 데이터를 생성할 수 있다. 일부 실시예들에서, DL1 및 DL2는 단일 통합 모델 또는 개별 모델들로서 구현될 수 있다. 일 실시예에서, 마스크 이미지(MI)는 마스크 이미지 수정 데이터를 사용하여 업데이트되어 업데이트된 마스크 이미지(MI')를 생성한다. 예를 들어, 도 5 및 도 6을 참조하여 논의된 실시예에서, 마스크 이미지(MI')의 업데이트는 반복적인 프로세스일 수 있다.
업데이트된 마스크 이미지(MI')는 마스크 패턴을 생성하는 데 사용될 수 있다. 예를 들어, 주 패턴들에 대응하는 외곽선들이 마스크 이미지(MI')로부터 추출될 수 있다. 일 실시예에서, 분해능-이하 어시스트 피처(SRAF)와 같은 어시스트 피처들이 제 3 기계 학습 모델(DL3)을 사용하여 추출될 수 있다. 제 3 기계 학습 모델(DL3)은, 예를 들어 미국 특허 출원 62/975,267호에 설명된 방법들에 따라 트레이닝될 수 있다. 추출된 주 패턴 및 SRAF는 패터닝 공정을 위해 채택될 마스크 패턴에 통합될 수 있다. 본 예시에서, 3 개의 상이한 기계 학습 모델(DL1, DL2 및 DL3)이 협력하여 마스크 패턴을 생성한다. 일 실시예에서, 모델 DL3으로부터의 SRAF는 마스크 패턴에 조합될 수 있고, 마스크 패턴은 패터닝 공정의 성능을 결정하기 위해 더 사용될 수 있다. 일 예시에서, 마스크 패턴은 패터닝 공정의 성능(예컨대, EPE)을 결정하기 위해 패터닝 공정 시뮬레이션에서 사용될 수 있다. 시뮬레이션된 성능이 원하는 성능 임계치(예컨대, EPE 임계치) 내에 있지 않은 경우, 마스크 패턴은 시뮬레이션된 EPE가 원하는 임계치 내에 있을 때까지 모델들(DL1, DL2 및 DL3)을 사용하여 반복적으로 더 수정될 수 있다. 또 다른 예시에서, 마스크 패턴은 기판을 패터닝하기 위해 제조될 수 있다. 패터닝된 기판은 디자인 패턴들에 대한 프린트된 패턴들의 에지 배치 오차(EPE)를 결정하기 위해 검사될 수 있다.
일 예시에서, 모델들(DL1, DL2, 및 DL3)은 풀 칩 시뮬레이션을 가능하게 하도록 빠르다. 예를 들어, 수십억 개의 피처들 또는 패턴들을 포함하는 풀 칩 레이아웃이 사용되어 풀 칩 레이아웃의 패턴들에 대응하는 1 이상의 마스크 패턴(MP)을 생성할 수 있다. 이러한 풀 칩 레이아웃 시뮬레이션은 패터닝 공정의 전반적인 수율을 증가시킬 수 있다.
일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체는 본 명세서에 설명된 방법들의 프로세스들을 구현하는 명령어들을 실행함으로써 마스크 이미지 수정 데이터를 생성하기 위한 모델을 결정하도록 구성될 수 있다. 일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체는 상기 매체의 메모리에 저장된 모델(예컨대, DL2)을 사용하여 마스크 이미지에 대한 마스크 이미지 수정 데이터를 생성하도록 구성될 수 있다. 일 실시예에서, 상기 매체는 1 이상의 프로세서에 의해 실행될 때, 본 명세서에 설명된 방법들의 작업들(예컨대, 프로세스들)을 야기하는 명령어들이 저장되어 있다.
일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체는 모델에 의해 생성되는 마스크 이미지 수정 데이터에 기초하여 패터닝 공정과 연계된 마스크 이미지를 생성한다. 마스크 이미지는 패터닝 공정을 위한 마스크 패턴을 추출하도록 구성된다. 일 예시에서, 상기 매체는 1 이상의 프로세서에 의해 실행될 때, 마스크 생성 모델을 통해 기판 상에 형성되기를 원하는 디자인 패턴에 기초한 제 1 마스크 이미지를 생성하는 것; 제 1 마스크 이미지를 사용하여 패터닝 공정의 현상 후 공정의 시뮬레이션을 통해, 현상 후 공정과 연계된 기판 상의 윤곽을 결정하는 것; 래스터화 작업을 통해, 윤곽을 변환하여 윤곽 이미지를 생성하는 것; 디자인 패턴에 기초한 기준 윤곽 이미지를 수신하는 것; 윤곽 이미지와 기준 윤곽 이미지 사이의 차이에 기초하여 윤곽 차이 이미지를 생성하는 것; 입력들로서 윤곽 차이 이미지 및 제 1 마스크 이미지를 사용하는 모델을 통해, 패터닝 공정의 성능 파라미터가 원하는 성능 범위 내에 있도록 하는 제 1 마스크 이미지의 수정량을 나타내는 마스크 이미지 수정 데이터를 생성하는 것; 및 제 1 마스크 이미지 및 마스크 이미지 수정 데이터를 조합함으로써, 패터닝 공정을 위한 마스크 패턴의 추출을 허용하도록 구성되는 제 2 마스크 이미지를 생성하는 것을 포함한 작업들을 야기하는 명령어들이 저장되어 있다.
본 발명에 따르면, 개시된 요소들의 조합 및 서브-조합들은 별개의 실시예들을 구성한다. 예를 들어, 제 1 조합은 모델에 의해 생성되는 마스크 이미지 수정 데이터를 사용하여 마스크 이미지를 결정하는 것을 포함한다. 제 2 조합은 마스크 이미지 수정 데이터로 마스크 이미지를 업데이트함으로써 OPC-후 패턴을 결정하는 것을 포함한다. 또 다른 조합에서, 잡음 유도된 마스크 이미지 및 윤곽 차이 이미지를 사용하여 모델이 트레이닝된다. 또 다른 조합에서, 리소그래피 장치는 본 명세서에 설명된 바와 같이 결정되는 마스크 패턴을 사용하여 제조된 마스크를 포함한다. 일 실시예에서, 업데이트된 마스크 이미지는 OPC, SMO 등에서 더 사용될 수 있다. OPC 및 SMO의 예시적인 방법들은 도 10 내지 도 13을 참조하여 논의된다.
일 실시예에서, 본 명세서에서 논의된 방법들(300 및 700)은 명령어들이 저장되어 있는 컴퓨터 프로그램 제품 또는 비-일시적 컴퓨터 판독가능한 매체로서 제공될 수 있으며, 상기 명령어들은 컴퓨터에 의해 실행될 때 앞서 논의된 방법들(300 및 700)의 작업을 구현한다.
예를 들어, 도 14의 예시적인 컴퓨터 시스템(100)은 1 이상의 프로세서(예컨대, 104)에 의해 실행될 때, 본 명세서에 설명된 방법들의 프로세스들을 포함한 작업들을 야기하는 명령어들을 포함하는 비-일시적 컴퓨터 판독가능한 매체(예컨대, 메모리)를 포함한다.
"마스크", "레티클", "패터닝 디바이스"라는 용어들은 본 명세서에서 교환가능하게 이용된다는 것을 유의한다. 또한, 리소그래피 시뮬레이션/최적화에서는 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 패터닝 디바이스를 나타내도록 사용될 수 있기 때문에, 당업자라면 특히 리소그래피 시뮬레이션/최적화와 관련하여 "마스크"/"패터닝 디바이스" 및 "디자인 레이아웃"이라는 용어가 교환가능하게 이용될 수 있다는 것을 알 것이다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재나 부재에 의해 어느 정도 영향을 받을 것이다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링된 미세한 양의 방사선, 및/또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 일어난다. 이와 유사하게, 근접 효과들은 일반적으로 리소그래피에 따라오는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 시의 확산 및 다른 화학적 영향들로부터 일어날 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 디자인의 요건들에 부합될 것을 보장하기 위해, 정교한 수치 모델들, 디자인 레이아웃의 보정들 또는 전치-왜곡(pre-distortion)들을 이용하여 근접 효과들이 예측되고 보상될 필요가 있다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", C. Spence, Proc. SPIE, Vol. 5751, pp 1-14(2005)은 현재 "모델-기반" 광 근접 보정 프로세스들의 개요를 제공한다. 전형적인 고성능(high-end) 디자인에서는, 타겟 디자인에 대한 투영 이미지의 고 충실도(high fidelity)를 달성하기 위해 디자인 레이아웃의 거의 모든 피처가 약간 수정된다. 이 수정들은 라인 폭 또는 에지 위치의 시프팅 또는 편향(biasing), 및 다른 피처들의 투영을 돕도록 의도되는 "어시스트" 피처들의 적용을 포함할 수 있다.
타겟 디자인에 대한 모델-기반 OPC의 적용은, 칩 디자인에 전형적으로 존재하는 수백만의 피처들을 감안하면 상당한 연산 리소스(computational resource)들 및 우수한 공정 모델들을 수반한다. 하지만, OPC를 적용하는 것은 일반적으로 "정밀 과학"이 아니라, 모든 가능한 근접 효과를 항상 보상하지는 않는 실험적인 반복 과정이다. 그러므로, 패터닝 디바이스 패턴으로 형성되는 디자인 결함들의 가능성을 최소화하기 위해, OPC의 효과, 예를 들어 OPC 및 여하한의 다른 RET의 적용 후 디자인 레이아웃들이 디자인 검사, 즉 캘리브레이션된 수치 공정 모델들을 이용한 집약적인 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 검증되어야 한다. 이는 고성능 패터닝 디바이스들을 제작하는 막대한 비용 -이는 수백만 달러 범위에서 운영됨- 에 의해, 그리고 일단 제조되면 실제 패터닝 디바이스들을 재작업하거나 수리하는 데 소요되는 시간에 대한 영향에 의해 좌우된다.
OPC 및 풀-칩 RET 검증은 둘 다, 예를 들어 미국 특허 출원 제 10/815,573호 및 Y. Cao 외에 의한 논문 "Optimized Hardware and Software For Fast, Full Chip Simulation", Proc. SPIE, Vol. 5754, 405(2005)에서 설명되는 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
한 RET는 디자인 레이아웃의 전역적 편향의 조정과 관련된다. 전역적 편향은 기판 상에 프린트되도록 의도된 패턴들과 디자인 레이아웃의 패턴들 간의 차이이다. 예를 들어, 25 nm 직경의 원형 패턴이 디자인 레이아웃의 50 nm 직경 패턴에 의해 또는 디자인 레이아웃의 20 nm 직경 패턴에 의해 하지만 높은 도즈로 기판 상에 프린트될 수 있다.
디자인 레이아웃들 또는 패터닝 디바이스들에 대한 최적화(예를 들어, OPC)에 더하여, 전체 리소그래피 충실도를 개선하려는 노력으로, 패터닝 디바이스 최적화와 함께 또는 개별적으로, 조명 소스도 최적화될 수 있다. "조명 소스" 및 "소스"라는 용어들은 본 명세서에서 교환가능하게 사용된다. 1990 년대 이래로, 환형, 쿼드러폴 및 다이폴과 같은 많은 오프-액시스 조명 소스들이 도입되고, OPC 디자인에 대해 더 많은 자유를 제공하였으며, 이로 인해 이미징 결과들이 개선되었다. 알려져 있는 바와 같이, 오프-액시스 조명은 패터닝 디바이스에 포함된 미세 구조체들(즉, 타겟 피처들)을 분해하는 증명된 방식이다. 하지만, 종래의 조명 소스에 비해, 오프-액시스 조명 소스는 통상적으로 에어리얼 이미지(AI)에 대해 더 적은 방사선 세기를 제공한다. 따라서, 더 미세한 분해능과 감소된 방사선 세기 간의 최적 밸런스를 달성하도록 조명 소스를 최적화하려는 시도가 바람직해진다.
무수한 조명 소스 최적화 접근법들은, 예를 들어 Rosenbluth 외의 논문 "Optimum Mask and Source Patterns to Print A Given Shape", Journal of Microlithography, Microfabrication, Microsystems 1(1), pp. 13-20, (2002)에서 찾아볼 수 있다. 소스는 수 개의 구역들로 분할되고, 이 각각은 퓨필 스펙트럼의 소정 구역에 대응한다. 이때, 소스 분포는 각 소스 구역에서 균일하다고 가정되며, 각 구역의 휘도는 공정 윈도우에 대해 최적화된다. 하지만, 각 소스 구역에서 소스 분포가 균일하다는 이러한 가정이 항상 유효하지는 않으며, 결과로서 이 접근법의 유효성이 불리해진다. Granik의 논문 "Source Optimization for Image Fidelity and Throughput", Journal of Microlithography, Microfabrication, Microsystems 3(4), pp. 509-522, (2004)에서 설명된 또 다른 예시에서, 몇몇 기존 소스 최적화 접근법들의 개요가 제공되고, 소스 최적화 문제를 일련의 비-음수 최소 제곱 최적화(non-negative least square optimization)들로 전환하는 일루미네이터 픽셀들에 기초한 방법이 제안된다. 이 방법들은 몇몇 성공들을 증명하였지만, 이들은 전형적으로 수렴을 위해 다수의 복잡한 반복들을 요구한다. 또한, Granik의 방법에서의 γ와 같은, 소스의 평활도(smoothness) 요건과 기판 이미지 충실도를 위한 소스의 최적화 간의 트레이드오프(trade-off)를 좌우하는 몇몇 여분의 파라미터들에 대해 적절한/최적 값들을 결정하는 것이 어려울 수 있다.
저 k1 포토리소그래피에 대해, 소스 및 패터닝 디바이스 둘의 최적화는 중요한 회로 패턴들의 투영을 위한 실행가능한 공정 윈도우를 보장하는 데 유용하다. 몇몇 알고리즘들(예를 들어, Socha 외, Proc. SPIE vol. 5853, 2005, p. 180)이 공간 주파수 도메인에서 조명을 독립적인 소스점들로, 그리고 마스크를 회절 차수들로 분할(discretize)하고, 소스점 세기들 및 패터닝 디바이스 회절 차수들로부터의 광학 이미징 모델들에 의해 예측될 수 있는 노출 관용도(exposure latitude)와 같은 공정 윈도우 메트릭에 기초하여 개별적으로 비용 함수(이는 선택된 디자인 변수들의 함수로서 정의됨)를 공식화한다. 본 명세서에서 사용되는 바와 같은 "디자인 변수"라는 용어는 리소그래피 공정 또는 리소그래피 투영 장치의 파라미터들, 예를 들어 리소그래피 투영 장치의 사용자가 조정할 수 있는 파라미터들의 세트, 또는 그 파라미터들을 조정함으로써 사용자가 조정할 수 있는 이미지 특성들을 포함한다. 소스, 패터닝 디바이스, 투영 광학기 및/또는 레지스트 특성들을 포함한 리소그래피 투영 공정의 여하한의 특성들이 최적화에서의 디자인 변수들 사이에 있을 수 있음을 이해하여야 한다. 비용 함수는 흔히 디자인 변수들의 비-선형 함수이다. 이때, 비용 함수를 최소화하기 위해 표준 최적화 기술들이 사용된다.
관련적으로, 지속된 감소 디자인 규칙들(decreasing design rules)의 압박은 반도체 제조업자가 기존 193 nm ArF 리소그래피를 이용한 저 k1 리소그래피 시대로 더 깊이 이동하게 하였다. 더 낮은 k1을 향한 리소그래피는 RET, 노광 툴들, 및 리소-친화적(litho-friendly) 디자인의 필요성에 대한 막대한 요구를 부여한다. 1.35 ArF 하이퍼 개구수(NA) 노광 툴들이 장차 사용될 수 있다. 운용가능한 공정 윈도우로 기판 상에 회로 디자인이 생성될 수 있을 것을 보장하도록 돕기 위해, (본 명세서에서, 소스-마스크 최적화 또는 SMO라고 칭하는) 소스-패터닝 디바이스 최적화가 2x nm 노드를 위한 중요한 RET가 되고 있다.
실행가능한 시간 내에 제약 없이 비용 함수를 이용하여 소스 및 패터닝 디바이스의 동시 최적화를 허용하는 소스 및 패터닝 디바이스(디자인 레이아웃) 최적화 방법 및 시스템이, 일반적으로 승인된 "Fast Freeform Source and Mask Co-Optimization Method"라는 제목의 WO2010/059954로 공개되고 2009년 11월 20일 출원된 국제 특허 출원 PCT/US2009/065359호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
소스의 픽셀들을 조정함으로써 소스를 최적화하는 것을 수반하는 또 다른 소스 및 마스크 최적화 방법 및 시스템이, 일반적으로 승인된 "Source-Mask Optimization in Lithographic Apparatus"라는 제목의 미국 특허 출원 공개공보 2010/0315614호로 공개되고 2010년 6월 10일 출원된 미국 특허 출원 제 12/813456호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
리소그래피 투영 장치에서, 일 예시로서 비용 함수는 다음과 같이 표현된다:
이때, (z1,z2,…,zN)는 N 개의 디자인 변수들 또는 그 값들이다. fp(z1,z2,…,zN)은 (z1,z2,…,zN)의 디자인 변수들의 값들의 일 세트에 대한 평가 포인트에서의 특성의 실제 값과 의도된 값 간의 차와 같은 디자인 변수들(z1,z2,…,zN)의 함수일 수 있다. wp는 fp(z1,z2,…,zN)와 연계된 가중치 상수이다. 다른 것들보다 더 중요한 평가 포인트 또는 패턴에 더 높은 wp 값이 할당될 수 있다. 발생 수가 더 큰 패턴들 및/또는 평가 포인트들에도 더 높은 wp 값이 할당될 수 있다. 평가 포인트들의 예시들은 기판 상의 여하한의 물리적 포인트 또는 패턴, 가상 디자인 레이아웃 또는 레지스트 이미지 또는 에어리얼 이미지 상의 여하한의 포인트, 또는 그 조합일 수 있다. 또한, fp(z1,z2,…,zN)은 디자인 변수들(z1,z2,…,zN)의 함수들인 LWR과 같은 1 이상의 확률적 영향의 함수일 수도 있다. 비용 함수는 리소그래피 투영 장치 또는 기판의 여하한의 적절한 특성들, 예를 들어 피처의 실패율, 포커스, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전, 확률적 영향들, 스루풋, CDU, 또는 그 조합을 나타낼 수 있다. CDU는 국부적 CD 변동(예를 들어, 국부적 CD 분포의 표준 편차의 3 배)이다. CDU는 교환가능하게 LCDU라고 칭해질 수 있다. 일 실시예에서, 비용 함수는 CDU, 스루풋 및 확률적 영향들을 나타낸다(즉, 이들의 함수이다). 일 실시예에서, 비용 함수는 EPE, 스루풋 및 확률적 영향들을 나타낸다(즉, 이들의 함수이다). 일 실시예에서, 디자인 변수들(z1,z2,…,zN)은 도즈, 패터닝 디바이스의 전역적 편향, 소스로부터의 조명의 형상, 또는 그 조합을 포함한다. 흔히 기판 상의 회로 패턴을 좌우하는 것이 레지스트 이미지이기 때문에, 비용 함수는 흔히 레지스트 이미지의 몇몇 특성들을 나타내는 함수들을 포함한다. 예를 들어, 이러한 평가 포인트의 fp(z1,z2,…,zN)는 단순히 레지스트 이미지 내의 지점과 그 지점의 의도된 위치 간의 거리[즉, 에지 배치 오차 EPEp(z1,z2,…,zN)]일 수 있다. 디자인 변수들은 소스, 패터닝 디바이스, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터들과 같은 여하한의 조정가능한 파라미터들일 수 있다. 투영 광학기는 집합적으로 "파면 머니퓰레이터(wavefront manipulator)"라 하는 구성요소들을 포함할 수 있으며, 이는 조사 빔의 위상 시프트 및/또는 세기 분포 및 파면의 형상들을 조정하는 데 사용될 수 있다. 투영 광학기는 바람직하게는 패터닝 디바이스 전, 퓨필 평면 부근, 이미지 평면 부근, 초점면 부근과 같은 리소그래피 투영 장치의 광학 경로를 따르는 여하한의 위치에서 파면 및 세기 분포를 조정할 수 있다. 투영 광학기는, 예를 들어 소스, 패터닝 디바이스, 리소그래피 투영 장치 내의 온도 변동, 리소그래피 투영 장치의 구성요소들의 열팽창에 의해 야기된 파면 및 세기 분포의 소정 왜곡들을 보정 또는 보상하는 데 사용될 수 있다. 파면 및 세기 분포를 조정하는 것이 비용 함수 및 평가 포인트들의 값들을 변화시킬 수 있다. 이러한 변화들은 모델로부터 시뮬레이션되거나, 또는 실제로 측정될 수 있다. 물론, CF(z1,z2,…,zN)는 Eq.1의 형태에 제한되지 않는다. CF(z1,z2,…,zN)는 여하한의 다른 적절한 형태일 수 있다.
fp(z1,z2,…,zN)의 통상적인 가중 RMS는 로서 정의되므로, fp(z1,z2,…,zN)의 가중 RMS를 최소화하는 것이 Eq.1에 정의된 비용 함수 를 최소화하는 것과 균등하다는 것을 유의하여야 한다. 따라서, fp(z1,z2,…,zN)의 가중 RMS 및 Eq.1은 본 명세서에서 표기의 간명함을 위해 교환가능하게 이용될 수 있다.
또한, PW(공정 윈도우)를 최대화하는 것을 고려하는 경우, 상이한 PW 조건들로부터의 동일한 물리적 위치를 (Eq.1)의 비용 함수에서의 상이한 평가 포인트들로 간주할 수 있다. 예를 들어, N 개의 PW 조건들이 고려되는 경우, 평가 포인트들은 그들의 PW 조건들에 따라 분류될 수 있으며, 비용 함수들은 다음과 같이 기록될 수 있다:
이때, fpu(z1,z2,…,zN)는 u-번째 PW 조건(u=1,…,U) 하의 fp(z1,z2,…,zN)의 값이다. fp(z1,z2,…,zN)가 EPE인 경우, 앞선 비용 함수를 최소화하는 것은 다양한 PW 조건들 하의 에지 시프트를 최소화하는 것과 균등하며, 이에 따라 이는 PW를 최대화하는 것을 유도한다. 특히, PW가 상이한 마스크 편향으로도 구성되는 경우, 앞선 비용 함수를 최소화하는 것은 MEEF(Mask Error Enhancement Factor)의 최소화도 포함하며, 이는 기판 EPE와 유도된 마스크 에지 편향 간의 비로서 정의된다.
디자인 변수들은 제약들을 가질 수 있으며, 이는 (z1,z2,…,zN) ∈ Z로서 표현될 수 있고, 이때 Z는 디자인 변수들의 가능한 값들의 일 세트이다. 디자인 변수들에 대한 한 가지 가능한 제약은 리소그래피 투영 장치의 요구되는 스루풋에 의해 부과될 수 있다. 요구되는 스루풋은 도즈를 제한할 수 있으며, 이에 따라 확률적 영향들에 대한 함축(implication)들을 갖는다(예를 들어, 확률적 영향들에 하한계를 부과함). 더 높은 스루풋은 일반적으로 더 낮은 도즈, 더 짧은 노광 시간 및 더 큰 확률적 영향들을 초래한다. 기판 스루풋 및 확률적 영향들의 최소화의 고려가 디자인 변수들의 가능한 값들을 제한할 수 있는데, 이는 확률적 영향들이 디자인 변수들의 함수이기 때문이다. 요구되는 스루풋에 의해 부과되는 이러한 제약이 없으면, 최적화는 비현실적인 디자인 변수들의 값들의 세트를 산출할 수 있다. 예를 들어, 이러한 제약 없이 도즈가 디자인 변수들 사이에 있는 경우, 최적화는 경제적으로 불가능한 스루풋을 구성하는 도즈 값을 산출할 수 있다. 하지만, 제약들의 유용성은 필요성으로 해석되어서는 안 된다. 스루풋은 패터닝 공정의 파라미터들에 대한 실패율 기반 조정에 의해 영향을 받을 수 있다. 높은 스루풋을 유지하면서 피처의 더 낮은 실패율을 갖는 것이 바람직하다. 또한, 스루풋은 레지스트 화학적 성질에 의해 영향을 받을 수 있다. 더 느린 레지스트(예를 들어, 적절히 노광되기 위해 더 높은 양의 광을 필요로 하는 레지스트)가 더 낮은 스루풋을 초래한다. 따라서, 더 높은 스루풋을 위한 도즈 요건들, 및 레지스트 화학적 성질 또는 변동들로 인한 피처의 실패율과 관련되는 최적화 프로세스에 기초하여, 패터닝 공정의 적절한 파라미터들이 결정될 수 있다.
그러므로, 최적화 프로세스는 제약들 (z1,z2,…,zN) ∈ Z 하에서 비용 함수를 최소화하는 디자인 변수들의 값들의 일 세트, 즉 다음을 발견하는 것이다:
일 실시예에 따른 리소그래피 투영 장치를 최적화하는 일반적인 방법이 도 10에 예시된다. 이 방법은 복수의 디자인 변수들의 다변수 비용 함수를 정의하는 단계(S1202)를 포함한다. 디자인 변수들은 조명 소스의 특성들(1200A)(예를 들어, 퓨필 충진율, 즉 퓨필 또는 어퍼처를 통과하는 소스의 방사선의 백분율), 투영 광학기의 특성들(1200B), 및 디자인 레이아웃의 특성들(1200C)로부터 선택되는 여하한의 적절한 조합을 포함할 수 있다. 예를 들어, 디자인 변수들은 조명 소스의 특성들(1200A) 및 디자인 레이아웃의 특성들(1200C)(예를 들어, 전역적 편향)을 포함하고, 투영 광학기의 특성들(1200B)은 포함하지 않을 수 있으며, 이는 SMO를 초래한다. 대안적으로, 디자인 변수들은 조명 소스의 특성들(1200A), 투영 광학기의 특성들(1200B), 및 디자인 레이아웃의 특성들(1200C)을 포함할 수 있고, 이는 소스-마스크-렌즈 최적화(SMLO)를 초래한다. 단계 S1204에서, 디자인 변수들은 비용 함수가 수렴을 향해 이동되도록 동시에 조정된다. 단계 S1206에서, 사전설정된 종료 조건을 만족하는지가 판단된다. 사전설정된 종료 조건은 다양한 가능성들을 포함할 수 있으며, 즉 비용 함수의 값이 임계값과 동일하거나 임계값을 넘었을 때, 비용 함수의 값이 미리 조정된 오차 한계 내에 도달했을 때, 미리 조정된 반복 수에 도달할 때, 또는 사용되는 수치해석 기술(numerical technique)의 요구에 따라 비용 함수가 최소화 또는 최대화될 수 있다. 단계 S1206에서의 조건들 중 어느 하나가 만족되는 경우에 상기 방법이 종료된다. 단계 S1206에서의 어떤 조건도 만족되지 않는 경우, 원하는 결과가 얻어질 때까지 단계 S1204 및 단계 S1206이 반복적으로 되풀이된다. 최적화는 반드시 디자인 변수들에 대한 값들의 단일 세트를 초래하지는 않는데, 이는 실패율, 퓨필 충진율, 레지스트 화학적 성질, 스루풋 등과 같은 인자들에 의해 야기되는 물리적 한계들이 존재할 수 있기 때문이다. 최적화는 디자인 변수들에 대한 값들의 다수 세트들 및 연계된 성능 특성들(예를 들어, 스루풋)을 제공하고, 리소그래피 장치의 사용자로 하여금 1 이상의 세트를 고르게 할 수 있다.
리소그래피 투영 장치에서, 소스, 패터닝 디바이스 및 투영 광학기는 교대로(alternatively) 최적화될 수 있거나[교대 최적화(Alternative Optimization)라 칭함], 또는 동시에 최적화될 수 있다(동시 최적화라 칭함). 본 명세서에서 사용되는 바와 같은 "동시", "동시에", "공동(joint)" 및 "공동으로"라는 용어들은, 소스, 패터닝 디바이스, 투영 광학기의 특성들의 디자인 변수들 및/또는 여하한의 다른 디자인 변수들이 동일한 시간에 변화되도록 허용된다는 것을 의미한다. 본 명세서에서 사용되는 바와 같은 "교대" 및 "교대로"라는 용어는, 디자인 변수들 모두가 동일한 시간에 변화되도록 허용되지 않는다는 것을 의미한다.
도 11에서, 모든 디자인 변수들의 최적화는 동시에 실행된다. 이러한 흐름은 동시 흐름 또는 공동-최적화 흐름(co-optimization flow)이라 칭해질 수 있다. 대안적으로, 모든 디자인 변수들의 최적화는 도 11에 예시된 바와 같이 교대로 실행된다. 이 흐름에서는, 각각의 단계에서 몇몇 디자인 변수들은 고정되는 한편, 다른 디자인 변수들은 비용 함수를 최소화하도록 최적화된다; 그 후, 다음 단계에서 변수들의 상이한 세트가 고정되는 한편, 다른 것들은 비용 함수를 최소화하도록 최적화된다. 이 단계들은 수렴 또는 소정 종료 조건들이 충족될 때까지 교대로 실행된다.
비-제한적인 예시의 도 11의 흐름도에 나타낸 바와 같이, 우선 디자인 레이아웃(단계 S1302)이 얻어진 후, 소스 최적화의 단계가 단계 S1304에서 실행되며, 이때 조명 소스의 모든 디자인 변수들이 비용 함수를 최소화하도록 최적화되는 한편(SO), 모든 다른 디자인 변수들은 고정된다. 그 후, 다음 단계 S1306에서 마스크 최적화(MO)가 수행되며, 이때 패터닝 디바이스의 모든 디자인 변수들이 비용 함수를 최소화하도록 최적화되는 한편, 모든 다른 디자인 변수들은 고정된다. 이 두 단계들은 단계 S1308에서 소정 종료 조건들이 충족될 때까지 교대로 실행된다. 비용 함수의 값이 임계값과 동일하게 되는 것, 비용 함수의 값이 임계값을 넘는 것, 비용 함수의 값이 미리 조정된 오차 한계 내에 도달하는 것, 또는 미리 조정된 반복 수에 도달하는 것 등과 같은 다양한 종료 조건들이 사용될 수 있다. 교대 흐름에 대한 일 예시로서 SO-MO-교대-최적화가 사용된다는 것을 유의한다. 교대 흐름은 많은 상이한 형태들, 예를 들어 SO-LO-MO-교대-최적화를 취할 수 있으며, 이때 SO, LO(렌즈 최적화), 및 MO가 교대로 및 반복적으로 실행된다; 또는 우선 SMO가 한 번 실행된 후, LO 및 MO가 교대로 및 반복적으로 실행될 수 있다; 그 밖에도 여러 가지가 있다. 최종적으로, 최적화 결과의 출력이 단계 S1310에서 얻어지고, 프로세스가 중지된다.
앞서 설명된 바와 같은 패턴 선택 알고리즘은 동시 또는 교대 최적화와 통합될 수 있다. 예를 들어, 교대 최적화가 채택되는 경우, 우선 풀-칩 SO가 수행될 수 있으며, '핫스폿(hot spot)들' 및/또는 '웜스폿(warm spot)들'이 식별되고, 그 후 MO가 수행된다. 본 발명의 관점에서, 요구되는 최적화 결과들을 달성하기 위해 서브-최적화들의 다수 순열 및 조합이 가능하다.
도 12a는 비용 함수가 최소화되는 한 가지 예시적인 최적화 방법을 나타낸다. 단계 S502에서, 만약에 있다면, 튜닝 범위들을 포함하는 디자인 변수들의 초기 값들이 얻어진다. 단계 S504에서, 다변수 비용 함수가 설정된다. 단계 S506에서, 제 1 반복 단계(i=0)에 대해 디자인 변수들의 시작점 값 주위의 충분히 작은 일대(small enough neighborhood) 내에서 비용 함수가 확장된다. 단계 S508에서, 비용 함수를 최소화하기 위해 표준 다변수 최적화 기술들이 적용된다. 최적화 문제는 S508에서 최적화 프로세스 동안 또는 최적화 프로세스의 추후 단계에서 튜닝 범위와 같은 제약들을 적용할 수 있음을 유의한다. 단계 S520은 리소그래피 공정을 최적화하기 위해 선택되었던 식별된 평가 포인트들에 대한 주어진 테스트 패턴들("게이지들"이라고도 알려짐)에 대해 각각의 반복이 행해짐을 나타낸다. 단계 S510에서, 리소그래피 응답이 예측된다. 단계 S512에서, 단계 S510의 결과는 단계 S522에서 얻어지는 원하는 또는 이상적인 리소그래피 응답 값과 비교된다. 단계 S514에서 종료 조건이 만족되면, 즉 최적화가 원하는 값에 충분히 근접한 리소그래피 응답 값을 생성하면, 단계 S518에서 디자인 변수들의 최종 값이 출력된다. 또한, 출력 단계는 퓨필 평면(또는 다른 평면들)에서의 파면 수차-조정된 맵, 최적화된 소스 맵, 및 최적화된 디자인 레이아웃 등을 출력하는 단계와 같이, 디자인 변수들의 최종 값들을 이용하여 다른 함수들을 출력하는 단계를 포함할 수 있다. 종료 조건이 만족되지 않은 경우, 단계 S516에서 디자인 변수들의 값들은 i-번째 반복의 결과로 업데이트되며, 상기 프로세스는 단계 S506으로 되돌아간다. 도 12a의 프로세스는 아래에서 상세히 설명된다.
예시적인 최적화 프로세스에서, fp(z1,z2,…,zN)가 충분히 평활한[예를 들어, 1차 도함수 가 존재함] 것을 제외하고는, 디자인 변수들(z1,z2,…,zN)과 fp(z1,z2,…,zN) 간의 관계가 가정되거나 근사화되지 않으며, 이는 일반적으로 리소그래피 투영 장치에서 유효하다. 를 찾기 위해, 가우스-뉴턴 알고리즘, 레벤버그-마쿼트 알고리즘, 경사 하강 알고리즘, 모의 담금질, 및 유전적 알고리즘과 같은 알고리즘이 적용될 수 있다.
여기서, 일 예시로서 가우스-뉴턴 알고리즘이 사용된다. 가우스-뉴턴 알고리즘은 일반적인 비선형 다변수 최적화 문제에 적용가능한 반복 방법이다. 디자인 변수들(z1,z2,…,zN)이 (z1i,z2i,…,zNi)의 값들을 취하는 i-번째 반복에서, 가우스-뉴턴 알고리즘은 (z1i,z2i,…,zNi)의 부근에서 fp(z1,z2,…,zN)를 선형화하고, 그 후 CF(z1,z2,…,zN)의 최소값을 제공하는 (z1i,z2i,…,zNi)의 부근에서의 (z1(i+1),z2(i+1),…,zN(i+1)) 값들을 계산한다. 디자인 변수들(z1,z2,…,zN)은 (i+1)-번째 반복에서 (z1(i+1),z2(i+1),…,zN(i+1))의 값들을 취한다. 이 반복은 수렴[즉, CF(z1,z2,…,zN)가 더 이상 감소하지 않음] 또는 미리 조정된 반복 수에 도달할 때까지 계속된다.
구체적으로는, i-번째 반복에서, (z1i,z2i,…,zNi)의 부근에서,
Eq.3의 근사치 하에서, 비용 함수는 다음과 같다:
이는 디자인 변수들(z1,z2,…,zN)의 이차 함수이다. 디자인 변수들(z1,z2,…,zN)을 제외한 모든 항은 상수이다.
디자인 변수들(z1,z2,…,zN)이 어떠한 제약들 하에 있지 않은 경우, (z1(i+1),z2(i+1),…,zN(i+1))는 N 개의 선형 방정식들로 풀어서 도출될 수 있다:
, 이때 n = 1,2,…,N.
디자인 변수들(z1,z2,…,zN)이 J 개의 부등식[예를 들어, (z1,z2,…,zN)의 튜닝 범위들] ; 및 K 개의 등식(예를 들어, 디자인 변수들 간의 상호의존성) 의 형태로 제약들 하에 있는 경우, 최적화 프로세스는 전형적인 이차 프로그래밍 문제가 되며, 이때 Anj, Bj, Cnk, Dk는 상수들이다. 각각의 반복에 대하여 추가적인 제약들이 부과될 수 있다. 예를 들어, Eq.3의 근사치가 유지되도록 (z1(i+1),z2(i+1),…,zN(i+1))와 (z1i,z2i,…,zNi) 간의 차이를 제한하기 위해 "감쇠 인자(damping factor)" ΔD가 도입될 수 있다. 이러한 제약들은 zniD≤zN≤zniD로서 표현될 수 있다. (z1(i+1),z2(i+1),…,zN(i+1))는, 예를 들어 Jorge Nocedal 및 Stephen J. Wright의 Numerical Optimization(제 2 판)(Berlin New York: Vandenberghe. Cambridge University Press)에 기술된 방법들을 이용하여 도출될 수 있다.
fp(z1,z2,…,zN)의 RMS를 최소화하는 대신에, 최적화 프로세스는 평가 포인트들 중에 가장 큰 편차(최악의 결함)의 크기를 그들의 의도된 값들로 최소화할 수 있다. 이러한 접근법에서, 비용 함수는 대안적으로 다음과 같이 표현될 수 있다:
여기서, CLp는 fp(z1,z2,…,zN)에 대한 최대 허용 값이다. 이러한 비용 함수는 평가 포인트들 중에 최악의 결함을 나타낸다. 이러한 비용 함수를 이용하는 최적화는 최악의 결함의 크기를 최소화한다. 이러한 최적화를 위해 반복적인 그리디 알고리즘(greedy algorithm)이 사용될 수 있다.
Eq.5의 비용 함수는 다음과 같이 근사화될 수 있다:
이때, q는 적어도 4, 바람직하게는 적어도 10과 같은 양의 짝수 정수(even positive integer)이다. Eq.6은 Eq.5의 형태(behavior)와 흡사하지만, 최적화로 하여금 분석적으로 실행되게 하고, 극심 하강 방법(deepest descent method), 공액 구배 방법(conjugate gradient method) 등과 같은 방법들을 이용함으로써 가속되게 한다.
또한, 최악의 결함 크기를 최소화하는 것은 fp(z1,z2,…,zN)의 선형화와 조합될 수 있다. 구체적으로, fp(z1,z2,…,zN)는 Eq.3에서와 같이 근사화된다. 이때, 최악의 결함 크기에 대한 제약들은 부등식 ELp≤fp(z1,z2,…,zN)≤EUp으로서 쓰여지며, 여기서 ELp 및 EUp는 fp(z1,z2,…,zN)에 대한 최소 및 최대 허용 편차를 특정하는 2 개의 상수들이다. Eq.3을 대입하면, 이러한 제약들은 p=1,…,P에 대하여 다음으로 변환된다:
Eq.3이 일반적으로 (z1i,z2i,…,zNi)의 부근에서만 유효하기 때문에, 원하는 제약들 ELp≤fp(z1,z2,…,zN)≤EUp이 이러한 부근에서 달성될 수 없는 경우 -이는 부등식들 간의 여하한의 상충(conflict)에 의해 결정될 수 있음- , 상수들 ELp 및 EUp는 제약들이 달성가능할 때까지 완화될 수 있다. 이러한 최적화 프로세스는 (z1i,z2i,…,zNi)의 부근에서의 최악의 결함 크기를 최소화한다. 이때, 각각의 단계가 최악의 결함 크기를 점진적으로 감소시키며, 소정 종료 조건들이 충족될 때까지 각각의 단계가 반복적으로 실행된다. 이는 최악의 결함 크기의 최적의 감소를 유도할 것이다.
최악의 결함을 최소화하는 또 다른 방식은 각각의 반복에서 가중치 wp를 조정하는 것이다. 예를 들어, i-번째 반복 후, r-번째 평가 포인트가 최악의 결함인 경우, 그 평가 포인트의 결함 크기의 감소에 더 높은 우선순위가 주어지도록 wr이 (i+1)-번째 반복에서 증가될 수 있다.
또한, Eq.4 및 Eq.5의 비용 함수들은 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 사이에 절충을 달성하기 위해 라그랑주 승수(Lagrange multiplier)를 도입함으로써 수정될 수 있으며, 즉 다음과 같다:
이때, λ는 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 간의 트레이드오프를 특정하는 사전설정된 상수이다. 특히, λ=0인 경우, 이는 Eq.4가 되고, 결함 크기의 RMS만이 최소화되는 한편; λ=1인 경우, 이는 Eq.5가 되고, 최악의 결함 크기만이 최소화되며; 0<λ<1인 경우에는, 둘 모두의 최적화가 고려된다. 이러한 최적화는 다수 방법들을 이용하여 구할 수 있다. 예를 들어, 이전에 설명된 것과 유사하게 각각의 반복에서의 가중이 조정될 수 있다. 대안적으로, 부등식들로부터 최악의 결함 크기를 최소화하는 것과 유사하게, Eq.6' 및 6"의 부등식들은 이차 프로그래밍 문제의 해결 동안 디자인 변수들의 제약들로서 여겨질 수 있다. 그 후, 최악의 결함 크기에 대한 한계들은 증분적으로(incrementally) 완화되거나 최악의 결함 크기에 대한 가중치를 증분적으로 증가시킬 수 있고, 달성가능한 모든 최악의 결함 크기에 대한 비용 함수 값을 연산할 수 있으며, 다음 단계를 위한 초기 지점으로서 총 비용 함수를 최소화하는 디자인 변수 값들을 선택할 수 있다. 이를 반복적으로 수행함으로써, 이 새로운 비용 함수의 최소화가 달성될 수 있다.
리소그래피 투영 장치를 최적화하는 것이 공정 윈도우를 확장할 수 있다. 더 큰 공정 윈도우는 공정 디자인 및 칩 디자인에 더 많은 유연성을 제공한다. 공정 윈도우는 포커스 및 도즈 값들의 세트로서 정의될 수 있으며, 이에 대해 레지스트 이미지는 레지스트 이미지의 디자인 타겟의 소정 한계 내에 있다. 본 명세서에 설명된 모든 방법들은, 노광 도즈 및 디포커스 이외에 상이한 또는 추가적인 기저 파라미터들에 의해 확립될 수 있는 일반화된 공정 윈도우 정의로 연장될 수도 있다는 것을 유의한다. 이들은 광학 세팅들, 에컨대 NA, 시그마, 수차, 편광, 또는 레지스트 층의 광학 상수들을 포함할 수 있으며, 이에 제한되지는 않는다. 예를 들어, 앞서 설명된 바와 같이, PW가 상이한 마스크 편향으로 구성되는 경우, 최적화는 MEEF(Mask Error Enhancement Factor)의 최소화를 포함하며, 이는 기판 EPE와 유도된 마스크 에지 편향 간의 비로서 정의된다. 포커스 및 도즈 값들에 대해 정의된 공정 윈도우는 단지 본 명세서에서 일 예시로서 제공된다. 일 실시예에 따른 공정 윈도우를 최대화하는 방법이 아래에 설명된다.
제 1 단계에서, 공정 윈도우의 알려진 조건(f00)으로부터 시작하며, f0는 공칭 포커스이고, ε0는 공칭 도즈이며, 부근 (f0±Δf,ε0±Δε)에서 아래의 비용 함수들 중 하나를 최소화한다:
또는
또는
공칭 포커스(f0) 및 공칭 도즈(ε0)가 시프트하도록 허용되는 경우, 이들은 디자인 변수들(z1,z2,…,zN)과 공동으로 최적화될 수 있다. 다음 단계에서, 비용 함수가 사전설정된 한계 내에 있도록 (z1,z2,…,zN,f,ε)의 값들의 세트가 찾아질 수 있는 경우, 공정 윈도우의 일부분으로서 (f0±Δf,ε0±Δε)가 용인된다.
대안적으로, 포커스 및 도즈가 시프트하도록 허용되지 않는 경우, 디자인 변수들(z1,z2,…,zN)은 공칭 포커스(f0) 및 공칭 도즈(ε0)에 고정된 포커스 및 도즈로 최적화된다. 대안적인 실시예에서, 비용 함수가 사전설정된 한계 내에 있도록 (z1,z2,…,zN)의 값들의 세트가 찾아질 수 있는 경우, 공정 윈도우의 일부분으로서 (f0±Δf,ε0±Δε)가 용인된다.
본 명세서에서 이전에 설명된 방법들은 Eq.7, Eq.7' 또는 Eq.7"의 각각의 비용 함수들을 최소화하기 위해 사용될 수 있다. 디자인 변수들이 제르니케 계수와 같은 투영 광학기의 특성들인 경우, Eq.7, Eq.7' 또는 Eq.7"의 비용 함수들을 최소화하는 것은 투영 광학기 최적화, 즉 LO에 기초한 공정 윈도우 최대화를 유도한다. 디자인 변수들이 투영 광학기의 특성들에 추가하여 소스 및 패터닝 디바이스의 특성들인 경우, Eq.7, Eq.7' 또는 Eq.7"의 비용 함수들을 최소화하는 것은 도 11에 예시된 바와 같은 SMLO에 기초한 공정 윈도우 최대화를 유도한다. 디자인 변수들이 소스 및 패터닝 디바이스의 특성들인 경우, Eq.7, Eq.7' 또는 Eq.7"의 비용 함수들을 최소화하는 것은 SMO에 기초한 공정 윈도우 최대화를 유도한다. 또한, Eq.7, Eq.7' 또는 Eq.7"의 비용 함수들은 Eq.7 또는 Eq.8에서와 같은 적어도 하나의 fp(z1,z2,…,zN)를 포함할 수 있으며, 이는 2D 피처들의 LWR 또는 국부적 CD 변동, 및 스루풋과 같은 1 이상의 확률적 영향의 함수이다.
도 13은 동시 SMLO 프로세스가 최적화를 위한 가우스 뉴턴 알고리즘을 사용할 수 있는 방식의 특정한 일 예시를 나타낸다. 단계 S702에서, 디자인 변수들의 시작 값들이 식별된다. 또한, 각각의 변수에 대한 튜닝 범위들이 식별될 수 있다. 단계 S704에서, 디자인 변수들을 이용하여 비용 함수가 정의된다. 단계 S706에서, 비용 함수는 디자인 레이아웃의 모든 평가 포인트들에 대한 시작 값들 주위에서 확장된다. 선택적인 단계 S710에서, 풀-칩 디자인 레이아웃의 모든 중요한 패턴들을 포괄하도록 풀-칩 시뮬레이션이 실행된다. 단계 S714에서 (CD 또는 EPE와 같은) 원하는 리소그래피 응답 메트릭이 얻어지며, 단계 S712에서 이러한 양들의 예측 값들과 비교된다. 단계 S716에서, 공정 윈도우가 결정된다. 단계들 S718, S720 및 S722는 도 12a를 참조하여 설명된 바와 같은 대응하는 단계들 S514, S516 및 S518과 유사하다. 앞서 언급된 바와 같이, 최종 출력은 원하는 이미징 성능을 생성하도록 최적화된, 퓨필 평면에서의 파면 수차 맵일 수 있다. 또한, 최종 출력은 최적화된 소스 맵 및/또는 최적화된 디자인 레이아웃일 수 있다.
도 12b는 디자인 변수들(z1,z2,…,zN)이 단지 이산 값(discrete value)들을 가정할 수 있는 디자인 변수들을 포함하는 비용 함수를 최적화하는 예시적인 방법을 나타낸다.
상기 방법은 패터닝 디바이스의 패터닝 디바이스 타일(tile)들 및 조명 소스의 픽셀 그룹(pixel group)들을 정의함으로써 시작한다(단계 S802). 일반적으로, 픽셀 그룹 또는 패터닝 디바이스 타일은 리소그래피 공정 구성요소의 구획(division)이라고 칭해질 수도 있다. 한 가지 예시적인 접근법에서, 실질적으로 앞서 설명된 바와 같이, 조명 소스는 117 개의 픽셀 그룹들로 나누어지고, 패터닝 디바이스에 대해 94 개의 패터닝 디바이스 타일들이 정의되어, 총 211 개의 구획들이 유도된다.
단계 S804에서, 포토리소그래피 시뮬레이션을 위한 기초로서 리소그래피 모델이 선택된다. 포토리소그래피 시뮬레이션들은 포토리소그래피 메트릭들의 계산들에 사용되는 결과들 또는 응답들을 생성한다. 특정 포토리소그래피 메트릭이 최적화될 성능 메트릭인 것으로 정의된다(단계 S806). 단계 S808에서, 조명 소스 및 패터닝 디바이스에 대한 초기(최적화-전) 조건들이 설정된다. 초기 조건들은 조명 소스의 픽셀 그룹들 및 패터닝 디바이스의 패터닝 디바이스 타일들에 대한 초기 상태들을 포함하여, 초기 조명 형상 및 초기 패터닝 디바이스 패턴이 참조될 수 있도록 한다. 또한, 초기 조건들은 마스크 편향, NA, 및 포커스 램프 범위를 포함할 수 있다. 단계들 S802, S804, S806 및 S808은 순차적인 단계들로서 도시되지만, 본 발명의 다른 실시예들에서 이 단계들은 다른 순서들로 수행될 수 있음을 이해할 것이다.
단계 S810에서, 픽셀 그룹들 및 패터닝 디바이스 타일들이 랭킹(rank)된다. 픽셀 그룹들 및 패터닝 디바이스 타일들은 랭킹에 있어서 인터리빙(interleave)될 수 있다. 랭킹의 다양한 방식들이 채택될 수 있으며, 이는: 순차적으로(예를 들어, 픽셀 그룹 1부터 픽셀 그룹 117까지, 또한 패터닝 디바이스 타일 1부터 패터닝 디바이스 타일 94까지), 무작위로, 픽셀 그룹들 및 패터닝 디바이스 타일들의 물리적 위치들에 따라(예를 들어, 조명 소스의 중심에 가까운 픽셀 그룹들을 더 높게 랭킹함), 및 픽셀 그룹 또는 패터닝 디바이스 타일의 변경이 성능 메트릭에 어떻게 영향을 주는지에 따라 수행하는 것을 포함한다.
일단 픽셀 그룹들 및 패터닝 디바이스 타일들이 랭킹되면, 조명 소스 및 패터닝 디바이스는 성능 메트릭을 개선하도록 조정된다(단계 S812). 단계 S812에서, 픽셀 그룹 또는 패터닝 디바이스 타일의 변경이 개선된 성능 메트릭을 유도할지를 판단하기 위해, 랭킹의 순서대로 픽셀 그룹들 및 패터닝 디바이스 타일들 각각이 분석된다. 성능 메트릭이 개선될 것으로 판단되는 경우, 픽셀 그룹 또는 패터닝 디바이스 타일이 이에 따라 변경되고, 결과적인 개선된 성능 메트릭 및 수정된 조명 형상 또는 수정된 패터닝 디바이스 패턴이 하위-랭킹된 픽셀 그룹들 및 패터닝 디바이스 타일들의 후속한 분석들에 대한 비교를 위해 기준선을 형성한다. 다시 말하면, 성능 메트릭을 개선하는 변경들이 유지된다. 픽셀 그룹들 및 패터닝 디바이스 타일들의 상태에 대한 변경들이 이루어지고 유지됨에 따라, 초기 조명 형상 및 초기 패터닝 디바이스 패턴은 이에 따라 변화하여, 수정된 조명 형상 및 수정된 패터닝 디바이스 패턴이 단계 S812의 최적화 프로세스로부터 발생하도록 한다.
다른 접근법들에서는, 패터닝 디바이스 다각형 형상 조정들 및 픽셀 그룹들 및/또는 패터닝 디바이스 타일들의 쌍별 폴링(pairwise polling)이 S812의 최적화 프로세스 내에서 수행된다.
대안적인 실시예에서, 인터리빙된 동시 최적화 과정은 조명 소스의 픽셀 그룹을 변경하는 것을 포함할 수 있고, 성능 메트릭의 개선이 발견되는 경우, 추가 개선을 구하도록 도즈가 증가 및 감소된다. 또 다른 대안적인 실시예에서, 도즈 또는 세기의 증가 및 감소는 패터닝 디바이스 패턴의 편향 변화로 대체되어, 동시 최적화 과정에서 추가 개선을 구할 수 있다.
단계 S814에서, 성능 메트릭이 수렴하였는지의 여부에 대해 판단된다. 성능 메트릭은, 예를 들어 단계들 S810 및 S812의 마지막 몇 번의 반복들에서 성능 메트릭에 대한 개선이 거의 또는 전혀 목격되지 않은 경우에 수렴한 것으로 간주될 수 있다. 성능 메트릭이 수렴하지 않은 경우, S810 및 S812의 단계들은 다음 반복에서 되풀이되고, 이때 현재 반복으로부터의 수정된 조명 형상 및 수정된 패터닝 디바이스가 다음 반복을 위한 초기 조명 형상 및 초기 패터닝 디바이스로서 사용된다(단계 S816).
앞서 설명된 최적화 방법들은 리소그래피 투영 장치의 스루풋을 증가시키기 위해 사용될 수 있다. 예를 들어, 비용 함수는 노광 시간의 함수인 fp(z1,z2,…,zN)를 포함할 수 있다. 이러한 비용 함수의 최적화는 바람직하게는 확률적 영향들의 측정 또는 다른 메트릭들에 의해 한정되거나 영향을 받는다. 구체적으로는, 리소그래피 공정의 스루풋을 증가시키는 컴퓨터-구현된 방법이 노광 시간을 최소화하기 위해 기판의 노광 시간의 함수 및 리소그래피 공정의 1 이상의 확률적 영향의 함수인 비용 함수를 최적화하는 단계를 포함할 수 있다.
일 실시예에서, 비용 함수는 1 이상의 확률적 영향의 함수인 적어도 하나의 fp(z1,z2,…,zN)를 포함한다. 확률적 영향들은 피처의 실패, 측정 데이터(예를 들어, SEPE), 2D 피처들의 LWR 또는 국부적 CD 변동을 포함할 수 있다. 일 실시예에서, 확률적 영향들은 레지스트 이미지의 특성들의 확률적 변동들을 포함한다. 예를 들어, 이러한 확률적 변동들은 피처의 실패율, 라인 에지 거칠기(LER), 라인 폭 거칠기(LWR) 및 임계 치수 균일성(CDU)을 포함할 수 있다. 비용 함수에 확률적 변동들을 포함하는 것이 확률적 변동들을 최소화하는 디자인 변수들의 값들을 찾게 하여, 확률적 영향들로 인한 결함들의 위험을 감소시킨다.
도 14는 본 명세서에 개시된 최적화 방법들 및 흐름들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 최적화 프로세스의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드, 종이 테이프, 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀이 전화선 상에서 데이터를 수신하고, 적외선 송신기를 사용하여 상기 데이터를 적외선 신호로 전환할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 바람직하게는 버스(102)에 커플링된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 보편적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 실시예의 조명 최적화를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 15는 본 명세서에 설명된 방법들을 이용하여 그 조명 소스가 최적화될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)을 컨디셔닝(condition)하는 조명 시스템(IL) -이러한 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함함- ;
- 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기에 연결되는 제 1 대상물 테이블(예를 들어, 마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기에 연결되는 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭 광학 시스템]을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 이는 예를 들어 (반사 마스크를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 전형적인 마스크의 사용에 대한 대안예로서 또 다른 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)(예를 들어, 수은 램프 또는 엑시머 레이저)는 방사선 빔을 생성한다. 예를 들어, 이 빔은 곧바로 또는 빔 익스팬더(beam expander: Ex)와 같은 컨디셔닝 수단을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
도 15과 관련하여, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 거울들의 도움으로) 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PS)를 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커스한다. 제 2 위치설정 수단[및 간섭 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 15에 명확히 도시되지는 않는다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 웨이퍼 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 빔(B)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다;
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PS)의 배율이다(통상적으로, M = 1/4 또는 1/5). 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 16은 본 명세서에 설명된 방법들을 이용하여 그 조명 소스가 최적화될 수 있는 또 다른 예시적인 리소그래피 투영 장치(LA)를 개략적으로 도시한다.
리소그래피 투영 장치(LA)는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치(LA)는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 마스크는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 16을 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 16에 도시되지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별개의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울들 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(LA)는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴이 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 17은 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(LA)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 더 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광축을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 17에 나타낸 것보다 1 내지 6 개의 추가적인 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 17에 예시된 바와 같은 컬렉터 광학기(CO)는 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광축(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 바람직하게는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용된다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 18에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LAS)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들로 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자들로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다.
본 발명의 실시예들은 다음 항목들에 의해 더 설명될 수 있다:
1. 모델에 의해 생성되는 마스크 이미지 수정 데이터에 기초하여 패터닝 공정과 연계된 마스크 이미지를 생성하기 위한 비-일시적 컴퓨터 판독가능한 매체로서,
마스크 이미지는 패터닝 공정을 위한 마스크 패턴을 추출하도록 구성되고,
상기 매체는 1 이상의 프로세서에 의해 실행될 때:
마스크 생성 모델을 통해, 기판 상에 형성되기를 원하는 디자인 패턴에 기초한 제 1 마스크 이미지를 생성하는 것;
제 1 마스크 이미지를 사용한 패터닝 공정의 현상 후 공정의 시뮬레이션을 통해, 현상 후 공정과 연계된 기판 상의 윤곽을 결정하는 것;
래스터화 작업에 의해, 윤곽을 변환하여 윤곽 이미지를 생성하는 것;
디자인 패턴에 기초한 기준 윤곽 이미지를 수신하는 것;
윤곽 이미지와 기준 윤곽 이미지 사이의 차이에 기초하여 윤곽 차이 이미지를 생성하는 것;
입력들로서 윤곽 차이 이미지 및 제 1 마스크 이미지를 사용하는 모델을 통해, 패터닝 공정의 성능 파라미터가 원하는 성능 범위 내에 있도록 하는 제 1 마스크 이미지의 수정량을 나타내는 마스크 이미지 수정 데이터를 생성하는 것; 및
제 1 마스크 이미지 및 마스크 이미지 수정 데이터를 조합함으로써, 패터닝 공정을 위한 마스크 패턴의 추출을 허용하도록 구성되는 제 2 마스크 이미지를 생성하는 것을 포함한 작업들을 야기하는 명령어들이 저장되어 있는 비-일시적 컴퓨터 판독가능한 매체.
2. 패터닝 공정과 연계된 마스크 패턴에 대한 데이터를 생성하기 위한 비-일시적 컴퓨터 판독가능한 매체로서,
1 이상의 프로세서에 의해 실행될 때:
(ⅰ) 디자인 패턴과 연계된 제 1 마스크 이미지, (ⅱ) 제 1 마스크 이미지에 기초한 윤곽 -윤곽은 피처의 윤곽을 나타냄- , (ⅲ) 디자인 패턴에 기초한 기준 윤곽, 및 (ⅳ) 윤곽과 기준 윤곽 사이의 윤곽 차이를 얻는 것;
윤곽 차이 및 제 1 마스크 이미지를 사용하는 모델을 통해, 패터닝 공정의 성능 파라미터가 원하는 성능 범위 내에 있도록 하는 제 1 마스크 이미지의 수정량을 나타내는 마스크 이미지 수정 데이터를 생성하는 것; 및
제 1 마스크 이미지 및 마스크 이미지 수정 데이터에 기초하여, 패터닝 공정에서 채택될 마스크 패턴을 결정하기 위한 제 2 마스크 이미지를 생성하는 것을 포함한 작업들을 야기하는 명령어들이 저장되어 있는 비-일시적 컴퓨터 판독가능한 매체.
3. 2 항에 있어서, 제 1 마스크 이미지를 얻는 것은:
입력으로서 디자인 패턴을 사용하는 마스크 생성 모델을 실행하여, 제 1 마스크 이미지를 생성하는 단계를 포함하고, 제 1 마스크 이미지는 연속 투과 마스크(CTM) 이미지인 비-일시적 컴퓨터 판독가능한 매체.
4. 3 항에 있어서, 마스크 생성 모델은 실측 자료로서 역 리소그래피에 의해 생성된 CTM 이미지를 사용하여 트레이닝된 기계 학습 모델인 비-일시적 컴퓨터 판독가능한 매체.
5. 2 항에 있어서, 제 2 마스크 이미지를 생성하는 것은 반복적인 프로세스이고, 각각의 반복은:
마스크 이미지 데이터로 현재 마스크 이미지를 업데이트하는 단계; 및
업데이트된 마스크 이미지 및 마스크 이미지 수정 데이터에 기초하여, 제 2 마스크 이미지를 생성하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
6. 5 항에 있어서, 각각의 반복은:
업데이트된 마스크 이미지와 기준 윤곽 사이의 차이에 기초하여 업데이트된 윤곽 차이를 생성하는 단계; 및
업데이트된 마스크 이미지 및 업데이트된 윤곽 차이에 기초하여, 마스크 이미지 수정 데이터를 생성하는 단계를 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
7. 2 항 내지 6 항 중 어느 하나에 있어서, 윤곽을 얻는 것은:
시뮬레이션된 이미지를 생성하기 위해, 입력으로서 제 1 마스크 이미지를 사용하여 패터닝 공정 모델을 실행하는 단계;
윤곽 추출 알고리즘을 사용하여, 시뮬레이션된 이미지로부터 윤곽을 추출하는 단계; 및
윤곽을 변환하여 윤곽 이미지를 생성하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
8. 1 항 내지 7 항 중 어느 하나에 있어서, 기준 윤곽은 기판 상에 형성될 이상적인 윤곽인 비-일시적 컴퓨터 판독가능한 매체.
9. 1 항 내지 8 항 중 어느 하나에 있어서, 기준 윤곽은 디자인 패턴을 래스터화함으로써 얻어지는 비-일시적 컴퓨터 판독가능한 매체.
10. 1 항 내지 9 항 중 어느 하나에 있어서, 제 1 마스크 이미지 및 제 2 마스크 이미지는 그레이 스케일 광 근접 보정(OPC) 후 이미지들인 비-일시적 컴퓨터 판독가능한 매체.
11. 1 항 내지 10 항 중 어느 하나에 있어서, 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델은 기계 학습 모델인 비-일시적 컴퓨터 판독가능한 매체.
12. 1 항 내지 11 항 중 어느 하나에 있어서, 작업들은:
마스크 패턴을 생성하기 위해, 제 2 마스크 이미지에 기초하여, 제 2 마스크 이미지로부터 마스크 패턴 에지들을 추출하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
13. 12 항에 있어서, 마스크 패턴 에지들을 추출하는 것은:
임계화를 통해, 마스크 패턴에 사용하기 위한 1 이상의 피처와 연계된 에지들을 검출하도록 제 2 마스크 이미지를 처리하는 단계; 및
1 이상의 피처의 에지들을 사용하여 마스크 패턴을 생성하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
14. 13 항에 있어서, 마스크 패턴은: 디자인 패턴에 대응하는 주 피처, 및 주 피처 주위에 위치되는 1 이상의 어시스트 피처를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
15. 14 항에 있어서, 추출된 마스크 패턴 에지들은 주 피처 및 1 이상의 어시스트 피처와 연계된 다각형들 또는 곡선 외곽선들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
16. 1 항 내지 15 항 중 어느 하나에 있어서, 제 1 이미지, 제 2 이미지, 윤곽, 기준 윤곽, 및 마스크 이미지 수정 데이터는 그레이 스케일 픽셀화된 이미지들인 비-일시적 컴퓨터 판독가능한 매체.
17. 1 항 내지 16 항 중 어느 하나에 있어서, 윤곽은 현상 후 공정과 연계된 윤곽이고, 현상 후 공정은 레지스트 공정 또는 에칭 공정인 비-일시적 컴퓨터 판독가능한 매체.
18. 1 항 내지 17 항 중 어느 하나에 있어서, 모델은:
(ⅰ) 제 1 마스크 이미지 및 잡음에 기초한 잡음 유도된 제 1 마스크 이미지, (ⅱ) 잡음 유도된 제 1 마스크 이미지에 기초한 제 2 기준 윤곽, 및 (ⅲ) 윤곽과 제 2 기준 윤곽 사이의 차이에 기초한 제 2 윤곽 차이를 얻고;
제 2 윤곽 차이 및 제 1 마스크 이미지에 기초하여, 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델을 결정함으로써 트레이닝되는 비-일시적 컴퓨터 판독가능한 매체.
19. 18 항에 있어서, 제 2 기준 윤곽을 얻는 것은:
무작위 잡음 이미지를 생성하고 제 1 마스크 이미지에 추가하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
20. 19 항에 있어서, 제 2 기준 윤곽을 얻는 것은:
윤곽 추출 알고리즘을 사용하여, 잡음 유도된 제 1 마스크 이미지로부터 제 2 윤곽을 추출하는 단계; 및
제 2 윤곽을 변환하여 제 2 기준 윤곽 이미지를 생성하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
21. 1 항 내지 20 항 중 어느 하나에 있어서, 모델을 결정하는 것은 반복적인 프로세스이고, 각각의 반복은:
입력으로서 제 2 윤곽 차이 및 제 1 마스크 이미지를 사용하여, 초기 마스크 이미지 수정 데이터를 생성하기 위해 초기 모델 파라미터 값들을 갖는 모델을 실행하는 단계;
마스크 이미지 수정 데이터를 잡음과 비교하는 단계; 및
마스크 이미지 수정 데이터가 잡음의 지정된 매칭 임계치 내에 있게 하도록 초기 모델 파라미터 값들을 조정하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
22. 패터닝 공정과 연계된 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델을 결정하기 위한 비-일시적 컴퓨터 판독가능한 매체로서,
1 이상의 프로세서에 의해 실행될 때:
(ⅰ) 디자인 패턴에 기초한 제 1 마스크 이미지, (ⅱ) 제 1 마스크 이미지에 기초한 윤곽 -윤곽은 피처의 윤곽을 나타냄- , (ⅲ) 제 1 마스크 이미지 및 잡음에 기초한 잡음 유도된 제 1 마스크 이미지, (ⅳ) 잡음 유도된 제 1 마스크 이미지에 기초한 기준 윤곽, 및 (ⅴ) 윤곽과 기준 윤곽 사이의 차이에 기초한 윤곽 차이를 얻는 것; 및
윤곽 차이 및 제 1 마스크 이미지에 기초하여, 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델을 결정하는 것을 포함한 작업들을 야기하는 명령어들이 저장되어 있는 비-일시적 컴퓨터 판독가능한 매체.
23. 22 항에 있어서, 윤곽을 얻는 것은:
시뮬레이션된 이미지를 생성하기 위해, 입력으로서 제 1 마스크 이미지를 사용하여 패터닝 공정 모델을 실행하는 단계;
윤곽 추출 알고리즘을 사용하여, 시뮬레이션된 이미지로부터 윤곽을 추출하는 단계; 및
윤곽을 변환하여 윤곽 이미지를 생성하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
24. 1 항 내지 23 항 중 어느 하나에 있어서, 기준 윤곽을 얻는 것은:
무작위 잡음 이미지를 생성하고 제 1 마스크 이미지에 추가하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
25. 1 항 내지 24 항 중 어느 하나에 있어서, 기준 윤곽을 얻는 것은:
윤곽 추출 알고리즘을 사용하여, 잡음 유도된 제 1 마스크 이미지로부터 윤곽을 추출하는 단계; 및
윤곽을 변환하여 기준 윤곽 이미지를 생성하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
26. 1 항 내지 25 항 중 어느 하나에 있어서, 모델을 결정하는 것은 반복적인 프로세스이고, 각각의 반복은:
입력으로서 윤곽 차이 및 제 1 마스크 이미지 또는 업데이트된 마스크 이미지를 사용하여, 초기 마스크 이미지 수정 데이터를 생성하기 위해 초기 모델 파라미터 값들을 갖는 모델을 실행하는 단계;
마스크 이미지 수정 데이터를 잡음과 비교하는 단계; 및
마스크 이미지 수정 데이터가 잡음의 지정된 매칭 임계치 내에 있게 하도록 초기 모델 파라미터 값들을 조정하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
27. 1 항 내지 26 항 중 어느 하나에 있어서, 제 1 마스크 이미지 및 제 2 마스크 이미지는 그레이 스케일 광 근접 보정(OPC) 후 이미지들인 비-일시적 컴퓨터 판독가능한 매체.
28. 1 항 내지 27 항 중 어느 하나에 있어서, 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델은 기계 학습 모델인 비-일시적 컴퓨터 판독가능한 매체.
29. 1 항 내지 28 항 중 어느 하나에 있어서, 제 1 이미지, 제 2 이미지, 윤곽, 기준 윤곽, 및 마스크 이미지 수정 데이터는 그레이 스케일 픽셀화된 이미지들인 비-일시적 컴퓨터 판독가능한 매체.
30. 1 항 내지 29 항 중 어느 하나에 있어서, 윤곽은 현상 후 공정과 연계된 윤곽이고, 현상 후 공정은 레지스트 공정 또는 에칭 공정인 비-일시적 컴퓨터 판독가능한 매체.
31. 1 항 내지 30 항 중 어느 하나에 있어서,
디자인 패턴에 기초한 기준 윤곽 및 마스크 이미지를 얻는 것;
마스크 이미지 수정 데이터를 생성하기 위해 마스크 이미지 및 윤곽 차이를 사용하여 모델을 실행하는 것; 및
마스크 이미지 수정 데이터를 마스크 이미지와 조합함으로써 마스크 이미지를 업데이트하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
32. 31 항에 있어서, 마스크 이미지를 업데이트하는 것은:
(ⅰ) 업데이트된 마스크 이미지에 기초하여 윤곽 차이를 업데이트하는 단계;
(ⅱ) 마스크 이미지 수정 데이터를 생성하기 위해 업데이트된 마스크 이미지 및 업데이트된 윤곽 차이를 사용하여 모델을 실행하는 단계;
(ⅲ) 마스크 이미지 수정 데이터를 업데이트된 마스크 이미지와 조합하는 단계;
(ⅳ) 업데이트된 마스크 이미지에 기초하여, 성능 파라미터가 지정된 성능 임계치 내에 있는지 여부를 결정하는 단계; 및
(ⅴ) 성능 임계치를 만족하지 않는 성능 파라미터에 응답하여, 단계들 (ⅰ) 내지 (ⅳ)를 수행하는 단계를 포함하는 반복적인 프로세스인 비-일시적 컴퓨터 판독가능한 매체.
33. 패터닝 공정과 연계된 마스크 패턴에 대한 데이터를 생성하는 방법으로서,
(ⅰ) 디자인 패턴과 연계된 제 1 마스크 이미지, (ⅱ) 제 1 마스크 이미지에 기초한 윤곽 -윤곽은 피처의 윤곽을 나타냄- , (ⅲ) 디자인 패턴에 기초한 기준 윤곽, 및 (ⅳ) 윤곽과 기준 윤곽 사이의 윤곽 차이를 얻는 단계;
윤곽 차이 및 제 1 마스크 이미지를 사용하는 모델을 통해, 패터닝 공정의 성능 파라미터가 원하는 성능 범위 내에 있도록 하는 제 1 마스크 이미지의 수정량을 나타내는 마스크 이미지 수정 데이터를 생성하는 단계; 및
제 1 마스크 이미지 및 마스크 이미지 수정 데이터에 기초하여, 패터닝 공정에서 채택될 마스크 패턴을 결정하기 위한 제 2 마스크 이미지를 생성하는 단계를 포함하는 방법.
34. 33 항에 있어서, 제 1 마스크 이미지를 얻는 단계는:
입력으로서 디자인 패턴을 사용하는 마스크 생성 모델을 실행하여, 제 1 마스크 이미지를 생성하는 단계를 포함하고, 제 1 마스크 이미지는 연속 투과 마스크(CTM) 이미지인 방법.
35. 34 항에 있어서, 마스크 생성 모델은 실측 자료로서 역 리소그래피에 의해 생성된 CTM 이미지를 사용하여 트레이닝된 기계 학습 모델인 방법.
36. 35 항에 있어서, 제 2 마스크 이미지를 생성하는 단계는 반복적인 프로세스이고, 각각의 반복은:
마스크 이미지 데이터로 현재 마스크 이미지를 업데이트하는 단계; 및
업데이트된 마스크 이미지 및 마스크 이미지 수정 데이터에 기초하여, 제 2 마스크 이미지를 생성하는 단계를 포함하는 방법.
37. 36 항에 있어서, 각각의 반복은:
업데이트된 마스크 이미지와 기준 윤곽 사이의 차이에 기초하여 업데이트된 윤곽 차이를 생성하는 단계; 및
업데이트된 마스크 이미지 및 업데이트된 윤곽 차이에 기초하여, 마스크 이미지 수정 데이터를 생성하는 단계를 더 포함하는 방법.
38. 33 항 내지 37 항 중 어느 하나에 있어서, 윤곽을 얻는 단계는:
시뮬레이션된 이미지를 생성하기 위해, 입력으로서 제 1 마스크 이미지를 사용하여 패터닝 공정 모델을 실행하는 단계;
윤곽 추출 알고리즘을 사용하여, 시뮬레이션된 이미지로부터 윤곽을 추출하는 단계; 및
윤곽을 변환하여 윤곽 이미지를 생성하는 단계를 포함하는 방법.
39. 33 항 내지 38 항 중 어느 하나에 있어서, 기준 윤곽은 기판 상에 형성될 이상적인 윤곽인 방법.
40. 33 항 내지 39 항 중 어느 하나에 있어서, 기준 윤곽은 디자인 패턴을 래스터화함으로써 얻어지는 방법.
41. 33 항 내지 40 항 중 어느 하나에 있어서, 제 1 마스크 이미지 및 제 2 마스크 이미지는 그레이 스케일 광 근접 보정(OPC) 후 이미지들인 방법.
42. 33 항 내지 41 항 중 어느 하나에 있어서, 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델은 기계 학습 모델인 방법.
43. 33 항 내지 42 항 중 어느 하나에 있어서,
마스크 패턴을 생성하기 위해, 제 2 마스크 이미지에 기초하여, 제 2 마스크 이미지로부터 마스크 패턴 에지들을 추출하는 단계를 더 포함하는 방법.
44. 43 항에 있어서, 마스크 패턴 에지들을 추출하는 단계는:
임계화를 통해, 마스크 패턴에 사용하기 위한 1 이상의 피처와 연계된 에지들을 검출하도록 제 2 마스크 이미지를 처리하는 단계; 및
1 이상의 피처의 에지들을 사용하여 마스크 패턴을 생성하는 단계를 포함하는 방법.
45. 44 항에 있어서, 마스크 패턴은: 디자인 패턴에 대응하는 주 피처, 및 주 피처 주위에 위치되는 1 이상의 어시스트 피처를 포함하는 방법.
46. 45 항에 있어서, 추출된 마스크 패턴 에지들은 주 피처 및 1 이상의 어시스트 피처와 연계된 다각형들 또는 곡선 외곽선들을 포함하는 방법.
47. 33 항 내지 46 항 중 어느 하나에 있어서, 제 1 이미지, 제 2 이미지, 윤곽, 기준 윤곽, 및 마스크 이미지 수정 데이터는 그레이 스케일 픽셀화된 이미지들인 방법.
48. 33 항 내지 47 항 중 어느 하나에 있어서, 윤곽은 현상 후 공정과 연계된 윤곽이고, 현상 후 공정은 레지스트 공정 또는 에칭 공정인 방법.
49. 33 항 내지 48 항 중 어느 하나에 있어서, 모델은:
(ⅰ) 제 1 마스크 이미지 및 잡음에 기초한 잡음 유도된 제 1 마스크 이미지, (ⅱ) 잡음 유도된 제 1 마스크 이미지에 기초한 제 2 기준 윤곽, 및 (ⅲ) 윤곽과 제 2 기준 윤곽 사이의 차이에 기초한 제 2 윤곽 차이를 얻고;
제 2 윤곽 차이 및 제 1 마스크 이미지에 기초하여, 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델을 결정함으로써 트레이닝되는 방법.
50. 49 항에 있어서, 제 2 기준 윤곽을 얻는 단계는:
무작위 잡음 이미지를 생성하고 제 1 마스크 이미지에 추가하는 단계를 포함하는 방법.
51. 50 항에 있어서, 제 2 기준 윤곽을 얻는 단계는:
윤곽 추출 알고리즘을 사용하여, 잡음 유도된 제 1 마스크 이미지로부터 제 2 윤곽을 추출하는 단계; 및
제 2 윤곽을 변환하여 제 2 기준 윤곽 이미지를 생성하는 단계를 포함하는 방법.
52. 33 항 내지 51 항 중 어느 하나에 있어서, 모델을 결정하는 단계는 반복적인 프로세스이고, 각각의 반복은:
입력으로서 제 2 윤곽 차이 및 제 1 마스크 이미지를 사용하여, 초기 마스크 이미지 수정 데이터를 생성하기 위해 초기 모델 파라미터 값들을 갖는 모델을 실행하는 단계;
마스크 이미지 수정 데이터를 잡음과 비교하는 단계; 및
마스크 이미지 수정 데이터가 잡음의 지정된 매칭 임계치 내에 있게 하도록 초기 모델 파라미터 값들을 조정하는 단계를 포함하는 방법.
53. 패터닝 공정과 연계된 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델을 결정하는 방법으로서,
(ⅰ) 디자인 패턴에 기초한 제 1 마스크 이미지, (ⅱ) 제 1 마스크 이미지에 기초한 윤곽 -윤곽은 피처의 윤곽을 나타냄- , (ⅲ) 제 1 마스크 이미지 및 잡음에 기초한 잡음 유도된 제 1 마스크 이미지, (ⅳ) 잡음 유도된 제 1 마스크 이미지에 기초한 기준 윤곽, 및 (ⅴ) 윤곽과 기준 윤곽 사이의 차이에 기초한 윤곽 차이를 얻는 단계; 및
윤곽 차이 및 제 1 마스크 이미지에 기초하여, 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델을 결정하는 단계를 포함하는 방법.
54. 53 항에 있어서, 윤곽을 얻는 단계는:
시뮬레이션된 이미지를 생성하기 위해, 입력으로서 제 1 마스크 이미지를 사용하여 패터닝 공정 모델을 실행하는 단계;
윤곽 추출 알고리즘을 사용하여, 시뮬레이션된 이미지로부터 윤곽을 추출하는 단계; 및
윤곽을 변환하여 윤곽 이미지를 생성하는 단계를 포함하는 방법.
55. 33 항 내지 54 항 중 어느 하나에 있어서, 기준 윤곽을 얻는 단계는:
무작위 잡음 이미지를 생성하고 제 1 마스크 이미지에 추가하는 단계를 포함하는 방법.
56. 33 항 내지 55 항 중 어느 하나에 있어서, 기준 윤곽을 얻는 단계는:
윤곽 추출 알고리즘을 사용하여, 잡음 유도된 제 1 마스크 이미지로부터 윤곽을 추출하는 단계; 및
윤곽을 변환하여 기준 윤곽 이미지를 생성하는 단계를 포함하는 방법.
57. 33 항 내지 56 항 중 어느 하나에 있어서, 모델을 결정하는 단계는 반복적인 프로세스이고, 각각의 반복은:
입력으로서 윤곽 차이 및 제 1 마스크 이미지를 사용하여, 초기 마스크 이미지 수정 데이터를 생성하기 위해 초기 모델 파라미터 값들을 갖는 모델을 실행하는 단계;
마스크 이미지 수정 데이터를 잡음과 비교하는 단계; 및
마스크 이미지 수정 데이터가 잡음의 지정된 매칭 임계치 내에 있게 하도록 초기 모델 파라미터 값들을 조정하는 단계를 포함하는 방법.
58. 33 항 내지 57 항 중 어느 하나에 있어서, 제 1 마스크 이미지 및 제 2 마스크 이미지는 그레이 스케일 광 근접 보정(OPC) 후 이미지들인 방법.
59. 33 항 내지 58 항 중 어느 하나에 있어서, 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델은 기계 학습 모델인 방법.
60. 33 항 내지 59 항 중 어느 하나에 있어서, 제 1 이미지, 제 2 이미지, 윤곽, 기준 윤곽, 및 마스크 이미지 수정 데이터는 그레이 스케일 픽셀화된 이미지들인 방법.
61. 33 항 내지 60 항 중 어느 하나에 있어서, 윤곽은 현상 후 공정과 연계된 윤곽이고, 현상 후 공정은 레지스트 공정 또는 에칭 공정인 방법.
62. 33 항 내지 61 항 중 어느 하나에 있어서,
디자인 패턴에 기초한 기준 윤곽 및 마스크 이미지를 얻는 단계;
마스크 이미지 수정 데이터를 생성하기 위해 마스크 이미지 및 윤곽 차이를 사용하여 모델을 실행하는 단계; 및
마스크 이미지 수정 데이터를 마스크 이미지와 조합함으로써 마스크 이미지를 업데이트하는 단계를 더 포함하는 방법.
63. 62 항에 있어서, 마스크 이미지를 업데이트하는 단계는:
(ⅰ) 업데이트된 마스크 이미지에 기초하여 윤곽 차이를 업데이트하는 단계;
(ⅱ) 마스크 이미지 수정 데이터를 생성하기 위해 업데이트된 마스크 이미지 및 업데이트된 윤곽 차이를 사용하여 모델을 실행하는 단계;
(ⅲ) 마스크 이미지 수정 데이터를 업데이트된 마스크 이미지와 조합하는 단계;
(ⅳ) 업데이트된 마스크 이미지에 기초하여, 성능 파라미터가 지정된 성능 임계치 내에 있는지 여부를 결정하는 단계; 및
(ⅴ) 성능 임계치를 만족하지 않는 성능 파라미터에 응답하여, 단계들 (ⅰ) 내지 (ⅳ)를 수행하는 단계를 포함하는 반복적인 프로세스인 방법.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 패터닝 공정과 연계된 마스크 패턴에 대한 데이터를 생성하기 위한 비-일시적(non-transitory) 컴퓨터 판독가능한 매체로서,
    1 이상의 프로세서에 의해 실행될 때, 상기 1 이상의 프로세서가:
    (ⅰ) 디자인 패턴과 연계된 제 1 마스크 이미지, (ⅱ) 상기 제 1 마스크 이미지에 기초한 윤곽(contour) -상기 윤곽은 피처(feature)의 윤곽을 나타냄- , (ⅲ) 상기 디자인 패턴에 기초한 기준 윤곽(reference contour), 및 (ⅳ) 상기 윤곽과 상기 기준 윤곽 사이의 윤곽 차이를 얻는 단계;
    상기 윤곽 차이 및 상기 제 1 마스크 이미지를 사용하는 모델을 통해, 상기 제 1 마스크 이미지의 수정량(amount of modification)을 나타내는 마스크 이미지 수정 데이터를 생성하는 단계; 및
    상기 제 1 마스크 이미지 및 상기 마스크 이미지 수정 데이터에 기초하여, 패터닝 공정과 연계된 마스크 패턴을 결정하기 위한 제 2 마스크 이미지를 생성하는 단계
    를 포함하는 방법을 수행하게 하는 명령어들이 저장되어 있는,
    비-일시적 컴퓨터 판독가능한 매체.
  2. 제 1 항에 있어서,
    상기 제 1 마스크 이미지를 얻는 단계는:
    입력으로서 상기 디자인 패턴을 사용하는 마스크 생성 모델을 실행하여, 상기 제 1 마스크 이미지를 생성하는 단계를 포함하고, 상기 제 1 마스크 이미지는 연속 투과 마스크(continuous transmission mask: CTM) 이미지인,
    비-일시적 컴퓨터 판독가능한 매체.
  3. 제 2 항에 있어서,
    상기 마스크 생성 모델은 실측 자료로서 역 리소그래피에 의해 생성된 CTM 이미지를 사용하여 트레이닝(train)된 기계 학습 모델인,
    비-일시적 컴퓨터 판독가능한 매체.
  4. 제 3 항에 있어서,
    상기 제 2 마스크 이미지를 생성하는 단계는 반복적인 프로세스이고, 각각의 반복은:
    상기 마스크 이미지 수정 데이터로 현재 마스크 이미지를 업데이트하는 단계; 및
    업데이트된 마스크 이미지 및 상기 마스크 이미지 수정 데이터에 기초하여, 상기 제 2 마스크 이미지를 생성하는 단계를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  5. 제 4 항에 있어서,
    각각의 반복은:
    상기 업데이트된 마스크 이미지와 상기 기준 윤곽 사이의 차이에 기초하여 업데이트된 윤곽 차이를 생성하는 단계; 및
    상기 업데이트된 마스크 이미지 및 상기 업데이트된 윤곽 차이에 기초하여, 상기 마스크 이미지 수정 데이터를 생성하는 단계를 더 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  6. 제 1 항에 있어서,
    상기 윤곽을 얻는 단계는:
    시뮬레이션된 이미지를 생성하기 위해, 입력으로서 상기 제 1 마스크 이미지를 사용하여 패터닝 공정 모델을 실행하는 단계;
    윤곽 추출 알고리즘을 사용하여, 상기 시뮬레이션된 이미지로부터 윤곽을 추출하는 단계; 및
    상기 윤곽을 변환하여 윤곽 이미지를 생성하는 단계를 포함하고,
    상기 기준 윤곽은 상기 디자인 패턴을 래스터화함으로써 얻어지는,
    비-일시적 컴퓨터 판독가능한 매체.
  7. 제 1 항에 있어서,
    상기 제 1 마스크 이미지 및 상기 제 2 마스크 이미지는 그레이 스케일 광 근접 보정(OPC) 후 이미지들인,
    비-일시적 컴퓨터 판독가능한 매체.
  8. 제 1 항에 있어서,
    상기 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델은 기계 학습 모델인,
    비-일시적 컴퓨터 판독가능한 매체.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 방법은:
    상기 마스크 패턴을 생성하기 위해, 상기 제 2 마스크 이미지에 기초하여, 상기 제 2 마스크 이미지로부터 마스크 패턴 에지들을 추출하는 단계를 더 포함하고, 상기 마스크 패턴은: 상기 디자인 패턴에 대응하는 주 피처(main feature), 및 상기 주 피처 주위에 위치되는 1 이상의 어시스트 피처(assist feature)를 포함하며, 추출된 마스크 패턴 에지들은 상기 주 피처 및 상기 1 이상의 어시스트 피처와 연계된 다각형들 또는 곡선 외곽선들을 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  10. 제 1 항에 있어서,
    상기 제 1 마스크 이미지, 상기 제 2 마스크 이미지, 상기 윤곽, 상기 기준 윤곽, 및 상기 마스크 이미지 수정 데이터는 그레이 스케일 픽셀화된 이미지(gray-scale pixelated image)들인,
    비-일시적 컴퓨터 판독가능한 매체.
  11. 제 1 항에 있어서,
    상기 윤곽은 레지스트 윤곽, 에칭 윤곽, 마스크 이미지 윤곽, 또는 에어리얼 이미지 윤곽 중 하나인,
    비-일시적 컴퓨터 판독가능한 매체.
  12. 제 1 항에 있어서,
    상기 모델은:
    (ⅰ) 상기 제 1 마스크 이미지 및 잡음에 기초한 잡음 유도된 제 1 마스크 이미지, (ⅱ) 상기 잡음 유도된 제 1 마스크 이미지에 기초한 제 2 기준 윤곽, 및 (ⅲ) 상기 윤곽과 상기 제 2 기준 윤곽 사이의 차이에 기초한 제 2 윤곽 차이를 얻고;
    상기 제 2 윤곽 차이 및 상기 제 1 마스크 이미지에 기초하여, 마스크 이미지 수정 데이터를 생성하도록 구성되는 모델을 결정함으로써 트레이닝되는,
    비-일시적 컴퓨터 판독가능한 매체.
  13. 제 12 항에 있어서,
    상기 제 2 기준 윤곽을 얻는 것은:
    무작위 잡음 이미지를 생성하고 상기 제 1 마스크 이미지에 추가하는 단계를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  14. 제 12 항에 있어서,
    상기 제 2 기준 윤곽을 얻는 것은:
    윤곽 추출 알고리즘을 사용하여, 상기 잡음 유도된 제 1 마스크 이미지로부터 제 2 윤곽을 추출하는 단계; 및
    상기 제 2 윤곽을 변환하여 제 2 기준 윤곽 이미지를 생성하는 단계를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  15. 제 1 항에 있어서,
    상기 모델을 결정하는 것은 반복적인 프로세스이고, 각각의 반복은:
    입력으로서 제 2 윤곽 차이 및 상기 제 1 마스크 이미지를 사용하여, 초기 마스크 이미지 수정 데이터를 생성하기 위해 초기 모델 파라미터 값들을 갖는 모델을 실행하는 단계;
    상기 마스크 이미지 수정 데이터를 잡음과 비교하는 단계; 및
    상기 마스크 이미지 수정 데이터가 상기 잡음의 지정된 매칭 임계치(specified matching threshold) 내에 있게 하도록 상기 초기 모델 파라미터 값들을 조정하는 단계를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
KR1020237020655A 2020-12-18 2021-12-02 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법 KR20230117366A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063127453P 2020-12-18 2020-12-18
US63/127,453 2020-12-18
PCT/EP2021/083917 WO2022128500A1 (en) 2020-12-18 2021-12-02 Method for determining mask pattern and training machine learning model

Publications (1)

Publication Number Publication Date
KR20230117366A true KR20230117366A (ko) 2023-08-08

Family

ID=79259447

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237020655A KR20230117366A (ko) 2020-12-18 2021-12-02 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법

Country Status (5)

Country Link
US (1) US20240004305A1 (ko)
KR (1) KR20230117366A (ko)
CN (1) CN116648672A (ko)
TW (1) TW202240280A (ko)
WO (1) WO2022128500A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230075473A1 (en) * 2021-09-09 2023-03-09 Mycronic AB Device and method for enabling deriving of corrected digital pattern descriptions
CN115049735B (zh) * 2022-08-12 2022-11-08 季华实验室 一种掩膜优化处理方法、装置、电子设备及存储介质
CN116051550B (zh) * 2023-03-29 2023-07-04 长鑫存储技术有限公司 图案检测方法及图案检测系统

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP3409493B2 (ja) * 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO1998028665A1 (en) 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
KR100958714B1 (ko) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
KR102644214B1 (ko) 2018-02-23 2024-03-07 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
KR20210010897A (ko) 2018-06-15 2021-01-28 에이에스엠엘 네델란즈 비.브이. 기계 학습 기반 역 광 근접 보정 및 공정 모델 캘리브레이션
KR20230167453A (ko) * 2018-11-30 2023-12-08 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
WO2020169303A1 (en) 2019-02-21 2020-08-27 Asml Netherlands B.V. Method for training machine learning model to determine optical proximity correction for mask

Also Published As

Publication number Publication date
WO2022128500A1 (en) 2022-06-23
US20240004305A1 (en) 2024-01-04
TW202240280A (zh) 2022-10-16
CN116648672A (zh) 2023-08-25

Similar Documents

Publication Publication Date Title
US10955755B2 (en) Optimization of assist features and source
US10459346B2 (en) Flows of optimization for lithographic processes
TWI745863B (zh) 訓練機器學習模型以判定光罩的光學接近校正的方法及相關聯電腦程式產品
US9934346B2 (en) Source mask optimization to reduce stochastic effects
US20220179321A1 (en) Method for determining pattern in a patterning process
US9378309B2 (en) Pattern-independent and hybrid matching/tuning including light manipulation by projection optics
KR102154206B1 (ko) 이미지 로그 슬로프(ils) 최적화
US20230100578A1 (en) Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
WO2015139951A1 (en) Pattern placement error aware optimization
WO2019063206A1 (en) METHOD FOR DETERMINING CONTROL PARAMETERS OF DEVICE MANUFACTURING PROCESS
US20240004305A1 (en) Method for determining mask pattern and training machine learning model
WO2022179802A1 (en) A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
US20240126183A1 (en) Method for rule-based retargeting of target pattern
KR20230016010A (ko) 어시스트 피처의 프린팅 확률을 결정하는 시스템, 방법, 및 제품 및 그 적용
US20230333483A1 (en) Optimization of scanner throughput and imaging quality for a patterning process