JP2009505400A - リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法 - Google Patents

リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法 Download PDF

Info

Publication number
JP2009505400A
JP2009505400A JP2008526083A JP2008526083A JP2009505400A JP 2009505400 A JP2009505400 A JP 2009505400A JP 2008526083 A JP2008526083 A JP 2008526083A JP 2008526083 A JP2008526083 A JP 2008526083A JP 2009505400 A JP2009505400 A JP 2009505400A
Authority
JP
Japan
Prior art keywords
model
conditions
exposure
focus
parameters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008526083A
Other languages
English (en)
Other versions
JP4806020B2 (ja
Inventor
イェ,ジュン
カオ,ユ
チェン,ルオキ
リウ,ファ−ユ
Original Assignee
ブライオン テクノロジーズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブライオン テクノロジーズ インコーポレイテッド filed Critical ブライオン テクノロジーズ インコーポレイテッド
Publication of JP2009505400A publication Critical patent/JP2009505400A/ja
Application granted granted Critical
Publication of JP4806020B2 publication Critical patent/JP4806020B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Abstract

リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法が開示される。システムおよび方法は、特に露光デフォーカスプロセスウィンドウ空間内で、パラメータ変動の複数の次元に沿ってキャリブレーションデータを使用する。システムおよび方法は、公称プロセス条件でのシミュレーションのよりよい精度および堅固性、ならびに、異なる設定での再キャリブレーションの必要なく、完全なプロセスウィンドウ領域全体に連続的にわたるいずれかの点でリソグラフィの性能を予測する能力を結果としてもたらす、統合された1組のモデルパラメータ値を提供する。従来技法の複数モデルキャリブレーションよりも少ない必要とされる測定値数で、フォーカス露光モデルは、プロセスウィンドウ内のいかなる位置でも使用することができる、より予測的でありより堅固なモデルパラメータ値を提供する。

Description

関連出願の相互参照
[0001] 本出願は、"Methodology of Unified, Through-Process Window Lithography Modeling"という題名の米国特許仮出願第60/706,144号の利益を主張する。この関連出願の内容が、参照によってその全体について本明細書に組み込まれる。
[0002] 本発明は一般に、光リソグラフィに関し、より具体的には、リソグラフィプロセスのフォーカス露光モデルを作成することに関する。
[0003] 集積回路産業は、その始まりから、より低いコストで高いデバイス機能性を促進させることによって、顕著な成長速度を維持してきた。最先端のデバイスは今日、わずかなコストで全体の空間を占有するために使用されるコンピュータの計算能力を提供している。今日の低コストの消費者デバイスの多くは、携帯テレビ電話、ウルトラポータブルメディアプレーヤー、および無線または超広帯域インターネットデバイスなどの、ほんの2、3年前にはどんなことをしても使用不可能であった機能を備える。この成長を可能にする主な要素の1つは、集積回路パターンの一部としてパターニングされることができる最も小さいフィーチャサイズを着実に減少させる光リソグラフィプロセスの能力であった。1回路当たりより多くのフィーチャをプリントすると同時に一方、フィーチャサイズおよびコストのこのような着実な減少は、通常、「ムーアの法則」("Moore's Law")またはリソグラフィ「ロードマップ」("roadmap")と一般に称される。
[0004] リソグラフィプロセスは、マスク、すなわちレチクル上にマスター画像を作成し、次に、そのパターンをデバイスウェハ上に忠実に複製することを含む。設計仕様内でマスターパターンが成功して複製される回数が多いほど、完成したデバイスすなわち「チップ」1枚あたりのコストが低くなる。近年まで、マスクパターンは、マスクレベルパターンがウェハレベルパターンよりも数倍大きいことを除いて、ウェハレベルでの所望のパターンの厳密な複製であった。このスケールファクタが次に、露光ツールの縮小比によってウェハ露光中に修正される。マスクパターンは通常、光吸収性の材料をクォーツまたはその他の透過性の基板上に被着させてパターニングすることによって形成される。マスクは次に、特定の露光波長の光がマスクを通ってデバイスウェハ上に方向付けられる所の「ステッパ」または「スキャナ」として公知の露光ツール内に配置される。光が、マスクの透明な領域を通って伝達され、吸収層によって覆われた領域内で通常90%から100%の間の、所望の量だけ減衰される。マスクのいくつかの領域を通る光はまた、通常180度の整数分の1の所望の位相角だけ位相シフトされてもよい。露光ツールによって収集された後、結果として得られる空間像パターンが次に、デバイスウェハ上にフォーカスされる。ウェハ表面上に被着された感光材料が、ウェハ上に所望のパターンを形成するために光と相互作用し、次にパターンが、周知のプロセスに従って機能的な電子回路を形成するために、ウェハの下部層内へ転写される。
[0005] 近年では、パターニングされるフィーチャサイズは、パターンを転写するために使用される光の波長よりもかなり小さくなっている。この「サブ波長リソグラフィ」("sub-wavelength lithography")に向かう傾向の結果、リソグラフィプロセスで十分なプロセスマージンを維持することが困難になっている。マスクおよび露光ツールによって作成される空間像は、波長に対するフィーチャサイズの比が減少するにつれて、コントラストおよびシャープネスを失う。この比は、露光ツールの開口数に最小フィーチャサイズをかけて波長で割ったものとして定義されるk1係数によって、定量化される。シャープネスの不足すなわち画像のにじみが、レジスト内の画像形成のための閾値での空間像の勾配、「エッジスロープ」("edge slopw")、すなわち「NILS」としてしばしば省略される「正規化画像ログスロープ」("normalized image log slope")として公知である距離関数によって定量化されることができる。NILS値が小さいほど、経済的に実行可能な数の機能的なデバイスにするように十分な制御によって多数のデバイスパターン上に画像を忠実に複写することがより困難になる。成功する「ローk1リソグラフィ」("low-kq lithography")プロセスの目標は、k1値の減少にかかわらず、可能な限り最高のNILSを維持し、それによって結果としてのプロセスの製造能力を可能にすることである。
[0006] ローk1リソグラフィのNILSを増加させるための新しい方法は、最終的なウェハレベルパターンの厳密なコピーではないマスク上のマスターパターンを結果として生じさせる。マスクパターンはしばしば、パターン密度すなわちピッチの関数としてパターンのサイズに関して調節される。他の技法は、マスクパターン上への余分なコーナー部の追加または減少(「セリフ」("serifs")、「ハンマーヘッド」("hammerheads")、およびその他のパターン)、およびウェハ上に複製されない幾何形状の追加でさえも含む。意図されたフィーチャのプリント性を強化するために、これらのプリントされていない「補助フィーチャ」("assist features")は、散乱バー、穴、リング、チェッカーボード、または背景光強度(「グレースケーリング」("gray scaling"))を変化させるための「ゼプラストライプ」("zebra stripes")、およびその他の構造を含むことができる。これらは、文献によく文書化されている。これらの方法のすべてがしばしば、「光近接補正」("Optical Proximity Correction")、すなわち「OPC」と集約的に称される。
[0007] マスクはまた、ウェハ上で複製されることができる、またはできない位相シフティング領域の追加によって変更されてもよい。代替となるアパーチャシフタ、二重露光マスキングプロセス、マルチフェイズ遷移、および減衰位相シフティングマスクを含む、様々な位相シフティング技法が文献内で詳細に説明されている。これらの方法によって形成されたマスクは、「位相シフティングマスク」("Phase Shifting Masks")、すなわち「PSM」として公知である。OPC、PSM、およびその他のものを含むローk1でのNILSを増加させるためのこれらの技法のすべては、「解像度強化技術」("Resolution Enhancement Technologies")、すなわち「RET」と集約的に称される。様々な組合せでマスクにしばしば適用されるこれらのRETのすべての結果は、ウェハレベルで形成される最終的なパターンは、もはやマスクレベルのパターンの単純な複製ではないことである。実際、マスクパターンを見て、最終的なウェハパターンが何に似ているように考えられているかを簡単に決定することは、不可能になっている。このことは、マスクが作成されてウェハが露光される前に、設計データが正しいことを確認することの、ならびにRETが正確に付着されたことおよびマスクがその目標とする仕様に適合していることを確認することの困難を大いに増加させる。
[0008] 高度なマスクセットを製造するコストは、着実に増加している。現在、コストはすでに、高度なデバイス用のマスク1セット当たり百万ドルを超えている。また、ターンアラウンドタイムは常に重要な関心事である。結果として、コストおよびターンアラウンドタイムの両方を減少させることを助けるリソグラフィプロセスのコンピュータシミュレーションが、半導体製造の一体部分になっている。リソグラフィシミュレーションの必要性に対処するいくつかのコンピュータソフトウェア技法がある。たとえば、物理的なおよび化学的プロセスの詳細なシミュレーションを行う、第1原理モデリングベースのシミュレーションソフトウェア(first-principle-modeling-based simulation software)がある。しかし、このようなソフトウェアはしばしば、実行が極めて遅く、したがって極めて小さい面積のチップデザイン(ほぼ2、3平方ミクロン)に制限される。この分類でのソフトウェアツールは、Sigma−C(米国カリフォルニア州サンタクララ)による「SOLID−C」および、KLA−Tencor(米国カリフォルニア州サンノゼ)による「Prolith」を含む。より高速にシミュレーション結果を実行および提供するコンピュータソフトウェアがあるが、このようなソフトウェアは、実験データに対してキャリブレーションされた経験的なモデルを使用している。(たとえば、米国オレゴン州ウィスルソンバレー、Mentor−Graphicsによる「Calibre」)。経験的なモデルを使用する「高速な」シミュレーションのためでさえも、フルチップレベルでのシミュレーションはしばしば、数十時間から数日を必要とする。新しい、高速、かつ正確なアプローチが、"System and Method for Lithography Simulation"という題名の米国特許第7、003、758号に記載され、その内容が、参照によってその全体について本明細書に組み込まれ、かつ「リソグラフィシミュレーションシステム」と称される。
[0009] 図1Aに概略的に示されているように、リソグラフィシミュレーションは通常、いくつかの機能ステップから成り、設計/シミュレーションプロセスは、直線状のフロー100に似ている。ステップ110で、拡散層、金属トレース、接点およびフィールド効果トランジスタのゲートなどの半導体デバイスの機能的な要素に対応するパターンの形状およびサイズを記述するデザインレイアウトが、作成される。これらのパターンは、最終的なデバイスのある電気的な機能性および仕様を達成するために、リソグラフィプロセスによって基板上に再生される必要がある物理的な形状およびサイズの「設計意図」("design intent")を表す。
[0010] 上記で説明されたように、このデザインレイアウトに対する多数の修正が、所望の構造をプリントするために使用されるマスクまたはレチクル上のパターンを作成するために必要とされる。ステップ120で、様々なRET方法が、実際にプリントされたパターン内の設計意図を近似するために、デザインレイアウトに適用される。結果として得られる「ポストRET」("post-RET")マスクレイアウトは、ステップ110で作成された「プレRET」("pre-RET")デザインレイアウトとはかなり異なる。プレおよびポストRETレイアウトの両方が、たとえばGDSまたはOASISフォーマットで、多角形ベースの階層データファイルでシミュレーションシステムに提供されてもよい。
[0011] 実際のマスクは、基本的な物理的制限ならびにマスク製造プロセスの不完全性のために幾何学的な、理想化された多角形ベースのマスクレイアウトとさらに異なる。これらの制限および不完全性は、たとえば、マスクライティングツールの有限の空間解像度によるコーナー部の丸み、考えられる線幅の偏移またはずれ、およびウェハ基板上への投影で経験される効果と同様の近接効果を含む。ステップ130で、マスクの真の物理的な特性が、様々な複雑性の程度までマスクモデル内で近似される。減衰、位相シフト設計などのマスクタイプ特有の特性が、マスクモデルによって捕捉される。米国特許第7、003、758号に記載されているリソグラフィシミュレーションシステムは、たとえば、実際のマスク特性を記載するために画像/ピクセルベースのグレースケール表現を使用してもよい。
[0012] リソグラフィシミュレーションの中心部分は、露光ツール内の投影および画像形成プロセスをシミュレートする光学モデルである。ステップ140で、光学モデルが生成される。光学モデルは、開口数および部分コヒーレンス設定、照射波長、照射源形状、および光学収差またはフレアなどのシステムの考えられる不完全性、などの照射および投影システムの基準パラメータを組み込む必要がある。投影システムおよび様々な光学効果、たとえば、高NA回折、スカラーまたはベクトル、偏光、薄膜多反射が、相互透過係数(transmission cross coefficient TCC)によってモデル化されてもよい。TCCは、固有数列展開を使用して重畳カーネルに分解されてもよい。速度を計算するために、数列は、固有値のランク付けに基づいて通常切り捨てられ、有限の組のカーネルの結果となる。より多くのカーネルが保持されるほど、切り捨てによって導入される誤差が少なくなる。米国特許第7、003、758号に記載されているリソグラフィシミュレーションシステムは、数値計算時間に対する負の影響なしに、極めて多数の重畳カーネルを使用した光学シミュレーションを可能にし、したがってきわめて正確な光学モデルを可能にする。"Optimized Harware and Software for Fast, Full Chip Simulation", Y. Cao他、Proc. SPIE Vol.5754, 407 (2005)参照。ここではステップ130で生成されたマスクモデルおよびステップ140で生成された光学モデルが、別個のモデルであるとみなされているが、マスクモデルが、一体化された光学モデルの一部と概念上みなされてもよい。
[0013] さらに、基板上に形成される形状およびサイズを予測するために、ステップ160で、レジストモデルが、感光性のレジスト層と交差する投影光の効果、および次のポスト露光ベーク(post-exposure bake PEB)および現像プロセスをシミュレートするために使用される。レジスト内の三次元配光、ならびにその層内の分子の拡散および反応などの微細な、物理的、または化学的効果を評価することによって、三次元のレジスト構造を予測しようと試みる第1の原理シミュレーションアプローチが区別される。他方では、フルチップシミュレーションを許すすべての「高速」シミュレーションアプローチが、現在シミュレータの光学モデル部分によって提供される二次元の空間像を入力として採用する、より経験的なレジストモデルをそれ自体制限する。空間像150によって結合される光学モデルとレジストモデルの間のこの分離が、図1Aに概略的に示されている。簡略化のために、ここでは、レジストモデルが、たとえば、エッチング、イオン注入、または類似のステップなどのさらなるプロセスのモデリングによって追従されるという事実は、省略される。
[0014] 最後に、ステップ170で、シミュレーションプロセスの出力が、予測されるクリティカルディメンション(CD)および輪郭などのウェハ上にプリントされたフィーチャの予測される形状およびサイズに関する情報を提供する。このような予測は、リソグラフィプリントプロセスの量的な評価、およびプロセスが意図された結果を作成するかどうかについての評価を可能にする。
[0015] 今述べられた予測能力を提供するために、優先的な必要性を知られていないいくつかのフィッティングパラメータが、キャリブレーションプロセス内で見出されるまたは調節される。リソグラフィモデルをキャリブレーションする様々な方法が、文献に記載されている。一般に、これらのキャリブレーション方法は、シミュレーションされたテストパターンと、実際のウェハ上にプリントされ、かつたとえばCD−SEMまたはスキャトロメータツールなどの測定ツールによって測定された対応するテストパターンとの間の最も良好な全体的な合致を求めている。
[0016] キャリブレーションの精度およびロバスト性が、プリントされたパターン、エッジ配置および線端部配置のCDを予測するために必要とされる。キャリブレーションされたモデルは一般に、十分な精度で、一次元ならびに二次元の光学およびプロセス関連の近接効果を予測することが、期待される。経験的なモデルの予測可能性が、キャリブレーション手順で使用される、テスト構造またはゲージ構造の形状およびサイズの変更によってカバーされるパターン幾何形状空間に対して最も制限されることは、公知である。現在の実施例および傾向は、実用的に可能な限り広くかつ密な幾何形状空間をカバーするために、より多くのテスト構造の変動を含むことである。通常、数千の測定点が、モデルキャリブレーションのために使用される。しかし、現在のモデルキャリブレーションは、公称または「最良の」("best")光学的設定で行われており、したがって二次元の幾何形状空間をカバーすることしかしない。光学パラメータまたはリソグラフィプロセスパラメータなどの任意の非幾何形状パラメータを使用するとき、使用のためにこれらのモデルが変更されると推定することは、困難である。
[0017] 他方では、リソグラフィのプロセスが、それらのプロセスウィンドウによって、または、より正確には、すべての当該構造の共通のプロセスウィンドウによって評価される必要があることが公知である。プロセスウィンドウ(PW)のサイズは通常、その上ではCDまたはエッジ配置の変動が許容可能な範囲内にある、露光−デフォーカス(exposure-defocus E−D)空間内の面積によって測定される。"The Exposure-Defocus Forest", B.J.Lin, Jpn.J.Appl.Phys. 33, 6756 (1994)参照。プロセスウィンドウ解析は、実際の製造プロセスが、露光ドーズおよびリソグラフィ投影システムのフォーカス設定などの実際のパラメータ値の回避されない変更を受けることを考慮している。デバイス設計上のすべての構造の、共通プロセスウィンドウが、プロセスマージン、すなわち、プロセスパラメータ変動に対する耐性を定義する。
[0018] レジストモデルのOPCモデルのプロセスウィンドウ全体での挙動を、レジストモデルを「最良の」設定でキャリブレーションすること、およびドーズおよびデフォーカスの変動に向かって外挿入することによって、予測するためのいくつかの最近の試みは、別個分かれた個別のモデルキャリブレーションが異なるデフォーカス設定で行われなければ、さほど成功しなかった。"High accuracy 65nm OPC verification:full process window model vs.critical failure ORC", A.Borjon他, Proc. SPIE Vol.5754, 1190 (2005)参照。図1Bは、プロセスウィンドウ空間をカバーする複数の位置を示している。ここで、別個のモデルキャリブレーションは、各位置で行われた。他の業績では、モデルをいくつかのフォーカス露光マトリクスデータセットへ、しかし一次元線幅データのみについてキャリブレーションするという試みが行われた。"Do we need complex resist models for predictive simulation of lithographic process performance?", B.Tollkuhn他, Proc. SPIE Vol.5376, 983 (2004)参照。
[0019] また、「集合された」("lumped")パラメータモデルが存在し、レジスト現像効果に対するシステムの応答が、光学モデルパラメータを人工的に変更することによって近似される。このようなモデル、1つの単一プロセスウィンドウ条件での1組のテストパターンに対してよくキャリブレーションされることができる。例示のための別の例として、投影システムの球面収差が、フォーカスシフトに依存するパターンピッチを生じさせることが公知である。したがって、単フォーカス設定で測定される場合、ピッチを通る「OPC」曲線(CD対ピッチをプロットする)は、球面収差の光学効果によるある変調を体験する。十分多くの調節可能なパラメータを有する十分に複雑なレジストモデルはまた、OPC曲線を再生し、かつ実際に、構成のために使用されるのとまさに同じフォーカス設定でピッチを通ってプリントされるCDを予測することができる。しかし、モデルが、キャリブレーションによってカバーされたパラメータ空間のすぐ外側のどこかに外挿することができることは、厳しく制限されることになる。
[0020] リソグラフィモデリングの精度および堅固性の増加に対する一定の必要性がある。明らかに、シミュレーションによって高度な半導体設計の製造可能性を確認するために、幾何形状の変更だけでなくPW関連のプロセス変更を超えて、多次元パラメータ空間内での予測可能なモデリングを可能にする、モデルキャリブレーション方法論に対する必要性もある。
[0021] フォーカス露光モデルを作成するためのシステムおよび方法が、リソグラフィシミュレーションモデルのキャリブレーションのために導入される。システムおよび方法は、特に露光−デフォーカスプロセスウィンドウ空間内の、パラメータ変動の複数の次元に沿ったキャリブレーションデータを使用する。システムおよび方法は、公称プロセス条件でのシミュレーションのよりよい精度および堅固性、ならびに、再キャリブレーションの必要なく、完全なプロセスウィンドウ領域全体を通じたいずれかの点で、リソグラフィの性能を予測するために可能性を結果としてもたらす、モデルパラメータ値の統合された組を提供する。
[0022] 一実施形態では、リソグラフィプロセスのフォーカス露光モデルを作成するための方法は、リソグラフィプロセスのモデルを選択するステップであって、モデルが光学モデルモジュールを含み、モデルがフォーカスと、露光と、可変値を有する1組のフィッティングパラメータとを含む1組のモデルパラメータを有するステップと、フォーカス露光空間内でリソグラフィプロセスのためのプロセスウィンドウを定義するステップと、モデルに対する1組の初期フィッティングパラメータ値を選択するステップと、プロセスウィンドウ内の複数のサンプリング位置を選択するステップであって、複数のサンプリング位置が、公称条件を含み、かつプロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合である、ステップと、初期フィッティングパラメータ値を一体に保ちながら、プロセスウィンドウ内の複数のサンプリング位置に対応するフォーカスおよび露光の変更値を用いてリソグラフィプロセスをシミュレーションすることによって、1組の初期フィッティングパラメータ値を有するモデルを使用して、プロセスウィンドウ内の複数のサンプリング位置のそれぞれでのリソグラフィプロセスのシミュレーション結果を生成するステップと、プロセスウィンドウ内の複数のサンプリング位置のそれぞれでシミュレーション結果を実結果と比較して、複数のサンプリング位置のすべてでシミュレーション結果とリソグラフィプロセスの実結果との間の合計差分測定値を作成するステップと、プロセスウィンドウ内の複数のサンプリング位置のそれぞれで前記1組のフィッティングパラメータ値を修正し、追加のシミュレーション結果を生成して、実結果と最適フィッティングパラメータ値を使用して作成されたシミュレーション結果との間の合計差分測定値が最小化される、または所定の閾値未満となるような最適フィッティングパラメータ値を識別するステップと、フォーカス露光モデルを最適フィッティングパラメータ値を含むモデルとして定義するステップであって、フォーカス露光モデルが、全プロセスウィンドウ内のいずれかの位置でリソグラフィプロセスをシミュレートすることが可能である、ステップとを含む。
[0023] 一実施形態では、フォトリソグラフィプロセスの能力を予測するための単一のプロセスウィンドウモデルを生成するためのシステムは、情報を記憶するための記憶領域と、入力デバイスと、出力デバイスと、記憶領域内に記憶された物理的なモデル情報と、モデルキャリブレーションモジュールとを備える。記憶領域は、選択された物理的なモデル情報がモデルキャリブレーションモジュールによってアクセスされることができるように、モデルキャリブレーションモジュールと連絡する。入力デバイスは、プロセスウィンドウを定義するプロセスウィンドウ定義情報が、モデルキャリブレーションモジュールによって使用可能であるように、および定義されたプロセスウィンドウ内の異なるテスト条件の下でウェハの測定値から得られた個別の測定値情報が、モデルキャリブレーションモジュールによってアクセスされることができるように、モデルキャリブレーションモジュールと連絡する。また、モデルキャリブレーションモジュールは、プロセスウィンドウ定義情報および個別の測定値情報を使用することによって単一のプロセスウィンドウモデルを生成し、選択された物理的なモデル情報をキャリブレーションして、定義されたプロセスウィンドウにわたるフォトリソグラフィシステムの性能が2つの連続的に調節可能な光学パラメータで記載されることができるように、構成されている。単一のプロセスウィンドウモデルを生成するステップは、個別の測定値情報をシミュレーションされた測定値と比較することを含み、シミュレーションされた測定値が、物理的なモデル情報内の他のすべてのパラメータを一定に保ちながら、2つの連続的に調節可能な光学パラメータを変更することによって、選択された物理的なモデル情報を使用して、リソグラフィプロセスをシミュレーションすることによって作成される。
[0036] プロセスウィンドウの中心での公称条件だけでなく、中心からある距離にあるプロセスウィンドウ内の様々な位置でのデータ点を組み込むことに頼っている、モデル作成およびモデルキャリブレーションに基づくシステムおよび方法が、開示される。最小値では、キャリブレーションデータが、少なくとも1つのプロセスパラメータ(たとえば、デフォーカスパラメータ)を変更しながら収集され、かつ変更されたプロセスパラメータの様々な値によるすべての収集されたキャリブレーションデータが、モデルのフィッティングパラメータのキャリブレーションのために使用される。リソグラフィプロセスのモデルは、光学モデルモジュールを備え、かつレジストモデルモジュール、マスクモデルモジュール、およびその他の適切なモデルモジュールをオプションで備えてもよい。リソグラフィプロセスのモデルのモデルモジュールは、簡略化のために、モデル、たとえば光学モデルおよびレジストモデルと本明細書で称される。
[0037] 好ましい実施例では、プロセスウィンドウ空間を形成するために、露光ドーズおよびデフォーカスのパラメータを変更しながら、キャリブレーションデータが収集される。方法は、プロセスウィンドウ内のいずれかの位置でのリソグラフィプリントプロセスを予測することができる、1組のキャリブレーションされたフィッティングパラメータを見つけるために、露光ドーズおよびデフォーカスのすべての値でのテスト構造のジョイントフィッティングを提供する。キャリブレーション方法は、フォーカスおよび露光パラメータおよび1組のフィッティングパラメータを含むリソグラフィプロセスのモデルを使用して、プロセスウィンドウ内の1組のサンプリング位置のそれぞれでのリソグラフィプロセスの性能をシミュレートすることを含む。ここでは、光学モデルのフォーカスおよび露光パラメータは、第1の原理に従って変更されるが、一方、フィッティングパラメータ(すなわち、他のすべてのモデルパラメータ)は変更されない。キャリブレーションされた1組のフィッティングパラメータ値を有するモデルを本明細書でフォーカス露光モデル(focus-exposure model FEM)と称する。フォーカスは、露光ツールの光学パラメータの設定であり、かつときどきデフォーカスとも称される。フォーカスおよびデフォーカスと言う用語は、本明細書で互換的に使用される。
[0038] 全プロセスウィンドウ全体を通じたいくつかの位置でキャリブレーションされたフォーカス露光モデルは、物理的な現実性をより正確に反映し、ある公称プロセス条件でのみキャリブレーションされたモデルよりも、より予測可能、正確、かつ堅固である。リソグラフィシミュレーションは、多次元モデルキャリブレーションから有利である。1)プロセスウィンドウ内の良くフィーチャ付けられたサンプリング位置の間の補間に頼ることによる、公称または最良な設定でのより良好なモデル精度、予測可能性、堅固性、2)プロセスウィンドウ内のいずれかの補間された位置でのパターン挙動を予測する可能性、および3)フォーカス露光モデルキャリブレーションが、プロセスウィンドウ内の異なる個別の位置での複数の別個分かれたモデルのキャリブレーションよりも、少ない測定値の全体数によって達成されることができる。
[0039] フォーカス露光モデルをキャリブレーションする方法のキーとなるフィーチャは、光学モデルが実際に真の光学効果を正確に捕捉する一方、レジスト関連パラメータが、光学設定によって、たとえばフォーカス設定を通じて変化しないという意味での、光学およびレジストモデルの良好な分離可能性である。プリントされたパターンのデフォーカス挙動が、いくつかの物理的なレジスト効果、たとえば酸拡散によって、デフォーカスデータを含むジョイントキャリブレーションなしで、部分的に補償されるため、デフォーカスレジストパラメータ空間内に複数の二乗平均平方根(RIMS)最小値があることになる。これらの最小値のうちの1つのみが、物理的であり、正しい。プロセスウィンドウ全体を通したジョイントキャリブレーションは、デフォーカスによる傾向挙動が、レジスト挙動に直交するため、モデルを正しい最小値点に自動的に拘束する。したがって、偽の「パラメータ集合化」効果("parameter lumping" effects)が回避され、かつ、結果としてのキャリブレーションされたモデルが、公称露光条件においてさえもより正確かつ堅固になる。言い換えれば、公称プロセス条件においてさえも、キャリブレーションされたフォーカス露光モデルが、公称プロセス条件でのみキャリブレーションされたモデルよりも良好なパターン挙動を予測することができる。
[0040] 「プロセスウィンドウ」が、二次元露光デフォーカス空間内で最も頻繁に定義されるが、本明細書で説明される方法は、この定義に制限されない。この定義は、フォーカスおよびドーズの変化が、通常、リソグラフィプロセス性能に支配的な影響を有することを反映している。しかし、調節または変更されることができるより多くの、または異なるパラメータ次元を使用することによって、プロセスウィンドウ概念を一般化することが可能である。このような一般化は、これらの追加のパラメータ変更に対するプロセスマージンを捕捉することを助け、また、モデルフィッティングにさらなる拘束を追加する。追加の拘束は、キャリブレーションされたモデルをより物理的に、およびしたがってより正確かつ予測可能にすることを助ける。たとえば、現代の露光ツールでは、たとえば、照射波長または線幅、レンズ設定、およびそれによる、光学収差、および広範囲のイルミネータ調節を含む、多くの光学設定が、ある程度まで調節可能である。同様に、レジスト層特性に直接関連するパラメータが、変更または調節されることができる。これらのまたは類似のパラメータのいずれかが、モデルの堅固性または精度の改善のためにモデルキャリブレーションに含まれてもよい。キャリブレーションプロセスはまた、生産環境内で1組の公称上同一な露光ツールからテストデータを使用してもよい。
[0041] 図2Aは、本発明の一実施形態による、リソグラフィプロセスのフォーカス露光モデルを作成するための方法ステップ200のフローチャートである。ステップ212で、テストマスク上に製造され、かつリソグラフィ露光ツールを使用してテストウェハ上にプリントされることになる1組のテストパターンが、定義される。これらのテストパターンは、問題になっているリソグラフィプロセスのフィーチャである近接相互作用の全範囲をカバーする必要がある。様々なピッチ(疎から密へ)を有する広範囲のライン/スペースパターン、および様々なギャップサイズを有するライン/スペース端部などの二次元のパターンが含まれるべきである。ライン/スペースパターンは、一次元の空間的な周波数空間にわたるが、一方線端部パターンは、ラインエンドプルバック、ピンチングなどの二次元の効果をカバーする。「パターン曲率」によって二次元の空間を定義し、それに応じてテストパターンを作成すること、またはリソグラフィモデルが使用されるデザインで見いだされる典型的な形状を表す、より複雑な二次元のテストパターンを使用することも可能である。
[0042] 上記で議論されたように、光学およびレジスト効果を分離することが重要であるとき、他のものよりもある効果に対してより感受性の強いパターンタイプを含むことによってキャリブレーションを強化することも可能である。考えられる例は特定の光学収差または迷光(フレア)などの光学効果に対して、これらの効果が、シミュレーションツールの光学モデル内に組み込まれている場合、特に感受性の強いテストパターンである。たとえば、コマ収差に対しての線の対、および三つ葉模様に対してのレンガ壁パターンなど、あるパターンタイプが、光学効果に対して特定の感受性を示すことは周知である。このような光学収差またはフレアテストパターンは、おそらく対応するプロセス変更と相俟って、モデルの分離およびキャリブレーション性能をさらに改善する。一般に特定のパターンタイプが、特定のモデルパラメータと特に相関する。これらのパターンタイプは、たとえば、感受性解析によって識別されることができ、モデル最適化中、対応する重みを与えられることができる。
[0043] モデルキャリブレーションにおいて光学収差を考慮する別の方法は、光学収差測定用に特に設計されたツールを使用して別々に測定された光学収差を直接使用することである。光学収差測定ツールのいくつかの例は、ASMLによるILIAS、およびLitel Corpによるその他のツールなど、スキャナ供給メーカーによって提供される、オンスキャナステージ自己測定ツールを含む。この場合、モデルキャリブレーションに光学収差感受性のテストパターンを含む必要がない。光学収差パラメータは、モデルキャリブレーション中にキャリブレーションされるように調節可能なパラメータではなく、光学モデル内で既知のパラメータとして固定される。固定された光学パラメータの典型的な例は、ソースマップ、すなわち、イルミネータの厳密なグレースケール形状および値であり、これは、モデルキャリブレーション中に調節されない既知の存在として測定され、提供されることがある。いかなる既知の光学パラメータ(たとえば、ソースマップ、光学収差および瞳孔形状)に対しても、これらは、モデルキャリブレーション中の光学モデル内で、固定された光学パラメータとして処理される。
[0044] 1組のテストパターンが定義された後、ステップ214で、プロセスウィンドウが定義され、プロセスウィンドウ内の位置が、キャリブレーション値として選択される。プロセスウィンドウは、モデルキャリブレーションのために変更されることになるプロセス条件、およびこれらの変更の範囲を選択することによって定義される。典型的な用途に対して、期待されるプロセスウィンドウに適切に適合する、またはそれを超える露光デフォーカス空間が、サンプリング位置によってカバーされることになる。このカバー範囲が、露光−デフォーカス空間300内でのサンプリング位置の5つの領域312、314、316、318、および320を示す図3Aに示されている。図3Bは、露光−デフォーカス(E−D)空間320内での期待されるプロセスウィンドウ322および5つのサンプリング位置324、326、328、330、および332の一実施形態を示している。これらは、プロセスウィンドウ322の中央332ならびにそれらの境界の近くの4つの追加のサンプリング位置324、326、328、および330での公称または最良の条件を示している。実際、5個よりも多いまたは少ないサンプリング位置が使用されてもよい。
[0045] 各サンプリング位置324〜332に対して、ステップ212で定義されたテストパターンの組が、フィッティングパラメータを生成するために使用される。サンプリング位置のいくつかでパターンタイプの数を減らすことで良好なフィッティングを、得ることができる。通常約数千であるテストパターンの完全な組が、プロセスウィンドウ322の中央332で測定される一方、たとえば、全体の10〜20パーセントの、かなり少ない数のパターンが、プロセスウィンドウ322の周縁でのサンプリング位置324〜330で使用される。結果として、フォーカス露光モデルキャリブレーションのために必要とされるテストパターン測定値の総数は、他の既存のキャリブレーション方法によって現在必要とされる、個々のサンプリング位置に対して別々に行われる複数のモデルキャリブレーションよりもかなり少ない。
[0046] 前に述べられたように、図3Aおよび3Bに示されている露光デフォーカス空間が通常、多次元キャリブレーションのための基礎として使用されるが、代替となるおよび追加のパラメータ次元が、等価な方式で同様に使用されてもよい。
[0047] 図2Aに戻ると、ステップ216では、テストパターンおよびプロセス条件の定義された組が、当該パラメータ空間が十分良くカバーされているかを確認するために解析される。ステップ216の実施は、テストパターンの二次元周波数空間解析、公称の、最善の、すなわちデフォルトのシミュレーションパラメータを使用した算定されたプロセスウィンドウの解析、またはその他の方法を含んでもよい。定義されたテストパターンおよびプロセス条件がパラメータ空間の十分なカバー範囲を提供しない場合、ステップ218で、追加のテストパターンまたはプロセスウィンドウ内の位置が定義される。方法は次に、ステップ216へ戻る。ステップ216の解析は、パターンおよびパラメータ選択の整数部分とみなされているが、図2Aではこの問題の重要性をハイライトするために別個のステップとして示されている。追加のデータ点が、より完全な当該パラメータ空間のより完全なカバー範囲を提供しなければ、より多くのテストパターンをキャリブレーションに追加することが自動的には精度を改善しないことが、文献に示されている。
[0048] 定義されたテストパターンおよびプロセス条件がパラメータ空間の十分なカバー範囲を提供する場合、方法が、ステップ220へと継続する。ステップ220では、半導体製造における現在の最新技法である光学投影リソグラフィでの適用例に対して、キャリブレーションされたフォーカス露光モデルによって説明されるリソグラフィプロセスで使用されるものと同じマスク技法および製造方法を使用してテストマスクが製造される。テストマスクは前に定義されたテストパターンの全組を含んでいる。これは、問題となっているリソグラフィプロセスに応じて、たとえばバイナリマスク、減衰型(attenuated)位相シフトマスク、またはレベンソン型(alternating)位相シフト、またはクロムレス位相シフトマスクとすることができる。将来のリソグラフィについては、光マスクレス技術が、固定された、可変でないテンプレートレチクルの代わりに、たとえば空間的な光モジュレータを使用して導入されてもよい。フォーカス露光モデルキャリブレーションが、マスク製造ステップが仮想的なものとみなされることができるところのこれらの技術に対して等しく適用可能であり、かつ有用である。光マスクレスリソグラフィ(Optical Maskless Lithography OML)では、選択されたテストパターン(OMLシステムのためのいずれかの選択された削除アルゴリズムによって計算される)に対応する空間的な光モジュレータ設定が、テストマスクの代わりに使用される。
[0049] ステップ222では、テストマスクを使用して、テストウェハが、デバイス製造プロセスと同一のレジストパラメータおよびプロセス条件を使用して、問題となっているリソグラフィプロセスを代表する露光ツール内でプリントされる。このプリントプロセスは、1つまたは複数の追加の反射防止層を通常有するウェハ上のレジスト層、プレ露光ベークステップ、テストマスクからレジスト被覆されたウェハ上へ画像を投影することによるスキャナまたはステッパ内での露光、ポスト露光ベークステップ、およびレジスト現像を含む。プリンティングプロセスはまた、このようなものがシミュレーションモデルの一部である場合、ウェハをエッチングする追加のステップを含む。テストパターンのプリンティングは、プロセスウィンドウ内でサンプリング位置として前に定義されたすべてのプロセス設定を使用して行われる。サンプリング位置のすべてに対してのテストパターンのプリンティングは、単一の基板上で繰り返される露光の間で段階的にパラメータを変更することによって、またはいくつかのテストウェハを別々に露光させることによって行うことができる。
[0050] ステップ224では、完全に処理されたウェハ上のテストパターンが、実結果を生成するために適切なメトロロジーツールを使用して測定される。ステップ224は、CD−SEMまたはCD−AFMを使用した線幅および線端部プルバック測定(pullback measurements)、二次元のSEM画像データの生成および解析、CDの光散乱解析、またはフォーカス露光モデルから派生した予測されるパターンパラメータと相互に関連することができるその他の測定値を含んでもよい。
[0051] プリントされたテストパターンから派生した実結果は、選択されたリソグラフィプロセスのモデルからのシミュレーションされたテストパターンによってマッチングされることを必要とする。リソグラフィプロセスのモデルは、リソグラフィプロセスを表す1つまたは複数のモデルモジュールを含む。モデルは、少なくとも1つの光学モデルを含み、レジストモデル、マスクモデル、適用可能な場合、たとえばレジストモデルの後のエッチングモデルなどの他のいずれかの適切なモデルをオプションで含んでもよい。図2Aの方法では、モデルは、例示を容易にするために、光学モデルおよびレジストモデルのみを含む。ステップ226では、光学モデルに対する、初期フィッティングパラメータ値が選択され、ステップ228で、レジストモデルに対する初期フィッティングパラメータ値が、選択される。光学モデルおよびレジストモデルに対する初期フィッティングパラメータ値は、フィッティングパラメータに対する公称、デフォルトまたは最善値であってよい。光学モデルに対して、フィッティングパラメータは、光学モデルのすべての調節可能なパラメータである。露光ドーズおよびデフォーカスは、調節可能なパラメータとしてみなされないが、プロセスウィンドウ内の選択されたサンプリング位置に対応するように第1の原理に従って変更されることになる。ステップ230では、プリントされたテストパターンが、光学モデルおよびレジストモデルを使用してシミュレートされる。好ましい実施形態では、ステップ230のシミュレーションが、米国特許第7,003,758号に開示されているシステムおよび方法を使用して実施される。一実施形態では、図8と相俟って以下で説明される、リソグラフィシミュレーションシステム800が、ステップ230を行うために使用される。ステップ230では、シミュレーションが、すべてのテストパターンに対して、およびステップ212〜216で定義されたプロセスウィンドウ内のすべての位置に対して、シミュレーション結果を作成するために行われる。シミュレーション中、モデルの露光ドーズおよびデフォーカスパラメータが、第1の原理に従って変更され、レジストモデルのフィッティングパラメータのすべてを含むモデルのフィッティングパラメータの値は、変化しないままである。
[0052] 次に、ステップ232では、シミュレーション結果のパターンパラメータが、たとえばシミュレーションされたライン/スペースまたはギャップ幅を対応するCD−SEM測定値と比較することによって、実結果に対して比較される。別法として、「測定」が、予測される二次元プリントパターンを表す、シミュレーションされたレジスト(またはエッチングされた)輪郭線上で行われ、シミュレーションされたパターン上でのこれらの測定値が、プリントされたパターンの等価な測定値と比較される。測定値は、CDまたは線端部プルバック、エッジ配置誤差、対応する二次元の形状のより複雑な評価などのスカラー値を含む。CD測定値が、例示の目的のために以下の議論で使用され、かつ他のいずれのパターンパラメータの測定値が、同様の方式で使用されてもよく、本発明の範囲内にある。
[0053] シミュレーション結果と実結果の間の一致を定量化するために、ステップ232で、プロセスウィンドウ内の各サンプリング位置に対してプリントされたテストパターンとシミュレーションされたテストパターンの間の差の測定値が計算される。一実施形態では、式1で以下で定義されるような、二乗平均平方根(RMS)でシミュレーションされた値と測定された値の間の「距離」を反映するために計算されることができるコスト関数によって差が表される。式1では、RMS(k)は、コスト関数のk番目の反復の後のシミュレーションされたCD値と測定されたCD値の間の「距離」である。Mは、プロセスウィンドウ内でのサンプリング位置の総数、Nは、プロセスウィンドウ内の各サンプリング位置での測定される予定のテストパターンの数、CDmeans(E、F、TP)は、プロセスウィンドウ内のi番目のサンプリング位置でフォーカス値および露光値によって作成されたj番目のテストパターン(TP)での実際のCD測定値(E、F)、ここで、Eは露光ドーズ値、Fはフォーカス値、および
Figure 2009505400
は、プロセスウィンドウのi番目のサンプリング位置でのフォーカス値および露光値を使用した、対応するテストパターンのシミュレーションされたCD測定値である。ここで、
Figure 2009505400
は1組のフィッティングパラメータ
Figure 2009505400
であり、Lは、光学モデルおよびレジストモデルのフィッティングパラメータの総数、およびkは、k番目の反復の後の調節されたフィッティングパラメータを示す。コスト関数の定義は、データ点の変更またはその他の調節のための異なる重み計数、Wijを含んでもよい。
Figure 2009505400
[0054] 式1によって計算されたコスト関数値は、シミュレーション結果と実結果の間のRMS差と呼ばれ、一実施形態では、ステップ232での差の測定値として使用される。コスト関数の大きさは、シミュレーション結果と実結果の間のフィッティングの品質の測定値である。キャリブレーションプロセスの目標は、コスト関数、たとえば、式2に示されているようなRMS(k)を最小化するように調節可能なフィッティングパラメータを変更することによって、フォーカス露光モデルを最適化することである。
Figure 2009505400
[0055] ステップ234では、計算された差の測定値が所定の閾値未満であるかどうかの決定が行われる。別法として、差分測定値の全体的な最小値が探求される。差分測定値が最小化されていない、すなわち所定の閾値未満でない場合、方法がステップ236で継続し、このステップで、光学モデルモジュールおよびレジストモデルモジュールのフィッティングパラメータ値が、ある順序で調節または調整される。方法は次に、光学モデルおよびレジストモデルに対する調節されたフィッティングパラメータ値を使用して、プリントされたテストパターンをシミュレートするために、ステップ230に戻る。次に、ステップ232で、新しいシミュレーションされたテストパターンとプリントされたテストパターンの間の差の測定値が計算され、差分測定値が、ステップ234で評価される。ステップ236、230、232、および234が、現在の差分測定値が最小化されるまたは所定の閾値未満になるまで繰り返される。
[0056] 次に、ステップ238で、光学モデルおよびレジストモデルに対する現在のフィッティングパラメータ値が、キャリブレーションされたフォーカス露光モデルに対するフィッティングパラメータ値として指定される。キャリブレーションされたフォーカス露光モデルが次に、プロセスウィンドウ内のいずれかの位置でのリソグラフィプロセスをシミュレートするために使用されることができる。
[0057] フォーカス露光モデルのキャリブレーションのキー特性は、対応する制限(constraints)をキャリブレーション手順中にフィッティングパラメータ値上に位置させながら、露光デフォーカスプロセスウィンドウ空間内でのいくつかのプロセス設定を通常含む、テストパターンのシミュレーション中にプロセスパラメータのいくつかの次元に沿ったデータ点を含むことである。このことは、サンプリング位置間のテストウェハプリンティングプロセス内で実際に調節された光学モデル内のプロセス条件のみが、サンプリング位置でのテストパターンのシミュレーションの第1の原理、たとえば図2Aの方法におけるフォーカスおよび露光ドーズに、従って変化することを許され、そして、モデルの他のすべてのフィッティングパラメータが、プロセスウィンドウ内のサンプリング位置間で一定に保たれることを、単に意味している。単一の、普遍的な、モデルパラメータ値の組が、このようにして、キャリブレーションプロセスから導出され、キャリブレーションのために使用される厳密な位置ではなく、プロセスウィンドウの初期サンプリング領域の妥当な近傍でのいずれかの露光−ドーズ設定で、「新しい」モデル(すなわち、予測されるパターン)を生成するために使用されることができる。リソグラフィプロセスが公称条件でシミュレーションされるのみである場合であっても、プロセスウィンドウの中央だけでなくプロセスウィンドウの中央からある距離の複数の位置にて収集されたデータを使用してフォーカス露光モデルが構成されるときより、良い性能が達成される。
[0058] 図2Bは、本発明の一実施形態による、プロセスウィンドウ内のいずれかの位置でモデルを生成するための方法ステップのフローチャートである。ステップ252で、フォーカス露光モデルが、図2Aと相俟って上記で説明された方法に従ってキャリブレーションされる。ステップ254で、リソグラフィプロセスがシミュレーションされるところのプロセスウィンドウ内の位置が選択される。選択された位置はプロセスウィンドウ内のどこであってもよい、すなわち、選択される位置は、フォーカス露光モデルのキャリブレーション中に使用されたサンプリング位置の1つであってよいが、そうである必要はない。次に、ステップ256で、第1の原理に従ってキャリブレーションされたフォーカス露光モデルに対するプロセスウィンドウ内の選択された位置に対応する、変更されたモデルパラメータ(たとえば、露光およびフォーカス)に対する値の組を提供することによってモデルが生成されるが、他のキャリブレーションされたモデルパラメータ(すなわち、フィッティングパラメータ)のすべては、FEMの最終的にフィッティングされた値に保持される。モデルが次に、プロセスウィンドウ内の選択された位置でリソグラフィプロセスの性能をシミュレートするために使用されてもよい。
[0059] 65nmリソグラフィプロセスに対するフォーカス露光モデルの例示的なキャリブレーションが行われた。約2000個の一次元および二次元のテストパターンの全組が、この65nmプロセスでのモデルキャリブレーションのために定義された。プロセスウィンドウ内の11個の位置が、キャリブレーションに対して選択される。これらの位置は、図4Aに概略的に示されている。テストウェハが、プロセスウィンドウ内のこれらの11個の位置に対してプリントされた。図4Bに示されているように、これらの位置は、+/−100nmおよび+/−150nmのフォーカスずれ、+/−2.41%から+/−4.82%の公称値からの露光の変更を含む。いくつかのキャリブレーション実行が、図4Bに示されている11個の位置の様々な部分集合(サブセットsubset)に対して行われた。11個すべてよりも少ない位置がキャリブレーションのために使用される場合、残りのデータが、測定されたテストパラメータ値からシミュレーションされたものの偏差を決定することによってモデル確認のために使用された。約2000テストパターンのフルセットが、公称条件(プロセスウィンドウの中央)で使用され、300、すなわちテストパターンの15%の他のすべてのサンプリング位置のみが、含まれていた。すべての測定値は、スカラーCD測定値であり、モデルの精度が、シミュレーションされたCD値と測定されたCD値の間のRMS偏差によって定量化された。
[0060] 図5は、キャリブレーション実行の結果を示している。第2列は、キャリブレーションのために使用されたプロセスウィンドウ内のサンプリング位置の図形的な表示を与えている。すべての残りの場所からのデータが、モデル確認のために使用された。第2列の各セルに示されている点は、図4Bに示されている等価な位置に対応する。キャリブレーションおよび確認場所の数が、それぞれ、図5の列3および4に示されている。列5はすべての部位およびパターンにわたるnm単位での全RMSを列挙しており、一方単一のサンプリング位置での最大RMSが、最終列に示されている。数は、11個すべてのサンプリング位置からのデータを使用するとき、最良の全体フィッティングが得られるが、サンプリング位置の数をデフォーカス方向に沿って3だけ減少させた後でさえもフィッティング品質の極めて小さい偏差しかないことを示している。したがって、プロセスウィンドウ内の3個、公称条件、公称露光での正のデフォーカス条件、および公称露光での負のデフォーカス条件のみのサンプリング位置で収集されたデータを使用してフォーカス露光モデルをキャリブレーションすることが好ましい最良の実行である。また、露光変更によるサンプリング位置のみが選択される、露光のみの場合を除いて、他のすべての結果は、モデル精度が、プロセスウィンドウ内でのサンプリング位置の実際の選択に対して感受性がないことを示している。キャリブレーションされたフォーカス露光モデルを作成するための方法はさらに、キャリブレーションデータによってカバーされるパラメータ範囲外への外挿を修正することが可能である。
[0061] デフォーカスデータがキャリブレーションに含まれない露光のみの場合では、ドーズの小さな変更でさえも、パラメータフィッティングプロセスが、悪い光学パラメータを結果として生じさせる。結果は、さほど驚くべきものではない。前に議論されたように、デフォーカス効果が、レジストパラメータ、たとえ拡散定数によって模倣されてもよい。フォーカスを通るデータなしでは、フィッティングは、正確なモデルパラメータ値を生成するために十分拘束されない。したがって、モデルキャリブレーションのために公称から外れたサンプリング位置を選択するための基準は、フィッティングパラメータに対する正確な最終値を得るために公称フォーカスから外れた少なくとも1つのサンプリング位置を含むことである。
[0062] 本発明の方法は、プロセスウィンドウ内の異なる個別の点に対して別個にモデルをキャリブレーションすることの現在の実施に対してかなり利益を提供する。図6は、2つのキャリブレーションアプローチ、すなわちそれぞれフォーカス露光モデルのキャリブレーションと複数の個別のモデルのキャリブレーションの間の比較をそれぞれ提供する。図6では、サンプリング位置のそれぞれがN個の測定値を必要とすること、および過度のサンプリング位置の数と公称サンプリング位置の和がxであることを仮定している。従来技法の複数の個別のモデルでは、すべての(1+x)位置に対して必要とされる測定値の総数は、したがって(1+x)Nである。逆に、上記で述べたように、フォーカス露光モデルが、余分な(すなわち、公称でない)サンプリング位置では測定値の15%しか必要としないため、すべての(1+x)位置に対して必要とされる測定値の総数は、(1+0.15x)Nにしかならない。また、従来技法の複数の個別のモデルは、(1+x)位置のそれぞれでの別個のキャリブレーションを必要とするが、フォーカス露光モデルは、同時に考慮されるプロセスウィンドウ内のすべての位置での測定値に対して1つのキャリブレーションのみしか必要としない。また、従来技法の複数の個別のモデルとは違い、フォーカス露光モデルは、分離可能な共通のマスク項、光項、レジスト項を有する。さらに、フォーカス露光モデルは、図2Bに示すように、サンプリング位置によって定義された全境界内で、制限されない追加のモデルを生成することが可能である(すなわち、プロセスウィンドウ内の制限されない位置で正確な予測を作成する)が、従来技法の複数の個別のモデルは、別個のキャリブレーションが行われた(1+x)位置でしか正確であり得ない。
[0063] 図7は、本発明によるリソグラフィプロセスのフォーカス露光モデルを作成するためのシステム700の一実施形態のブロック図である。システム700は入力デバイス712、モデルキャリブレーションモジュール714、出力デバイス716および記憶領域718を含むが、それに限定されない。記憶領域718は、物理的なモデル情報720を含むが、それに限定されない。光学モデル情報720は、光学モデル情報722およびレジストモデル情報724を含むが、それに限定されない。光学モデル情報722は、光学モデルおよび各光学モデルパラメータに対する1組の考えられる値を含み、レジストモデル情報724は、レジストモデルおよび各レジストモデルパラメータに対する1組の考えられる値を含む。モデルキャリブレーションモジュール714が、入力デバイス712を介してプロセスウィンドウ定義情報およびプリントされたテストパターン測定値を受信する。モデルキャリブレーションモジュール714が、キャリブレーションされたフォーカス露光モデルを生成するために、プロセスウィンドウ定義情報およびプリントされたテストパターン測定値を、光学モデル情報722およびレジストモデル情報724と相俟って使用する。キャリブレーションされたフォーカス露光モデルは、少なくとも2つの連続的に調節可能な光学パラメータによって記述されたプロセスウィンドウ上にリソグラフィプロセスの性能を記述することが可能である。
[0064] 図8は、本発明によるリソグラフィシミュレーションシステム800の一実施形態の図である。システム800は、アプリケーション処理システム814aおよびフロントエンド処理システム814bを含むが、それに限定されない1つまたは複数の凡用型の数値計算システムを含むが、それに限定されない。アプリケーション処理システム814aは、システム800の全体動作のジョブ管理を扱うように適切に構成されている。特に、一実施形態では、アプリケーション処理システム814aは、アプリケーション処理デバイス836およびアプリケーションSCSI RAID838aを含む。アプリケーション処理デバイス836は、システム800の様々な構成要素の動作の管理を提供するように適切にプログラムされている。この点で、たとえば、アプリケーション処理デバイス836は、アクセラレータシステム816の様々な構成要素のための設計データベースを分割するようにプログラムされ、それによって、アクセラレータシステム816の構成要素によって行われる個々のジョブ、機能またはプロセスを特定する。アプリケーションSCSI RAIDハードディスクアレイ838aは、アプリケーション処理デバイス836によって使用されるプログラムおよびデータ(たとえば、設計データベース)のための記憶を提供する。
[0065] フロントエンド処理システム814bは、ユーザまたはオペレータ(すなわち「外部世界」)に、その直接の相互作用を扱うまたは行うように適切にプログラムされたフロントエンド処理デバイス840、たとえば、ジョブ設定および/または結果報告/解析のためのシステム800へのオペレータまたはユーザのアクセスを提供するクライアントコンピュータ(図示せず)を含む。フロントエンドSCSI RAID838bは多数のシミュレーションジョブの結果および画像を記憶するために使用されるため、フロントエンド処理デバイス840に関連付けられた、フロントエンドSCSI RAIDハードディスクアレイ838bは、大容量記憶デバイスであるべきである。フロントエンド処理システム814bはまた、アプリケーションSCSI RAID838a(たとえば、設計データベース)からデータを提供する、またはそこからデータを引き出すためにアプリケーション処理システム814aと通信し、かつユーザまたはオペレータによって命令されたとき、ジョブを開始するようにアプリケーション処理システム814aに命令する。
[0066] アプリケーション処理システム814aおよびフロントエンド処理システム814bは、たとえば、高速スイッチ(たとえば、ギガビットイーサネット(登録商標)スイッチ842aおよび842bを通じて、アクセラレータシステム816と接続している。スイッチ842aおよび842bはDell Computer(米国テキサス州、オースチン)によって製造および提供されている、Dell 5224 PowerConnectであってよい。Dell 5224 PowerConnectの実装および動作は、アプリケーションノート、技術/雑誌記事およびデータシートに詳細に記載されており、それらのすべてが、参照によって本明細書に組み込まれる。
[0067] 一実施形態では、リソグラフィシミュレーションの実際にコンピュータ強化タスクのすべてまたはほとんどすべてが、アクセラレータシステム816によって、および特に、1つまたは複数のアクセラレータ成分816a〜nによって行われてもよい、この構造は、アクセラレータハードウェア構成要素816a〜nの数を変更することによって拡張可能な数値計算容量を可能にする。さらに、この構造はまた、システム800の全体的な耐故障性を可能にするまたは強化することを可能にする。たとえば、所与のアクセラレータハードウェア構成要素816a〜nが故障した場合、そのジョブが、他のアクセラレータハードウェア構成要素816a〜nに再割当されることができ、このようにして、システム800がその動作条件/状態を維持する。
[0068] 特に、アクセラレータシステム816は、マイクロプロセッササブシステム844a〜n(1つまたは複数のマイクロプロセッサを備える)、1つまたは複数のアクセラレータサブシステム846a〜n、および関連するマイクロプロセッササブシステム844a〜nと結合された、ローカルまたはレジデントメモリストレージ848a〜nのうちの1つをそれぞれ備える、1つまたは複数のアクセラレータ構成要素816a〜nを備えてもよい。ハードウェア加速能力の程度または量は、実行される数値計算の程度または量に応じて、マイクロプロセッササブシステム844a〜nと釣り合わされる。
[0069] 一実施形態では、マイクロプロセッササブシステム844a〜nはそれぞれ、Intel(米国カリフォルニア州、サンタクララ)によって製造された2つのXeonマイクロプロセッサを含む。アクセレータサブシステム846a〜nはそれぞれ、ASIC(Application-Specific Integrated Circuit )、Special-Purpose DSP Integrated Circuit、および/またはプログラマブルゲートアレイ(たとえば、フィールドプログラムマブルゲートアレイ(“FPGA”))を含む。実際、アクセラレータサブシステム846a〜nはそれぞれ、複数のアクセラレータサブシステムを含んでよく、たとえば、アクセラレータサブシステム846aは、図8に示されているように、アクセラレータサブシステム846a1〜6axのすべてを含んでもよい。このようにして、完全に使用されるとき、アクセラレータサブシステム846a〜nはそれぞれ、約25個のXeonマイクロプロセッサの数値計算容量を含む。
[0070] バス850a〜nが、マイクロプロセッササブシステム844a〜nと関連付けられたアクセラレータサブシステム846a〜nの間の高速通信を容易にする。バス850a〜n上の通信プロトコルおよび技法は、PCI、PCIX、または高速通信プロトコルおよび技法であってよい。実際、現在公知であるまたは最近開発された、いかなる高速技法もバス850a〜nに実装されてもよい。特に、一実施形態では、バスインターフェイスが、International Business Machines Corporation(米国、ニューヨーク市アーモンク)による21P100BGC PCI−Xブリッジ(64ビット/133MHz)を使用して実装されてもよい。21P100BGCの実装および動作は、アプリケーションノート、技術/雑誌記事およびデータシートに詳細に記載されており、それらのすべてが、参照によって本明細書に組み込まれる。
[0071] 本発明が、特定の実施形態を参照にして上記で説明された。しかし、様々な修正および変更が、添付の特許請求の範囲で説明されたような本発明の広義の精神および範囲を逸脱することなく、本発明に対して行われてもよい。前の説明および図面はしたがって、限定的な意味でなく例示的な意味で考慮されるべきである。
[0024]従来技法リソグラフィシミュレーションのための方法ステップのフローチャートである。 [0025]従来技法の方法論による、複数のリソグラフィシミュレーションモデルのキャリブレーションのための位置の図である。 [0026]本発明の一実施形態による、リソグラフィプロセスのフォーカス露光モデルを作成するための方法ステップのフローチャートである。 [0027]本発明の一実施形態による、プロセスウィンドウ内のいずれかの任意位置でのフォーカス露光モデルを生成するための方法ステップのフローチャートである。 [0028]本発明による、リソグラフィプロセスのプロセスウィンドウ内のサンプリング位置の領域の一実施形態を示す図である。 [0029]本発明によるリソグラフィプロセスのプロセスウィンドウ内のサンプリング位置の一実施形態を示す図である。 [0030]本発明による、リソグラフィプロセスのプロセスウィンドウ内のサンプリング位置の別の実施形態を示す図である。 [0031]本発明の一実施形態によるフォーカス露光モデルをキャリブレーションするためのサンプリング位置を示すチャートである。 [0032]本発明の一実施形態による、異なるサンプリングスキームを使用したフォーカス露光モデルのキャリブレーションの結果を示すチャートである。 [0033]フォーカス露光モデルキャリブレーションの一実施形態と従来技法の複数のモデルキャリブレーションとの間の比較をまとめたチャートである。 [0034]本発明によるフォーカス露光モデルを作成するためのシステムの一実施形態のブロック図である。 [0035]本発明によるリソグラフィシミュレーションシステムの一実施形態のブロック図である。

Claims (61)

  1. リソグラフィプロセスのフォーカス露光モデルを作成するための方法であって、
    リソグラフィプロセスのモデルを選択することであって、前記モデルが、光学モデルモジュールを含み、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッティングパラメータとを含む1組のモデルパラメータを有する、該選択すること、
    フォーカス露光空間内で前記リソグラフィプロセスのためのプロセスウィンドウを定義すること、
    前記モデルに対する1組の初期フィッティングパラメータ値を選択すること、
    前記プロセスウィンドウ内の複数のサンプリング位置を選択することであって、前記複数のサンプリング位置が、公称条件を含み、かつ前記プロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合である、該選択すること、
    前記初期フィッティングパラメータ値を一定に保ちながら、前記プロセスウィンドウ内の前記複数のサンプリング位置に対応するフォーカスおよび露光の変更値を用いて前記リソグラフィプロセスをシミュレーションすることによって、前記1組の初期フィッティングパラメータ値を有する前記モデルを使用して、前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞれでの前記リソグラフィプロセスのシミュレーション結果を生成すること、
    前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞれで前記シミュレーション結果を前記リソグラフィプロセスの実結果と比較して、前記複数のサンプリング位置のすべてでの前記シミュレーション結果と前記実結果の間の合計差分測定値を作成すること、
    前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞれで前記1組のフィッティングパラメータ値を修正し、追加のシミュレーション結果を生成して、前記実結果と最適フィッティングパラメータ値を使用して作成されたシミュレーション結果との間の前記合計差分測定値が最小化される、または所定の閾値未満となるような前記最適フィッティングパラメータ値を識別すること、および
    前記フォーカス露光モデルを前記最適フィッティングパラメータ値を含むモデルとして定義することであって、前記フォーカス露光モデルが、全プロセスウィンドウ内のいずれの位置でも前記リソグラフィプロセスをシミュレーションすることが可能である、該定義すること
    を含む、方法。
  2. 前記フォーカス露光モデルが、前記プロセスウィンドウ内の単一の位置で前記リソグラフィプロセスをシミュレーションするために使用される、請求項1に記載の方法。
  3. 前記フォーカス露光モデルが、前記最適フィッティングパラメータ値を変更することなく、第1の原理に従って、前記プロセスウィンドウ内の前記位置に対応するフォーカス値および露光値を前記フォーカス露光モデルに適用することによって、前記複数のサンプリング位置の1つではない前記プロセスウィンドウ内のある位置で前記リソグラフィプロセスをシミュレーションするために使用される、請求項1に記載の方法。
  4. 前記1組のモデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1の原理パラメータをさらに含む、請求項1に記載の方法。
  5. 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項4に記載の方法。
  6. 前記リソグラフィプロセスのモデルが、レジストモデルモジュールをさらに含む、請求項1に記載の方法。
  7. 前記リソグラフィプロセスのモデルが、マスクモデルモジュールをさらに含む、請求項1に記載の方法。
  8. 前記複数のサンプリング位置が、公称露光およびフォーカスの変更値でのサンプリング位置のみを含む、請求項1に記載の方法。
  9. 前記複数のサンプリング位置が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件のみを含む、請求項1に記載の方法。
  10. テストマスクのための1組のテストパターンを選択することであって、前記1組のテストパターンが、前記リソグラフィプロセスの特性である近接相互作用の全範囲をカバーしている、該選択すること、
    ウェハ上の前記1組のテストパターンをプリントして、1組のテスト構造を形成すること、および
    前記1組のテスト構造を使用して、前記実結果を作成すること
    をさらに含む、請求項1に記載の方法、
  11. 前記シミュレーション結果および前記実結果が、クリティカルディメンション測定値である、請求項1に記載の方法。
  12. 前記合計差分測定値が、二乗平均平方根差である、請求項1に記載の方法。
  13. リソグラフィプロセスのフォーカス露光モデルを作成するための方法であって、
    リソグラフィプロセスの所定のプロセスウィンドウ内の1組のプロセス条件を選択することであって、前記1組のプロセス条件が、前記プロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合であり、各プロセス条件が、露光値およびデフォーカス値である、該選択すること、
    前記リソグラフィプロセスのモデルを選択することであって、前記モデルが、光学モデルモジュールを含み、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッティングパラメータとを含む1組のモデルパラメータを有する、該選択すること、
    前記モデルを使用する前記1組のプロセス条件のそれぞれで前記リソグラフィプロセスをシミュレーションして、シミュレーション結果を作成することであって、前記フォーカスおよび露光パラメータの値が前記1組のプロセス条件に対応するように変更され、かつ前記フィッティングパラメータ値が一定に保持される、該シミュレーション及び作成すること、および
    前記1組のプロセス条件のすべてで前記シミュレーション結果を前記リソグラフィプロセスの実結果と比較することによって前記モデルをキャリブレーションして、前記所定のプロセスウィンドウ内の考えられるすべてのプロセス条件で前記リソグラフィプロセスをシミュレーションすることが可能である単一のフォーカス露光モデルを作成すること
    を含む、方法。
  14. 前記フォーカス露光モデルが、前記1組のプロセス条件の1つではない所定のプロセスウィンドウ内のプロセス条件で、前記リソグラフィプロセスをシミュレーションするために使用される、請求項13に記載の方法。
  15. 前記1組のモデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1の原理パラメータをさらに含む、請求項13に記載の方法。
  16. 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項15に記載の方法。
  17. 前記リソグラフィプロセスのモデルが、レジストモデルモジュールをさらに含む、請求項13に記載の方法。
  18. リソグラフィプロセスのモデルが、マスクモデルモジュールをさらに含む、請求項13に記載の方法。
  19. 前記1組のプロセス条件が、公称露光およびフォーカスの変更値でのプロセス条件のみを含む、請求項13に記載の方法。
  20. 前記1組のプロセス条件が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件のみを含む、請求項13に記載の方法。
  21. テストマスクのため1組のテストパターンを選択することであって、前記1組のテストパターンが、リソグラフィプロセスの特性である近接相互作用の全範囲をカバーする、該選択すること、
    前記1組のテストパターンをウェハ上にプリントして、1組のテスト構造を形成すること、および
    前記1組のテスト構造を使用して、実結果を作成すること
    をさらに含む、請求項13に記載の方法。
  22. 前記シミュレーション結果および前記実結果が、クリティカルディメンション測定値である請求項13に記載の方法。
  23. 全プロセスウィンドウにわたってリソグラフィプロセスをシミュレーションすることが可能な前記リソグラフィプロセスのフォーカス露光モデルを生成するための方法であって、
    1組のプロセス条件のそれぞれでリソグラフィプロセスを使用してウェハ上にプリントされた1組のテスト構造の測定値を得ることであって、前記1組のプロセス条件が、露光デフォーカス空間内のプロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合である、該得ること、
    前記リソグラフィプロセスのモデルを使用して前記1組のプロセス条件のそれぞれで前記リソグラフィプロセスをシミュレーションして、シミュレーション結果を作成することであって、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッティングパラメータとを含むモデルパラメータを含む、該シミュレーション及び作成すること、
    前記1組のプロセス条件のすべてで前記1組のテスト構造の前記測定値に最良にフィットするシミュレーション結果を作成する前記フィッティングパラメータの最適値を決定すること、および
    前記フォーカス露光モデルを前記フィッティングパラメータの前記最適値を有するモデルとして定義すること
    を含む、方法。
  24. 前記フォーカス露光モデルが、フィッティングパラメータの最適値を変更することなく、第1の原理に従って、前記プロセスウィンドウ内の前記プロセス条件に対応するフォーカス値および露光値を前記フォーカス露光モデルに適用することによって、前記1組のプロセス条件の1つではない前記プロセスウィンドウ内のあるプロセス条件でリソグラフィプロセスをシミュレーションするために使用される、請求項23に記載の方法。
  25. 前記モデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1の原理パラメータを含む、請求項23に記載の方法。
  26. 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項25に記載の方法。
  27. 前記リソグラフィプロセスのモデルが、レジストモデルモジュールを含む、請求項23に記載の方法。
  28. 前記リソグラフィプロセスのモデルが、マスクモデルモジュールを含む、請求項23に記載の方法。
  29. 前記1組のプロセス条件が、公称露光およびフォーカスの変更値でのプロセス条件のみを含む、請求項23に記載の方法。
  30. 前記1組のプロセス条件が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件のみを含む、請求項23に記載の方法。
  31. テストマスクのため1組のテストパターンを選択することであって、前記1組のテストパターンが、リソグラフィプロセスの特性である近接相互作用の全範囲をカバーする、該選択すること、および
    ウェハ上に前記1組のテストパターンをプリントして、1組のテスト構造を形成すること
    をさらに含む、請求項23に記載の方法。
  32. 前記1組のテスト構造の前記測定値および前記シミュレーション結果が、クリティカルディメンション測定値である、請求項23に記載の方法。
  33. リソグラフィプロセスの能力を予測するための単一のプロセスウィンドウモデルを生成するためのシステムであって、
    情報を記憶するための記憶領域、
    入力デバイス、
    出力デバイス、
    前記記憶領域内に記憶された物理的なモデル情報、および
    モデルキャリブレーションモジュールを備え、
    前記記憶領域が、選択された物理的なモデル情報が前記モデルキャリブレーションモジュールによってアクセスされることができるように、前記モデルキャリブレーションモジュールと連絡し、
    前記入力デバイスが、プロセスウィンドウを定義するプロセスウィンドウ定義情報が、前記モデルキャリブレーションモジュールにとって使用可能にされることができるように、かつ、前記定義されたプロセスウィンドウ内の異なるテスト条件の下でのウェハの測定値から得られた個別の測定値情報が、前記モデルキャリブレーションモジュールによってアクセスされることができるように、前記モデルキャリブレーションモジュールと連絡し、
    前記モデルキャリブレーションモジュールが、前記プロセスウィンドウ定義情報および前記個別の測定値情報を使用することによって単一のプロセスウィンドウモデルを生成し、前記選択された物理的なモデル情報をキャリブレーションして、前記定義されたプロセスウィンドウにわたるリソグラフィプロセスの性能が2つの連続的に調節可能な光学パラメータで記載されることができるように、構成され、
    前記単一のプロセスウィンドウモデルの生成が、前記個別の測定値情報をシミュレーションされた測定値と比較することを含み、前記シミュレーションされた測定値が、前記物理的なモデル情報内のすべての他のパラメータを一定に保ちながら、前記2つの連続的に調節可能な光学パラメータを変更することによって、前記選択された物理的なモデル情報を使用して前記リソグラフィプロセスをシミュレーションすることによって作成される、
    システム。
  34. 前記2つの連続的に調節可能な光学パラメータが、フォーカスおよび露光である、請求項33に記載のシステム。
  35. 前記シミュレーションされた測定値が、公称露光およびフォーカスの変更値でのみ、前記2つの連続的に調節可能な光学パラメータを使用して作成される、請求項34に記載のシステム。
  36. 前記シミュレーションされた測定値が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件でのみ、前記2つの連続的に調節可能な光学パラメータを使用して作成される、請求項34に記載のシステム。
  37. 前記物理的なモデル情報が、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項33に記載のシステム。
  38. 前記物理的なモデル情報が、レジストモデル情報を含む、請求項33に記載のシステム。
  39. 前記物理的なモデル情報が、マスクモデル情報を含む、請求項33に記載のシステム。
  40. リソグラフィプロセスのモデルを作成するための方法であって、
    リソグラフィプロセスの所定のプロセスウィンドウ内の1組のプロセス条件を選択することであって、前記1組のプロセス条件が、前記プロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合であり、各プロセス条件が、少なくとも1つのパラメータに対する値である、該選択すること、
    前記リソグラフィプロセスのモデルを選択することであって、前記モデルが、前記プロセス条件の少なくとも1つのパラメータおよび1組のフィッティングパラメータを含む1組のモデルパラメータを有する、該選択すること、
    前記モデルを使用して前記1組のプロセス条件のそれぞれでリソグラフィプロセスをシミュレーションして、シミュレーション結果を作成することであって、前記少なくとも1つのパラメータの前記値が、前記フィッティングパラメータ値を一定に保ちながら前記1組のプロセス条件に対応するように変更される、該シミュレーション及び作成すること、および
    前記1組のプロセス条件のすべてで前記シミュレーション結果を前記リソグラフィプロセスの実結果と比較することによって、前記モデルをキャリブレーションして、所定のプロセスウィンドウ内の考えられるすべてのプロセス条件で前記リソグラフィプロセスをシミュレーションすることを可能にする単一のモデルを作成すること
    を含む、リソグラフィプロセスのモデルを作成するための方法。
  41. 前記少なくとも1つのパラメータが、光学パラメータである、請求項40に記載の方法。
  42. 前記光学パラメータが、フォーカスである、請求項41に記載の方法。
  43. 前記光学パラメータが、リソグラフィ露光ツールの開口数である、請求項41に記載の方法。
  44. 前記少なくとも1つのパラメータが、レジストパラメータである、請求項40に記載の方法。
  45. 前記少なくとも1つのパラメータが、光学パラメータおよびレジストパラメータを含む、請求項40に記載の方法。
  46. 公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法であって、
    リソグラフィプロセスの所定のプロセスウィンドウ内の1組のプロセス条件を選択することであって、前記1組のプロセス条件が、前記所定のプロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合であり、前記1組のプロセス条件が、公称条件を含み、各プロセス条件が、少なくとも1つのパラメータに対する値である、該選択すること、
    前記プロセス条件の前記少なくとも1つのパラメータおよび1組のフィッティングパラメータを含むモデルパラメータを有する前記リソグラフィプロセスのモデルを選択すること、
    前記モデルを使用して前記1組のプロセス条件のそれぞれで前記リソグラフィプロセスをシミュレーションして、シミュレーション結果を作成することであって、前記少なくとも1つのパラメータの前記値が、前記フィッティングパラメータ値を一定に保ちながら前記1組のプロセス条件に対応するように変更される、該シミュレーション及び作成すること、および
    前記1組のプロセス条件のすべてで前記シミュレーション結果と前記リソグラフィプロセスの実結果との間の合計差分測定値を最小化することによって前記モデルをキャリブレーションして、単一のモデルを作成すること
    を含み、
    前記単一のモデルが、前記公称条件で前記リソグラフィプロセスをモデリングするために使用される、方法。
  47. 前記少なくとも1つのパラメータが、フォーカスである、請求項46に記載の方法。
  48. 前記少なくとも1つのパラメータが、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項46に記載の方法。
  49. テストマスクに対して1組のテストパターンを選択すること、
    ウェハ上に前記1組のテストパターンをプリントして、1組のテスト構造を形成すること、および
    前記1組のテスト構造を使用して、実結果を作成すること
    をさらに含む、請求項46に記載の方法。
  50. 前記モデルのキャリブレーションが、
    前記1組のプロセス条件のすべてで前記シミュレーション結果を前記リソグラフィプロセスの実結果と比較して、前記シミュレーション結果と前記実結果の間の合計差分測定値を作成すること、
    前記1組のプロセス条件で前記フィッティングパラメータの値を修正し、追加のシミュレーション結果を生成して、前記実結果と最適フィッティングパラメータ値を使用して作成された前記シミュレーション結果との間の合計差分測定値が最小化される、または所定の閾値未満となるような前記最適フィッティングパラメータ値を識別すること、および
    前記単一のモデルを前記最適フィッティングパラメータ値を含むモデルとして定義すること
    をさらに含む、請求項46に記載の方法。
  51. 前記合計差分測定値が、二乗平均平方根差である、請求項46に記載の方法。
  52. 前記1組のプロセス条件が、公称露光およびフォーカスの変更値でのプロセス条件のみを含む、請求項46に記載の方法。
  53. 前記1組のプロセス条件が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件のみを含む、請求項46に記載の方法。
  54. コンピュータに以下の実行によりリソグラフィプロセスのフォーカス露光モデルを作成させるための命令を記憶するコンピュータ読取可能媒体であって、
    リソグラフィプロセスのモデルを記憶することであって、前記モデルが、光学モデルモジュールを含み、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッティングパラメータとを含む1組のモデルパラメータを有する、該記憶すること、
    前記モデルのための1組の初期フィッティングパラメータ値を記憶すること、
    フォーカス露光空間内のプロセスウィンドウ内の複数のサンプリング位置を記憶することであって、前記複数のサンプリング位置が、公称条件を含み、かつ前記プロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合である、該記憶すること、
    前記初期フィッティングパラメータ値を一定に保ち保ながら、前記複数のサンプリング位置に対応するフォーカスおよび露光の変更値を用いて前記リソグラフィプロセスをシミュレーションすることによって、前記1組の初期フィッティングパラメータ値を有する前記モデルを使用して、前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞれでの前記リソグラフィプロセスのシミュレーション結果を生成すること、
    前記複数のサンプリング位置のそれぞれで前記シミュレーション結果を前記リソグラフィプロセスの実結果と比較して、前記複数のサンプリング位置のすべてでの前記シミュレーション結果と前記実結果との間の合計差分測定値を作成すること、
    最適フィッティングパラメータ値を使用して作成された前記実結果の間の前記合計差分測定値が最小化される、または所定の閾値未満となるように、前記複数のサンプリング位置のそれぞれで前記1組のフィッティングパラメータ値を修正し、追加のシミュレーション結果を生成すること、および
    前記フォーカス露光モデルを前記最適フィッティングパラメータ値を含むモデルとして定義することであって、前記フォーカス露光モデルが、前記プロセスウィンドウ内のいかなる位置でも前記リソグラフィプロセスをシミュレーションすることが可能である、該定義すること、
    コンピュータ読取可能媒体。
  55. 前記1組のモデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1の原理パラメータをさらに備える、請求項54に記載のコンピュータ読取可能媒体。
  56. 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項55に記載のコンピュータ読取可能媒体。
  57. 前記リソグラフィプロセスのモデルが、レジストモデルモジュールをさらに含む、請求項54に記載のコンピュータ読取可能媒体。
  58. 前記リソグラフィプロセスのモデルが、マスクモデルモジュールをさらに含む、請求項54に記載のコンピュータ読取可能媒体。
  59. 前記複数のサンプリング位置が、公称露光でのプロセス条件およびフォーカスの変更値でのサンプリング位置のみを含む、請求項54に記載のコンピュータ読取可能媒体。
  60. 前記複数のサンプリング位置が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件のみを含む、請求項54に記載のコンピュータ読取可能媒体。
  61. 合計差分測定値が、平方根二乗平均差である、請求項54に記載のコンピュータ読取可能媒体。
JP2008526083A 2005-08-08 2006-08-02 リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体 Active JP4806020B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US70614405P 2005-08-08 2005-08-08
US60/706,144 2005-08-08
PCT/US2006/030364 WO2007019269A2 (en) 2005-08-08 2006-08-02 System and method for creating a focus-exposure model of a lithography process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011119390A Division JP5960953B2 (ja) 2005-08-08 2011-05-27 単一のプロセスウィンドウモデルを生成するためのシステム

Publications (2)

Publication Number Publication Date
JP2009505400A true JP2009505400A (ja) 2009-02-05
JP4806020B2 JP4806020B2 (ja) 2011-11-02

Family

ID=37727903

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008526083A Active JP4806020B2 (ja) 2005-08-08 2006-08-02 リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
JP2011119390A Active JP5960953B2 (ja) 2005-08-08 2011-05-27 単一のプロセスウィンドウモデルを生成するためのシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011119390A Active JP5960953B2 (ja) 2005-08-08 2011-05-27 単一のプロセスウィンドウモデルを生成するためのシステム

Country Status (6)

Country Link
US (3) US7747978B2 (ja)
EP (1) EP1920369A2 (ja)
JP (2) JP4806020B2 (ja)
KR (1) KR100958714B1 (ja)
CN (1) CN101258498B (ja)
WO (1) WO2007019269A2 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007179056A (ja) * 2005-12-27 2007-07-12 Interuniv Micro Electronica Centrum Vzw 減衰型の位相シフトマスクの製造方法およびこれにより得られるデバイス
JP2009229479A (ja) * 2008-03-19 2009-10-08 Toshiba Corp シミュレーションモデル作成方法、マスクデータ作成方法、及び半導体装置の製造方法
JP2010501120A (ja) * 2006-08-17 2010-01-14 インターナショナル・ビジネス・マシーンズ・コーポレーション プロセス・モデルにおける光学効果とレジスト効果を分離する方法
JP2010114444A (ja) * 2008-11-06 2010-05-20 Brion Technologies Inc リソグラフィ較正のための方法及びシステム
JP2010117716A (ja) * 2008-11-10 2010-05-27 Brion Technologies Inc リソグラフィモデル較正のためのパターン選択
JP2010541003A (ja) * 2007-09-28 2010-12-24 シノプシス, インコーポレイテッド マスク角部円形化効果のモデル化によるプロセスモデル精度の向上
JP2011522439A (ja) * 2008-06-03 2011-07-28 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのプロセスシミュレーション方法
JP2012044222A (ja) * 2007-12-05 2012-03-01 Asml Netherlands Bv リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
JP2012104823A (ja) * 2010-11-10 2012-05-31 Asml Netherlands Bv 光源、マスクおよび投影光学系の最適化
KR101763446B1 (ko) * 2010-02-18 2017-07-31 케이엘에이-텐코 코포레이션 스마트 보간을 갖는 최적화된 샘플링 방식을 사용하여 공정 도구 교정 가능 요인을 제공하는 방법 및 시스템

Families Citing this family (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
KR101056142B1 (ko) * 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7747978B2 (en) * 2005-08-08 2010-06-29 Asml Netherlands B.V. System and method for creating a focus-exposure model of a lithography process
WO2007030704A2 (en) * 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7962868B2 (en) * 2005-10-28 2011-06-14 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
WO2008020265A1 (en) * 2006-08-16 2008-02-21 Koninklijke Philips Electronics N.V. Method and apparatus for designing an integrated circuit
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US7716627B1 (en) * 2006-09-28 2010-05-11 Guada, Inc. Solution-dependent regularization method for quantizing continuous-tone lithography masks
WO2008077100A2 (en) * 2006-12-19 2008-06-26 Kla-Tencor Corporation Systems and methods for creating inspection recipes
US8194968B2 (en) * 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
JP5149307B2 (ja) * 2007-01-18 2013-02-20 株式会社ニコン スキャナベースの光学近接効果補正システムおよびその使用方法
US20080180696A1 (en) * 2007-01-30 2008-07-31 Sony Corporation Process window for EUV lithography
JP4328811B2 (ja) 2007-02-27 2009-09-09 キヤノン株式会社 レジストパターン形状予測方法、プログラム及びコンピュータ
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7913196B2 (en) * 2007-05-23 2011-03-22 United Microelectronics Corp. Method of verifying a layout pattern
US8001492B2 (en) * 2007-06-28 2011-08-16 Linden Design Technologies, Inc. Evaluation method for interconnects interacted with integrated-circuit manufacture
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) * 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
WO2009026358A1 (en) * 2007-08-20 2009-02-26 Kla-Tencor Corporation Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US7805699B2 (en) * 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
JP2009231767A (ja) * 2008-03-25 2009-10-08 Toshiba Corp リソグラフィープロセスウィンドー解析方法およびその解析プログラム
DE102008015806B4 (de) * 2008-03-27 2015-07-16 Infineon Technologies Ag Verfahren zum Kalibrieren eines Simulations- oder Entwurfsverfahrens, zum Entwerfen oder Herstellen einer Maske oder zum Herstellen eines Bauelements
US8139844B2 (en) * 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US7974819B2 (en) * 2008-05-13 2011-07-05 Aptina Imaging Corporation Methods and systems for intensity modeling including polarization
CN102037550B (zh) * 2008-05-21 2012-08-15 恪纳腾公司 使工具与工艺效果分离的衬底矩阵
US8015513B2 (en) * 2008-05-30 2011-09-06 Texas Instruments Incorporated OPC models generated from 2D high frequency test patterns
JP2009302206A (ja) * 2008-06-11 2009-12-24 Canon Inc 露光パラメータの決定方法、露光パラメータを決定するためのプログラム、露光方法及びデバイス製造方法
US8542340B2 (en) 2008-07-07 2013-09-24 Asml Netherlands B.V. Illumination optimization
US7966583B2 (en) * 2008-07-08 2011-06-21 Synopsys, Inc. Method and apparatus for determining the effect of process variations
KR101841897B1 (ko) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
NL2003719A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
KR100990880B1 (ko) 2008-11-12 2010-11-01 주식회사 동부하이텍 핫 스팟 라이브러리 생성 방법
US8516401B2 (en) * 2008-11-19 2013-08-20 Mentor Graphics Corporation Mask model calibration technologies involving etch effect and exposure effect
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) * 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US8255838B2 (en) * 2010-01-15 2012-08-28 Synopsys, Inc. Etch-aware OPC model calibration by using an etch bias filter
US8607168B2 (en) * 2010-02-16 2013-12-10 Mentor Graphics Corporation Contour alignment for model calibration
US8276102B2 (en) * 2010-03-05 2012-09-25 International Business Machines Corporation Spatial correlation-based estimation of yield of integrated circuits
US8285030B2 (en) * 2010-03-15 2012-10-09 Synopsys, Inc. Determining calibration parameters for a lithographic process
US8234601B2 (en) * 2010-05-14 2012-07-31 International Business Machines Corporation Test pattern for contour calibration in OPC model build
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
NL2008041A (en) * 2011-01-28 2012-07-31 Asml Netherlands Bv Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus.
KR20120090362A (ko) * 2011-02-07 2012-08-17 삼성전자주식회사 마스크 레이아웃 보정 방법 및 장치
US8443309B2 (en) * 2011-03-04 2013-05-14 International Business Machines Corporation Multifeature test pattern for optical proximity correction model verification
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
JP2013004672A (ja) * 2011-06-15 2013-01-07 Toshiba Corp シミュレーションモデル作成方法
US8572518B2 (en) * 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
JP5988569B2 (ja) * 2011-12-07 2016-09-07 キヤノン株式会社 決定方法、決定装置およびプログラム
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
CN103472672B (zh) * 2012-06-06 2016-01-06 中芯国际集成电路制造(上海)有限公司 校正光学邻近校正模型的方法
US9424372B1 (en) * 2012-06-11 2016-08-23 D2S, Inc. System and method for data path handling, shot count minimization, and proximity effects correction related to mask writing process
US8631359B1 (en) * 2012-08-07 2014-01-14 Synopsys, Inc. System and technique for modeling resist profile change sensitivity at different heights
JP5917337B2 (ja) 2012-08-24 2016-05-11 株式会社東芝 パターンデータ作成方法
US9291920B2 (en) 2012-09-06 2016-03-22 Kla-Tencor Corporation Focus recipe determination for a lithographic scanner
US8739076B2 (en) * 2012-09-11 2014-05-27 Synopsys, Inc. Method and apparatus for process window modeling
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US8741511B1 (en) 2012-12-19 2014-06-03 Globalfoundries Singapore Pte. Ltd. Determination of lithography tool process condition
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
KR102019534B1 (ko) 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
US10274839B2 (en) * 2013-03-11 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Two-dimensional marks
US8782572B1 (en) 2013-03-13 2014-07-15 United Microelectronics Corp. Method of optical proximity correction
US10242142B2 (en) 2013-03-14 2019-03-26 Coventor, Inc. Predictive 3-D virtual fabrication system and method
US9317632B2 (en) 2013-03-14 2016-04-19 Coventor, Inc. System and method for modeling epitaxial growth in a 3-D virtual fabrication environment
KR20150134373A (ko) 2013-03-22 2015-12-01 에테하 취리히 레이저 어블레이션 셀
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US8910089B1 (en) * 2013-06-19 2014-12-09 International Business Machines Corporation Printing process calibration and correction
US9383661B2 (en) * 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
US10133191B2 (en) * 2014-07-21 2018-11-20 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
KR102238708B1 (ko) 2014-08-19 2021-04-12 삼성전자주식회사 리소그래피 공정의 초점 이동 체크 방법 및 이를 이용한 전사 패턴 오류 분석 방법
CN107077077B (zh) * 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
US10866523B2 (en) 2015-06-16 2020-12-15 Asml Netherlands B.V. Process window tracker
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US10394136B2 (en) 2015-09-30 2019-08-27 Asml Netherlands B.V. Metrology method for process window definition
WO2017080729A1 (en) * 2015-11-13 2017-05-18 Asml Netherlands B.V. Methods for identifying a process window boundary
KR102444706B1 (ko) * 2015-12-22 2022-09-19 에이에스엠엘 네델란즈 비.브이. 프로세스-윈도우 특성화를 위한 장치 및 방법
KR102371157B1 (ko) 2016-05-30 2022-03-04 코벤터, 인크. 3d 가상 제조 환경에서 전기적 거동 모델링을 위한 시스템 및 방법
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
TWI631415B (zh) * 2016-07-01 2018-08-01 美商格羅方德半導體公司 同時微影及蝕刻校正流程之方法
CN106094423B (zh) * 2016-08-22 2019-11-22 上海华力微电子有限公司 一种光刻工艺优化方法
WO2018072980A1 (en) * 2016-10-21 2018-04-26 Asml Netherlands B.V. Methods of determining corrections for a patterning process
CN110121681B (zh) * 2016-12-28 2022-04-01 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
KR102314622B1 (ko) * 2017-01-26 2021-10-20 에이에스엠엘 네델란즈 비.브이. 공정 모델들을 조정하는 방법들
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
US11144701B2 (en) 2017-06-18 2021-10-12 Coventor, Inc. System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment
KR102550350B1 (ko) 2017-09-08 2023-07-04 에이에스엠엘 네델란즈 비.브이. 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들
EP3627228A1 (en) 2017-09-28 2020-03-25 ASML Netherlands B.V. Lithographic method
KR102516045B1 (ko) 2017-10-11 2023-03-30 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
CN111512237B (zh) 2017-12-22 2023-01-24 Asml荷兰有限公司 基于缺陷概率的过程窗口
CN116482939A (zh) 2017-12-22 2023-07-25 Asml荷兰有限公司 涉及光学像差的图案化过程改进
WO2019158682A1 (en) 2018-02-18 2019-08-22 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
CN117706864A (zh) 2018-03-19 2024-03-15 Asml荷兰有限公司 用于确定图案形成装置的曲线图案的方法
US11314172B2 (en) * 2018-03-20 2022-04-26 Asml Netherlands B.V. Instant tuning method for accelerating resist and etch model calibration
US10572697B2 (en) * 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
US11899374B2 (en) 2018-05-07 2024-02-13 Asml Netherlands B.V. Method for determining an electromagnetic field associated with a computational lithography mask model
WO2019233711A1 (en) 2018-06-04 2019-12-12 Asml Netherlands B.V. Method for improving a process model for a patterning process
US11544440B2 (en) * 2018-06-15 2023-01-03 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
CN112424694B (zh) 2018-07-12 2023-10-20 Asml荷兰有限公司 利用图案识别以自动地改良sem轮廓测量准确度和稳定性
US20220351359A1 (en) 2018-08-15 2022-11-03 Asml Netherlands B.V. Utilize machine learning in selecting high quality averaged sem images from raw images automatically
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
CN112889004A (zh) 2018-10-19 2021-06-01 Asml荷兰有限公司 通过源和掩模优化创建理想源光谱的方法
US20210405539A1 (en) 2018-11-05 2021-12-30 Asml Holding N.V. A method to manufacture nano ridges in hard ceramic coatings
CN112969968A (zh) 2018-11-08 2021-06-15 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
EP3657257A1 (en) * 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
KR20210082247A (ko) 2018-11-30 2021-07-02 에이에스엠엘 네델란즈 비.브이. 기계 학습 모델 예측 내의 불확실성을 감소시키기 위한 방법.
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
KR102610060B1 (ko) 2018-11-30 2023-12-06 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
WO2020135946A1 (en) 2018-12-28 2020-07-02 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
NL2024815A (en) 2019-02-19 2020-08-27 Asml Holding Nv Laser roughening: engineering the roughness of the burl top
KR102642972B1 (ko) 2019-02-27 2024-03-04 에이에스엠엘 네델란즈 비.브이. 모델 캘리브레이션을 위한 게이지 선택의 향상
WO2020177979A1 (en) 2019-03-03 2020-09-10 Asml Netherlands B.V. Method and apparatus for imaging using narrowed bandwidth
US11846889B2 (en) 2019-03-08 2023-12-19 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
US11815820B2 (en) 2019-03-21 2023-11-14 Asml Netherlands B.V. Training method for machine learning assisted optical proximity error correction
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
WO2020207696A1 (en) 2019-04-09 2020-10-15 Asml Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
WO2020221556A1 (en) 2019-04-30 2020-11-05 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
WO2021004725A1 (en) 2019-07-10 2021-01-14 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty
WO2021023602A1 (en) 2019-08-08 2021-02-11 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
WO2021037484A1 (en) 2019-08-30 2021-03-04 Asml Netherlands B.V. Semiconductor device geometry method and system
WO2021043551A1 (en) 2019-09-06 2021-03-11 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
US20220404712A1 (en) 2019-11-01 2022-12-22 Asml Netherlands B.V Machine learning based image generation for model base alignments
CN114746806A (zh) 2019-11-19 2022-07-12 Asml控股股份有限公司 使用非均匀照射强度分布进行优化
WO2021110343A1 (en) 2019-12-02 2021-06-10 Cymer Inc. Method and system for enhancing target features of a pattern imaged onto a substrate
EP3848953A1 (en) 2020-01-07 2021-07-14 ASML Netherlands B.V. High brightness electron source
WO2021140020A2 (en) 2020-01-07 2021-07-15 Asml Netherlands B.V. High brightness low energy spread pulsed electron source
CN115087925A (zh) 2020-02-12 2022-09-20 Asml荷兰有限公司 包括使用经训练机器学习模型的光学邻近效应校正的用于确定掩模图案的方法
CN115104068A (zh) 2020-02-21 2022-09-23 Asml荷兰有限公司 用于以基于缺陷的过程窗口为基础的校准模拟过程的方法
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
US20230107556A1 (en) 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
CN115516381A (zh) 2020-05-09 2022-12-23 Asml荷兰有限公司 确定衬底上的图案的部分的指标
KR20230008778A (ko) 2020-06-02 2023-01-16 에이에스엠엘 네델란즈 비.브이. 마스크 디자인의 프리폼 곡선적 피처 검증
US20230185183A1 (en) 2020-06-03 2023-06-15 Asml Netherlands B.V. Systems, products, and methods for generating patterning devices and patterns therefor
JP2023529080A (ja) 2020-06-10 2023-07-07 エーエスエムエル ネザーランズ ビー.ブイ. 収差影響システム、モデル、及び製造プロセス
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
US20230273528A1 (en) 2020-08-19 2023-08-31 Asml Netherlands B.V. Systems, products, and methods for image-based pattern selection
KR20230051509A (ko) 2020-08-19 2023-04-18 에이에스엠엘 네델란즈 비.브이. 원시 이미지들로부터 고품질 이미지들을 자동으로 선택하는 장치 및 방법
CN116490824A (zh) 2020-09-25 2023-07-25 Asml荷兰有限公司 图案化过程的扫描仪生产率和成像质量的优化
US20230332669A1 (en) 2020-09-30 2023-10-19 Asml Netherlands B.V. Vacuum system for mitigating damage due to a vacuum pump malfunction
US20230393458A1 (en) 2020-10-23 2023-12-07 Asml Netherlands B.V. Method for generating mask pattern
KR20230117366A (ko) 2020-12-18 2023-08-08 에이에스엠엘 네델란즈 비.브이. 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법
WO2022135818A1 (en) 2020-12-21 2022-06-30 Asml Netherlands B.V. Feature based cell extraction for pattern regions
KR20230147096A (ko) 2021-02-23 2023-10-20 에이에스엠엘 네델란즈 비.브이. 타겟 패턴 및 기준 층 패턴을 사용하여 마스크에 대한 광 근접 보정을 결정하는 기계 학습 모델
KR20230154852A (ko) 2021-03-08 2023-11-09 에이에스엠엘 네델란즈 비.브이. 반도체 제조 관련 프로세스의 패턴 선택 방법
KR20240023521A (ko) 2021-06-18 2024-02-22 에이에스엠엘 네델란즈 비.브이. 기계 학습 모델을 사용하여 어시스트 피처들을 생성하기 위한 컴퓨터 판독가능한 매체
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
KR20240029778A (ko) 2021-07-06 2024-03-06 에이에스엠엘 네델란즈 비.브이. 이미지 예측에서 기계 학습 모델을 개선하기 위한 국부적 이미지 예측 에러 결정
WO2023285071A1 (en) 2021-07-13 2023-01-19 Asml Netherlands B.V. Pattern selection for source mask optimization and target optimization
WO2023001459A1 (en) 2021-07-21 2023-01-26 Asml Netherlands B.V. Systems and methods for thermally stable mounting of optical columns
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
WO2023016752A1 (en) 2021-08-10 2023-02-16 Asml Netherlands B.V. Match the aberration sensitivity of the metrology mark and the device pattern
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
CN113985705B (zh) * 2021-10-18 2022-11-11 中国科学院微电子研究所 一种快速实现光刻系统精密校准的光学方法及装置
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3339174B2 (ja) * 1993-11-08 2002-10-28 ソニー株式会社 フォトマスクの製造方法、露光方法及び半導体装置の製造方法
JP2000232057A (ja) * 1999-02-10 2000-08-22 Hitachi Ltd レジストパターンのシミュレーション方法およびパターン形成方法
JP2005513757A (ja) * 2001-06-26 2005-05-12 ケーエルエー−テンカー・コーポレーション リソグラフィのフォーカスおよび露光を決定する方法
KR100576752B1 (ko) * 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
JP2003164797A (ja) * 2001-11-30 2003-06-10 Japan Science & Technology Corp 高分子溶液膜の塗布・乾燥方法
US6749972B2 (en) * 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
JP3971937B2 (ja) * 2002-02-18 2007-09-05 株式会社日立ハイテクノロジーズ 露光条件監視方法およびその装置並びに半導体デバイスの製造方法
US6954911B2 (en) * 2002-05-01 2005-10-11 Synopsys, Inc. Method and system for simulating resist and etch edges
WO2003104921A2 (en) * 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US6828542B2 (en) * 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20030229875A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
JP2004157160A (ja) * 2002-11-01 2004-06-03 Sony Corp プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法
WO2004059394A2 (en) * 2002-12-30 2004-07-15 Koninklijke Philips Electronics N.V. Determining lithographic parameters to optimise a process window
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP4364524B2 (ja) * 2003-02-20 2009-11-18 株式会社日立製作所 パターン検査方法
JP2005099765A (ja) * 2003-08-28 2005-04-14 Toshiba Corp プロセス近接効果の予測モデルの作成方法、工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4166166B2 (ja) * 2004-02-02 2008-10-15 株式会社東芝 露光投影像予測システム及び露光投影像予測方法
US7042551B2 (en) * 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7470492B2 (en) * 2004-10-29 2008-12-30 Intel Corporation Process window-based correction for photolithography masks
US7224437B2 (en) * 2005-05-31 2007-05-29 Invarium, Inc Method for measuring and verifying stepper illumination
US7444615B2 (en) * 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
US7747978B2 (en) * 2005-08-08 2010-06-29 Asml Netherlands B.V. System and method for creating a focus-exposure model of a lithography process

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007179056A (ja) * 2005-12-27 2007-07-12 Interuniv Micro Electronica Centrum Vzw 減衰型の位相シフトマスクの製造方法およびこれにより得られるデバイス
JP2010501120A (ja) * 2006-08-17 2010-01-14 インターナショナル・ビジネス・マシーンズ・コーポレーション プロセス・モデルにおける光学効果とレジスト効果を分離する方法
JP2010541003A (ja) * 2007-09-28 2010-12-24 シノプシス, インコーポレイテッド マスク角部円形化効果のモデル化によるプロセスモデル精度の向上
JP2012044222A (ja) * 2007-12-05 2012-03-01 Asml Netherlands Bv リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
JP2009229479A (ja) * 2008-03-19 2009-10-08 Toshiba Corp シミュレーションモデル作成方法、マスクデータ作成方法、及び半導体装置の製造方法
JP4568341B2 (ja) * 2008-03-19 2010-10-27 株式会社東芝 シミュレーションモデル作成方法、マスクデータ作成方法、及び半導体装置の製造方法
US10137643B2 (en) 2008-06-03 2018-11-27 Asml Netherlands B.V. Model-based process simulation systems and methods
JP2011522439A (ja) * 2008-06-03 2011-07-28 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのプロセスシミュレーション方法
US10569469B2 (en) 2008-06-03 2020-02-25 Asml Netherlands B.V. Model-based scanner tuning systems and methods
US8571845B2 (en) 2008-06-03 2013-10-29 Asml Netherlands B.V. Model-based scanner tuning systems and methods
US8806387B2 (en) 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods
US8874423B2 (en) 2008-06-03 2014-10-28 Asml Netherlands B.V. Model-based scanner tuning systems and methods
US9009647B2 (en) 2008-11-06 2015-04-14 Asml Netherlands B.V. Methods and systems for lithography calibration using a mathematical model for a lithographic process
US8418088B2 (en) 2008-11-06 2013-04-09 Asml Netherlands B.V. Methods and system for lithography calibration
JP2010114444A (ja) * 2008-11-06 2010-05-20 Brion Technologies Inc リソグラフィ較正のための方法及びシステム
US8694928B2 (en) 2008-11-10 2014-04-08 Asml Netherlands B.V. Pattern selection for lithographic model calibration
US8930172B2 (en) 2008-11-10 2015-01-06 Asml Netherlands B.V. Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration
US9672301B2 (en) 2008-11-10 2017-06-06 Asml Netherlands B.V. Pattern selection for lithographic model calibration
US10025885B2 (en) 2008-11-10 2018-07-17 Asml Netherlands B.V. Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration
JP2010117716A (ja) * 2008-11-10 2010-05-27 Brion Technologies Inc リソグラフィモデル較正のためのパターン選択
US10846442B2 (en) 2008-11-10 2020-11-24 Asml Netherlands B.V. Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration
KR101763446B1 (ko) * 2010-02-18 2017-07-31 케이엘에이-텐코 코포레이션 스마트 보간을 갖는 최적화된 샘플링 방식을 사용하여 공정 도구 교정 가능 요인을 제공하는 방법 및 시스템
US8893060B2 (en) 2010-11-10 2014-11-18 Asml Netherlands B.V. Optimization of source, mask and projection optics
US9619603B2 (en) 2010-11-10 2017-04-11 Asml Netherlands B.V. Optimization of source, mask and projection optics
JP2012104823A (ja) * 2010-11-10 2012-05-31 Asml Netherlands Bv 光源、マスクおよび投影光学系の最適化

Also Published As

Publication number Publication date
US20070031745A1 (en) 2007-02-08
WO2007019269A2 (en) 2007-02-15
CN101258498A (zh) 2008-09-03
JP5960953B2 (ja) 2016-08-02
US7747978B2 (en) 2010-06-29
KR20080043335A (ko) 2008-05-16
JP4806020B2 (ja) 2011-11-02
JP2011205118A (ja) 2011-10-13
WO2007019269A3 (en) 2007-11-08
EP1920369A2 (en) 2008-05-14
CN101258498B (zh) 2011-04-13
US8245160B2 (en) 2012-08-14
US20100229147A1 (en) 2010-09-09
KR100958714B1 (ko) 2010-05-18
US8065636B2 (en) 2011-11-22
US20120017183A1 (en) 2012-01-19

Similar Documents

Publication Publication Date Title
JP4806020B2 (ja) リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
US11461532B2 (en) Three-dimensional mask model for photolithography simulation
US7488933B2 (en) Method for lithography model calibration
JP5008681B2 (ja) リソグラフィシミュレーションのための装置
KR101096145B1 (ko) 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
TWI466171B (zh) 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法
US8279409B1 (en) System and method for calibrating a lithography model
US7293249B2 (en) Contrast based resolution enhancement for photolithographic processing
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
US7873504B1 (en) Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
CN111512237A (zh) 基于缺陷概率的过程窗口
JP2004133426A (ja) 自動光近接補正(opc)ルール作成
US9779186B2 (en) Methods for performing model-based lithography guided layout design
KR20190026887A (ko) 디자인 레이아웃들의 컴퓨터 분석의 성능 메트릭 시각화

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110516

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110527

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110607

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110715

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110811

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4806020

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140819

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250