JP2009505400A - リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法 - Google Patents
リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法 Download PDFInfo
- Publication number
- JP2009505400A JP2009505400A JP2008526083A JP2008526083A JP2009505400A JP 2009505400 A JP2009505400 A JP 2009505400A JP 2008526083 A JP2008526083 A JP 2008526083A JP 2008526083 A JP2008526083 A JP 2008526083A JP 2009505400 A JP2009505400 A JP 2009505400A
- Authority
- JP
- Japan
- Prior art keywords
- model
- conditions
- exposure
- focus
- parameters
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70641—Focus
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/705—Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/70516—Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
Abstract
Description
[0001] 本出願は、"Methodology of Unified, Through-Process Window Lithography Modeling"という題名の米国特許仮出願第60/706,144号の利益を主張する。この関連出願の内容が、参照によってその全体について本明細書に組み込まれる。
Claims (61)
- リソグラフィプロセスのフォーカス露光モデルを作成するための方法であって、
リソグラフィプロセスのモデルを選択することであって、前記モデルが、光学モデルモジュールを含み、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッティングパラメータとを含む1組のモデルパラメータを有する、該選択すること、
フォーカス露光空間内で前記リソグラフィプロセスのためのプロセスウィンドウを定義すること、
前記モデルに対する1組の初期フィッティングパラメータ値を選択すること、
前記プロセスウィンドウ内の複数のサンプリング位置を選択することであって、前記複数のサンプリング位置が、公称条件を含み、かつ前記プロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合である、該選択すること、
前記初期フィッティングパラメータ値を一定に保ちながら、前記プロセスウィンドウ内の前記複数のサンプリング位置に対応するフォーカスおよび露光の変更値を用いて前記リソグラフィプロセスをシミュレーションすることによって、前記1組の初期フィッティングパラメータ値を有する前記モデルを使用して、前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞれでの前記リソグラフィプロセスのシミュレーション結果を生成すること、
前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞれで前記シミュレーション結果を前記リソグラフィプロセスの実結果と比較して、前記複数のサンプリング位置のすべてでの前記シミュレーション結果と前記実結果の間の合計差分測定値を作成すること、
前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞれで前記1組のフィッティングパラメータ値を修正し、追加のシミュレーション結果を生成して、前記実結果と最適フィッティングパラメータ値を使用して作成されたシミュレーション結果との間の前記合計差分測定値が最小化される、または所定の閾値未満となるような前記最適フィッティングパラメータ値を識別すること、および
前記フォーカス露光モデルを前記最適フィッティングパラメータ値を含むモデルとして定義することであって、前記フォーカス露光モデルが、全プロセスウィンドウ内のいずれの位置でも前記リソグラフィプロセスをシミュレーションすることが可能である、該定義すること
を含む、方法。 - 前記フォーカス露光モデルが、前記プロセスウィンドウ内の単一の位置で前記リソグラフィプロセスをシミュレーションするために使用される、請求項1に記載の方法。
- 前記フォーカス露光モデルが、前記最適フィッティングパラメータ値を変更することなく、第1の原理に従って、前記プロセスウィンドウ内の前記位置に対応するフォーカス値および露光値を前記フォーカス露光モデルに適用することによって、前記複数のサンプリング位置の1つではない前記プロセスウィンドウ内のある位置で前記リソグラフィプロセスをシミュレーションするために使用される、請求項1に記載の方法。
- 前記1組のモデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1の原理パラメータをさらに含む、請求項1に記載の方法。
- 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項4に記載の方法。
- 前記リソグラフィプロセスのモデルが、レジストモデルモジュールをさらに含む、請求項1に記載の方法。
- 前記リソグラフィプロセスのモデルが、マスクモデルモジュールをさらに含む、請求項1に記載の方法。
- 前記複数のサンプリング位置が、公称露光およびフォーカスの変更値でのサンプリング位置のみを含む、請求項1に記載の方法。
- 前記複数のサンプリング位置が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件のみを含む、請求項1に記載の方法。
- テストマスクのための1組のテストパターンを選択することであって、前記1組のテストパターンが、前記リソグラフィプロセスの特性である近接相互作用の全範囲をカバーしている、該選択すること、
ウェハ上の前記1組のテストパターンをプリントして、1組のテスト構造を形成すること、および
前記1組のテスト構造を使用して、前記実結果を作成すること
をさらに含む、請求項1に記載の方法、 - 前記シミュレーション結果および前記実結果が、クリティカルディメンション測定値である、請求項1に記載の方法。
- 前記合計差分測定値が、二乗平均平方根差である、請求項1に記載の方法。
- リソグラフィプロセスのフォーカス露光モデルを作成するための方法であって、
リソグラフィプロセスの所定のプロセスウィンドウ内の1組のプロセス条件を選択することであって、前記1組のプロセス条件が、前記プロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合であり、各プロセス条件が、露光値およびデフォーカス値である、該選択すること、
前記リソグラフィプロセスのモデルを選択することであって、前記モデルが、光学モデルモジュールを含み、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッティングパラメータとを含む1組のモデルパラメータを有する、該選択すること、
前記モデルを使用する前記1組のプロセス条件のそれぞれで前記リソグラフィプロセスをシミュレーションして、シミュレーション結果を作成することであって、前記フォーカスおよび露光パラメータの値が前記1組のプロセス条件に対応するように変更され、かつ前記フィッティングパラメータ値が一定に保持される、該シミュレーション及び作成すること、および
前記1組のプロセス条件のすべてで前記シミュレーション結果を前記リソグラフィプロセスの実結果と比較することによって前記モデルをキャリブレーションして、前記所定のプロセスウィンドウ内の考えられるすべてのプロセス条件で前記リソグラフィプロセスをシミュレーションすることが可能である単一のフォーカス露光モデルを作成すること
を含む、方法。 - 前記フォーカス露光モデルが、前記1組のプロセス条件の1つではない所定のプロセスウィンドウ内のプロセス条件で、前記リソグラフィプロセスをシミュレーションするために使用される、請求項13に記載の方法。
- 前記1組のモデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1の原理パラメータをさらに含む、請求項13に記載の方法。
- 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項15に記載の方法。
- 前記リソグラフィプロセスのモデルが、レジストモデルモジュールをさらに含む、請求項13に記載の方法。
- リソグラフィプロセスのモデルが、マスクモデルモジュールをさらに含む、請求項13に記載の方法。
- 前記1組のプロセス条件が、公称露光およびフォーカスの変更値でのプロセス条件のみを含む、請求項13に記載の方法。
- 前記1組のプロセス条件が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件のみを含む、請求項13に記載の方法。
- テストマスクのため1組のテストパターンを選択することであって、前記1組のテストパターンが、リソグラフィプロセスの特性である近接相互作用の全範囲をカバーする、該選択すること、
前記1組のテストパターンをウェハ上にプリントして、1組のテスト構造を形成すること、および
前記1組のテスト構造を使用して、実結果を作成すること
をさらに含む、請求項13に記載の方法。 - 前記シミュレーション結果および前記実結果が、クリティカルディメンション測定値である請求項13に記載の方法。
- 全プロセスウィンドウにわたってリソグラフィプロセスをシミュレーションすることが可能な前記リソグラフィプロセスのフォーカス露光モデルを生成するための方法であって、
1組のプロセス条件のそれぞれでリソグラフィプロセスを使用してウェハ上にプリントされた1組のテスト構造の測定値を得ることであって、前記1組のプロセス条件が、露光デフォーカス空間内のプロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合である、該得ること、
前記リソグラフィプロセスのモデルを使用して前記1組のプロセス条件のそれぞれで前記リソグラフィプロセスをシミュレーションして、シミュレーション結果を作成することであって、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッティングパラメータとを含むモデルパラメータを含む、該シミュレーション及び作成すること、
前記1組のプロセス条件のすべてで前記1組のテスト構造の前記測定値に最良にフィットするシミュレーション結果を作成する前記フィッティングパラメータの最適値を決定すること、および
前記フォーカス露光モデルを前記フィッティングパラメータの前記最適値を有するモデルとして定義すること
を含む、方法。 - 前記フォーカス露光モデルが、フィッティングパラメータの最適値を変更することなく、第1の原理に従って、前記プロセスウィンドウ内の前記プロセス条件に対応するフォーカス値および露光値を前記フォーカス露光モデルに適用することによって、前記1組のプロセス条件の1つではない前記プロセスウィンドウ内のあるプロセス条件でリソグラフィプロセスをシミュレーションするために使用される、請求項23に記載の方法。
- 前記モデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1の原理パラメータを含む、請求項23に記載の方法。
- 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項25に記載の方法。
- 前記リソグラフィプロセスのモデルが、レジストモデルモジュールを含む、請求項23に記載の方法。
- 前記リソグラフィプロセスのモデルが、マスクモデルモジュールを含む、請求項23に記載の方法。
- 前記1組のプロセス条件が、公称露光およびフォーカスの変更値でのプロセス条件のみを含む、請求項23に記載の方法。
- 前記1組のプロセス条件が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件のみを含む、請求項23に記載の方法。
- テストマスクのため1組のテストパターンを選択することであって、前記1組のテストパターンが、リソグラフィプロセスの特性である近接相互作用の全範囲をカバーする、該選択すること、および
ウェハ上に前記1組のテストパターンをプリントして、1組のテスト構造を形成すること
をさらに含む、請求項23に記載の方法。 - 前記1組のテスト構造の前記測定値および前記シミュレーション結果が、クリティカルディメンション測定値である、請求項23に記載の方法。
- リソグラフィプロセスの能力を予測するための単一のプロセスウィンドウモデルを生成するためのシステムであって、
情報を記憶するための記憶領域、
入力デバイス、
出力デバイス、
前記記憶領域内に記憶された物理的なモデル情報、および
モデルキャリブレーションモジュールを備え、
前記記憶領域が、選択された物理的なモデル情報が前記モデルキャリブレーションモジュールによってアクセスされることができるように、前記モデルキャリブレーションモジュールと連絡し、
前記入力デバイスが、プロセスウィンドウを定義するプロセスウィンドウ定義情報が、前記モデルキャリブレーションモジュールにとって使用可能にされることができるように、かつ、前記定義されたプロセスウィンドウ内の異なるテスト条件の下でのウェハの測定値から得られた個別の測定値情報が、前記モデルキャリブレーションモジュールによってアクセスされることができるように、前記モデルキャリブレーションモジュールと連絡し、
前記モデルキャリブレーションモジュールが、前記プロセスウィンドウ定義情報および前記個別の測定値情報を使用することによって単一のプロセスウィンドウモデルを生成し、前記選択された物理的なモデル情報をキャリブレーションして、前記定義されたプロセスウィンドウにわたるリソグラフィプロセスの性能が2つの連続的に調節可能な光学パラメータで記載されることができるように、構成され、
前記単一のプロセスウィンドウモデルの生成が、前記個別の測定値情報をシミュレーションされた測定値と比較することを含み、前記シミュレーションされた測定値が、前記物理的なモデル情報内のすべての他のパラメータを一定に保ちながら、前記2つの連続的に調節可能な光学パラメータを変更することによって、前記選択された物理的なモデル情報を使用して前記リソグラフィプロセスをシミュレーションすることによって作成される、
システム。 - 前記2つの連続的に調節可能な光学パラメータが、フォーカスおよび露光である、請求項33に記載のシステム。
- 前記シミュレーションされた測定値が、公称露光およびフォーカスの変更値でのみ、前記2つの連続的に調節可能な光学パラメータを使用して作成される、請求項34に記載のシステム。
- 前記シミュレーションされた測定値が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件でのみ、前記2つの連続的に調節可能な光学パラメータを使用して作成される、請求項34に記載のシステム。
- 前記物理的なモデル情報が、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項33に記載のシステム。
- 前記物理的なモデル情報が、レジストモデル情報を含む、請求項33に記載のシステム。
- 前記物理的なモデル情報が、マスクモデル情報を含む、請求項33に記載のシステム。
- リソグラフィプロセスのモデルを作成するための方法であって、
リソグラフィプロセスの所定のプロセスウィンドウ内の1組のプロセス条件を選択することであって、前記1組のプロセス条件が、前記プロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合であり、各プロセス条件が、少なくとも1つのパラメータに対する値である、該選択すること、
前記リソグラフィプロセスのモデルを選択することであって、前記モデルが、前記プロセス条件の少なくとも1つのパラメータおよび1組のフィッティングパラメータを含む1組のモデルパラメータを有する、該選択すること、
前記モデルを使用して前記1組のプロセス条件のそれぞれでリソグラフィプロセスをシミュレーションして、シミュレーション結果を作成することであって、前記少なくとも1つのパラメータの前記値が、前記フィッティングパラメータ値を一定に保ちながら前記1組のプロセス条件に対応するように変更される、該シミュレーション及び作成すること、および
前記1組のプロセス条件のすべてで前記シミュレーション結果を前記リソグラフィプロセスの実結果と比較することによって、前記モデルをキャリブレーションして、所定のプロセスウィンドウ内の考えられるすべてのプロセス条件で前記リソグラフィプロセスをシミュレーションすることを可能にする単一のモデルを作成すること
を含む、リソグラフィプロセスのモデルを作成するための方法。 - 前記少なくとも1つのパラメータが、光学パラメータである、請求項40に記載の方法。
- 前記光学パラメータが、フォーカスである、請求項41に記載の方法。
- 前記光学パラメータが、リソグラフィ露光ツールの開口数である、請求項41に記載の方法。
- 前記少なくとも1つのパラメータが、レジストパラメータである、請求項40に記載の方法。
- 前記少なくとも1つのパラメータが、光学パラメータおよびレジストパラメータを含む、請求項40に記載の方法。
- 公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法であって、
リソグラフィプロセスの所定のプロセスウィンドウ内の1組のプロセス条件を選択することであって、前記1組のプロセス条件が、前記所定のプロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合であり、前記1組のプロセス条件が、公称条件を含み、各プロセス条件が、少なくとも1つのパラメータに対する値である、該選択すること、
前記プロセス条件の前記少なくとも1つのパラメータおよび1組のフィッティングパラメータを含むモデルパラメータを有する前記リソグラフィプロセスのモデルを選択すること、
前記モデルを使用して前記1組のプロセス条件のそれぞれで前記リソグラフィプロセスをシミュレーションして、シミュレーション結果を作成することであって、前記少なくとも1つのパラメータの前記値が、前記フィッティングパラメータ値を一定に保ちながら前記1組のプロセス条件に対応するように変更される、該シミュレーション及び作成すること、および
前記1組のプロセス条件のすべてで前記シミュレーション結果と前記リソグラフィプロセスの実結果との間の合計差分測定値を最小化することによって前記モデルをキャリブレーションして、単一のモデルを作成すること
を含み、
前記単一のモデルが、前記公称条件で前記リソグラフィプロセスをモデリングするために使用される、方法。 - 前記少なくとも1つのパラメータが、フォーカスである、請求項46に記載の方法。
- 前記少なくとも1つのパラメータが、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項46に記載の方法。
- テストマスクに対して1組のテストパターンを選択すること、
ウェハ上に前記1組のテストパターンをプリントして、1組のテスト構造を形成すること、および
前記1組のテスト構造を使用して、実結果を作成すること
をさらに含む、請求項46に記載の方法。 - 前記モデルのキャリブレーションが、
前記1組のプロセス条件のすべてで前記シミュレーション結果を前記リソグラフィプロセスの実結果と比較して、前記シミュレーション結果と前記実結果の間の合計差分測定値を作成すること、
前記1組のプロセス条件で前記フィッティングパラメータの値を修正し、追加のシミュレーション結果を生成して、前記実結果と最適フィッティングパラメータ値を使用して作成された前記シミュレーション結果との間の合計差分測定値が最小化される、または所定の閾値未満となるような前記最適フィッティングパラメータ値を識別すること、および
前記単一のモデルを前記最適フィッティングパラメータ値を含むモデルとして定義すること
をさらに含む、請求項46に記載の方法。 - 前記合計差分測定値が、二乗平均平方根差である、請求項46に記載の方法。
- 前記1組のプロセス条件が、公称露光およびフォーカスの変更値でのプロセス条件のみを含む、請求項46に記載の方法。
- 前記1組のプロセス条件が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件のみを含む、請求項46に記載の方法。
- コンピュータに以下の実行によりリソグラフィプロセスのフォーカス露光モデルを作成させるための命令を記憶するコンピュータ読取可能媒体であって、
リソグラフィプロセスのモデルを記憶することであって、前記モデルが、光学モデルモジュールを含み、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッティングパラメータとを含む1組のモデルパラメータを有する、該記憶すること、
前記モデルのための1組の初期フィッティングパラメータ値を記憶すること、
フォーカス露光空間内のプロセスウィンドウ内の複数のサンプリング位置を記憶することであって、前記複数のサンプリング位置が、公称条件を含み、かつ前記プロセスウィンドウ内の考えられるすべてのプロセス条件の部分集合である、該記憶すること、
前記初期フィッティングパラメータ値を一定に保ち保ながら、前記複数のサンプリング位置に対応するフォーカスおよび露光の変更値を用いて前記リソグラフィプロセスをシミュレーションすることによって、前記1組の初期フィッティングパラメータ値を有する前記モデルを使用して、前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞれでの前記リソグラフィプロセスのシミュレーション結果を生成すること、
前記複数のサンプリング位置のそれぞれで前記シミュレーション結果を前記リソグラフィプロセスの実結果と比較して、前記複数のサンプリング位置のすべてでの前記シミュレーション結果と前記実結果との間の合計差分測定値を作成すること、
最適フィッティングパラメータ値を使用して作成された前記実結果の間の前記合計差分測定値が最小化される、または所定の閾値未満となるように、前記複数のサンプリング位置のそれぞれで前記1組のフィッティングパラメータ値を修正し、追加のシミュレーション結果を生成すること、および
前記フォーカス露光モデルを前記最適フィッティングパラメータ値を含むモデルとして定義することであって、前記フォーカス露光モデルが、前記プロセスウィンドウ内のいかなる位置でも前記リソグラフィプロセスをシミュレーションすることが可能である、該定義すること、
コンピュータ読取可能媒体。 - 前記1組のモデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1の原理パラメータをさらに備える、請求項54に記載のコンピュータ読取可能媒体。
- 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のうちの1つまたは複数を含む、請求項55に記載のコンピュータ読取可能媒体。
- 前記リソグラフィプロセスのモデルが、レジストモデルモジュールをさらに含む、請求項54に記載のコンピュータ読取可能媒体。
- 前記リソグラフィプロセスのモデルが、マスクモデルモジュールをさらに含む、請求項54に記載のコンピュータ読取可能媒体。
- 前記複数のサンプリング位置が、公称露光でのプロセス条件およびフォーカスの変更値でのサンプリング位置のみを含む、請求項54に記載のコンピュータ読取可能媒体。
- 前記複数のサンプリング位置が、公称条件、公称露光条件での正のデフォーカス条件、および公称露光条件での負のデフォーカス条件のみを含む、請求項54に記載のコンピュータ読取可能媒体。
- 合計差分測定値が、平方根二乗平均差である、請求項54に記載のコンピュータ読取可能媒体。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US70614405P | 2005-08-08 | 2005-08-08 | |
US60/706,144 | 2005-08-08 | ||
PCT/US2006/030364 WO2007019269A2 (en) | 2005-08-08 | 2006-08-02 | System and method for creating a focus-exposure model of a lithography process |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011119390A Division JP5960953B2 (ja) | 2005-08-08 | 2011-05-27 | 単一のプロセスウィンドウモデルを生成するためのシステム |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2009505400A true JP2009505400A (ja) | 2009-02-05 |
JP4806020B2 JP4806020B2 (ja) | 2011-11-02 |
Family
ID=37727903
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2008526083A Active JP4806020B2 (ja) | 2005-08-08 | 2006-08-02 | リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体 |
JP2011119390A Active JP5960953B2 (ja) | 2005-08-08 | 2011-05-27 | 単一のプロセスウィンドウモデルを生成するためのシステム |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011119390A Active JP5960953B2 (ja) | 2005-08-08 | 2011-05-27 | 単一のプロセスウィンドウモデルを生成するためのシステム |
Country Status (6)
Country | Link |
---|---|
US (3) | US7747978B2 (ja) |
EP (1) | EP1920369A2 (ja) |
JP (2) | JP4806020B2 (ja) |
KR (1) | KR100958714B1 (ja) |
CN (1) | CN101258498B (ja) |
WO (1) | WO2007019269A2 (ja) |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007179056A (ja) * | 2005-12-27 | 2007-07-12 | Interuniv Micro Electronica Centrum Vzw | 減衰型の位相シフトマスクの製造方法およびこれにより得られるデバイス |
JP2009229479A (ja) * | 2008-03-19 | 2009-10-08 | Toshiba Corp | シミュレーションモデル作成方法、マスクデータ作成方法、及び半導体装置の製造方法 |
JP2010501120A (ja) * | 2006-08-17 | 2010-01-14 | インターナショナル・ビジネス・マシーンズ・コーポレーション | プロセス・モデルにおける光学効果とレジスト効果を分離する方法 |
JP2010114444A (ja) * | 2008-11-06 | 2010-05-20 | Brion Technologies Inc | リソグラフィ較正のための方法及びシステム |
JP2010117716A (ja) * | 2008-11-10 | 2010-05-27 | Brion Technologies Inc | リソグラフィモデル較正のためのパターン選択 |
JP2010541003A (ja) * | 2007-09-28 | 2010-12-24 | シノプシス, インコーポレイテッド | マスク角部円形化効果のモデル化によるプロセスモデル精度の向上 |
JP2011522439A (ja) * | 2008-06-03 | 2011-07-28 | エーエスエムエル ネザーランズ ビー.ブイ. | モデルベースのプロセスシミュレーション方法 |
JP2012044222A (ja) * | 2007-12-05 | 2012-03-01 | Asml Netherlands Bv | リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム |
JP2012104823A (ja) * | 2010-11-10 | 2012-05-31 | Asml Netherlands Bv | 光源、マスクおよび投影光学系の最適化 |
KR101763446B1 (ko) * | 2010-02-18 | 2017-07-31 | 케이엘에이-텐코 코포레이션 | 스마트 보간을 갖는 최적화된 샘플링 방식을 사용하여 공정 도구 교정 가능 요인을 제공하는 방법 및 시스템 |
Families Citing this family (209)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7382447B2 (en) * | 2001-06-26 | 2008-06-03 | Kla-Tencor Technologies Corporation | Method for determining lithographic focus and exposure |
KR101056142B1 (ko) * | 2004-01-29 | 2011-08-10 | 케이엘에이-텐코 코포레이션 | 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법 |
US9188974B1 (en) | 2004-02-13 | 2015-11-17 | Kla-Tencor Technologies Corp. | Methods for improved monitor and control of lithography processes |
JP4904034B2 (ja) | 2004-09-14 | 2012-03-28 | ケーエルエー−テンカー コーポレイション | レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体 |
US7769225B2 (en) * | 2005-08-02 | 2010-08-03 | Kla-Tencor Technologies Corp. | Methods and systems for detecting defects in a reticle design pattern |
US7747978B2 (en) * | 2005-08-08 | 2010-06-29 | Asml Netherlands B.V. | System and method for creating a focus-exposure model of a lithography process |
WO2007030704A2 (en) * | 2005-09-09 | 2007-03-15 | Brion Technologies, Inc. | System and method for mask verification using an individual mask error model |
US7962868B2 (en) * | 2005-10-28 | 2011-06-14 | Freescale Semiconductor, Inc. | Method for forming a semiconductor device using optical proximity correction for the optical lithography |
US8041103B2 (en) * | 2005-11-18 | 2011-10-18 | Kla-Tencor Technologies Corp. | Methods and systems for determining a position of inspection data in design data space |
US7676077B2 (en) | 2005-11-18 | 2010-03-09 | Kla-Tencor Technologies Corp. | Methods and systems for utilizing design data in combination with inspection data |
US7570796B2 (en) | 2005-11-18 | 2009-08-04 | Kla-Tencor Technologies Corp. | Methods and systems for utilizing design data in combination with inspection data |
US7493589B2 (en) * | 2005-12-29 | 2009-02-17 | Asml Masktools B.V. | Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process |
US7694267B1 (en) * | 2006-02-03 | 2010-04-06 | Brion Technologies, Inc. | Method for process window optimized optical proximity correction |
WO2008020265A1 (en) * | 2006-08-16 | 2008-02-21 | Koninklijke Philips Electronics N.V. | Method and apparatus for designing an integrated circuit |
US7900165B2 (en) * | 2007-03-30 | 2011-03-01 | Synopsys, Inc. | Determining a design attribute by estimation and by calibration of estimated value |
US7716627B1 (en) * | 2006-09-28 | 2010-05-11 | Guada, Inc. | Solution-dependent regularization method for quantizing continuous-tone lithography masks |
WO2008077100A2 (en) * | 2006-12-19 | 2008-06-26 | Kla-Tencor Corporation | Systems and methods for creating inspection recipes |
US8194968B2 (en) * | 2007-01-05 | 2012-06-05 | Kla-Tencor Corp. | Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions |
JP5149307B2 (ja) * | 2007-01-18 | 2013-02-20 | 株式会社ニコン | スキャナベースの光学近接効果補正システムおよびその使用方法 |
US20080180696A1 (en) * | 2007-01-30 | 2008-07-31 | Sony Corporation | Process window for EUV lithography |
JP4328811B2 (ja) | 2007-02-27 | 2009-09-09 | キヤノン株式会社 | レジストパターン形状予測方法、プログラム及びコンピュータ |
US7738093B2 (en) | 2007-05-07 | 2010-06-15 | Kla-Tencor Corp. | Methods for detecting and classifying defects on a reticle |
US7962863B2 (en) | 2007-05-07 | 2011-06-14 | Kla-Tencor Corp. | Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer |
US8213704B2 (en) * | 2007-05-09 | 2012-07-03 | Kla-Tencor Corp. | Methods and systems for detecting defects in a reticle design pattern |
US7913196B2 (en) * | 2007-05-23 | 2011-03-22 | United Microelectronics Corp. | Method of verifying a layout pattern |
US8001492B2 (en) * | 2007-06-28 | 2011-08-16 | Linden Design Technologies, Inc. | Evaluation method for interconnects interacted with integrated-circuit manufacture |
US7796804B2 (en) | 2007-07-20 | 2010-09-14 | Kla-Tencor Corp. | Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer |
US7711514B2 (en) * | 2007-08-10 | 2010-05-04 | Kla-Tencor Technologies Corp. | Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan |
WO2009026358A1 (en) * | 2007-08-20 | 2009-02-26 | Kla-Tencor Corporation | Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects |
US7999920B2 (en) | 2007-08-22 | 2011-08-16 | Asml Netherlands B.V. | Method of performing model-based scanner tuning |
US7805699B2 (en) * | 2007-10-11 | 2010-09-28 | Mentor Graphics Corporation | Shape-based photolithographic model calibration |
JP2009231767A (ja) * | 2008-03-25 | 2009-10-08 | Toshiba Corp | リソグラフィープロセスウィンドー解析方法およびその解析プログラム |
DE102008015806B4 (de) * | 2008-03-27 | 2015-07-16 | Infineon Technologies Ag | Verfahren zum Kalibrieren eines Simulations- oder Entwurfsverfahrens, zum Entwerfen oder Herstellen einer Maske oder zum Herstellen eines Bauelements |
US8139844B2 (en) * | 2008-04-14 | 2012-03-20 | Kla-Tencor Corp. | Methods and systems for determining a defect criticality index for defects on wafers |
US7974819B2 (en) * | 2008-05-13 | 2011-07-05 | Aptina Imaging Corporation | Methods and systems for intensity modeling including polarization |
CN102037550B (zh) * | 2008-05-21 | 2012-08-15 | 恪纳腾公司 | 使工具与工艺效果分离的衬底矩阵 |
US8015513B2 (en) * | 2008-05-30 | 2011-09-06 | Texas Instruments Incorporated | OPC models generated from 2D high frequency test patterns |
JP2009302206A (ja) * | 2008-06-11 | 2009-12-24 | Canon Inc | 露光パラメータの決定方法、露光パラメータを決定するためのプログラム、露光方法及びデバイス製造方法 |
US8542340B2 (en) | 2008-07-07 | 2013-09-24 | Asml Netherlands B.V. | Illumination optimization |
US7966583B2 (en) * | 2008-07-08 | 2011-06-21 | Synopsys, Inc. | Method and apparatus for determining the effect of process variations |
KR101841897B1 (ko) | 2008-07-28 | 2018-03-23 | 케이엘에이-텐코어 코오포레이션 | 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들 |
NL2003719A (en) * | 2008-11-10 | 2010-05-11 | Brion Tech Inc | Delta tcc for fast sensitivity model computation. |
KR100990880B1 (ko) | 2008-11-12 | 2010-11-01 | 주식회사 동부하이텍 | 핫 스팟 라이브러리 생성 방법 |
US8516401B2 (en) * | 2008-11-19 | 2013-08-20 | Mentor Graphics Corporation | Mask model calibration technologies involving etch effect and exposure effect |
US8136054B2 (en) * | 2009-01-29 | 2012-03-13 | Synopsys, Inc. | Compact abbe's kernel generation using principal component analysis |
US8775101B2 (en) | 2009-02-13 | 2014-07-08 | Kla-Tencor Corp. | Detecting defects on a wafer |
US8204297B1 (en) | 2009-02-27 | 2012-06-19 | Kla-Tencor Corp. | Methods and systems for classifying defects detected on a reticle |
US8112241B2 (en) * | 2009-03-13 | 2012-02-07 | Kla-Tencor Corp. | Methods and systems for generating an inspection process for a wafer |
US8196068B2 (en) * | 2009-04-30 | 2012-06-05 | Synopsys, Inc. | Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction |
US8255838B2 (en) * | 2010-01-15 | 2012-08-28 | Synopsys, Inc. | Etch-aware OPC model calibration by using an etch bias filter |
US8607168B2 (en) * | 2010-02-16 | 2013-12-10 | Mentor Graphics Corporation | Contour alignment for model calibration |
US8276102B2 (en) * | 2010-03-05 | 2012-09-25 | International Business Machines Corporation | Spatial correlation-based estimation of yield of integrated circuits |
US8285030B2 (en) * | 2010-03-15 | 2012-10-09 | Synopsys, Inc. | Determining calibration parameters for a lithographic process |
US8234601B2 (en) * | 2010-05-14 | 2012-07-31 | International Business Machines Corporation | Test pattern for contour calibration in OPC model build |
US8781781B2 (en) | 2010-07-30 | 2014-07-15 | Kla-Tencor Corp. | Dynamic care areas |
US8619236B2 (en) | 2010-11-24 | 2013-12-31 | International Business Machines Corporation | Determining lithographic set point using optical proximity correction verification simulation |
US9588439B1 (en) * | 2010-12-21 | 2017-03-07 | Asml Netherlands B.V. | Information matrix creation and calibration test pattern selection based on computational lithography model parameters |
US8577489B2 (en) | 2011-01-26 | 2013-11-05 | International Business Machines Corporation | Diagnosing in-line critical dimension control adjustments using optical proximity correction verification |
US8499260B2 (en) | 2011-01-26 | 2013-07-30 | International Business Machines Corporation | Optical proximity correction verification accounting for mask deviations |
NL2008041A (en) * | 2011-01-28 | 2012-07-31 | Asml Netherlands Bv | Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus. |
KR20120090362A (ko) * | 2011-02-07 | 2012-08-17 | 삼성전자주식회사 | 마스크 레이아웃 보정 방법 및 장치 |
US8443309B2 (en) * | 2011-03-04 | 2013-05-14 | International Business Machines Corporation | Multifeature test pattern for optical proximity correction model verification |
US9170211B2 (en) | 2011-03-25 | 2015-10-27 | Kla-Tencor Corp. | Design-based inspection using repeating structures |
JP2013004672A (ja) * | 2011-06-15 | 2013-01-07 | Toshiba Corp | シミュレーションモデル作成方法 |
US8572518B2 (en) * | 2011-06-23 | 2013-10-29 | Nikon Precision Inc. | Predicting pattern critical dimensions in a lithographic exposure process |
NL2008957A (en) * | 2011-07-08 | 2013-01-09 | Asml Netherlands Bv | Methods and systems for pattern design with tailored response to wavefront aberration. |
US9087367B2 (en) | 2011-09-13 | 2015-07-21 | Kla-Tencor Corp. | Determining design coordinates for wafer defects |
US8468471B2 (en) * | 2011-09-23 | 2013-06-18 | Kla-Tencor Corp. | Process aware metrology |
JP5988569B2 (ja) * | 2011-12-07 | 2016-09-07 | キヤノン株式会社 | 決定方法、決定装置およびプログラム |
US8831334B2 (en) | 2012-01-20 | 2014-09-09 | Kla-Tencor Corp. | Segmentation for wafer inspection |
US8464193B1 (en) | 2012-05-18 | 2013-06-11 | International Business Machines Corporation | Optical proximity correction (OPC) methodology employing multiple OPC programs |
US8826200B2 (en) | 2012-05-25 | 2014-09-02 | Kla-Tencor Corp. | Alteration for wafer inspection |
CN103472672B (zh) * | 2012-06-06 | 2016-01-06 | 中芯国际集成电路制造(上海)有限公司 | 校正光学邻近校正模型的方法 |
US9424372B1 (en) * | 2012-06-11 | 2016-08-23 | D2S, Inc. | System and method for data path handling, shot count minimization, and proximity effects correction related to mask writing process |
US8631359B1 (en) * | 2012-08-07 | 2014-01-14 | Synopsys, Inc. | System and technique for modeling resist profile change sensitivity at different heights |
JP5917337B2 (ja) | 2012-08-24 | 2016-05-11 | 株式会社東芝 | パターンデータ作成方法 |
US9291920B2 (en) | 2012-09-06 | 2016-03-22 | Kla-Tencor Corporation | Focus recipe determination for a lithographic scanner |
US8739076B2 (en) * | 2012-09-11 | 2014-05-27 | Synopsys, Inc. | Method and apparatus for process window modeling |
US9189844B2 (en) | 2012-10-15 | 2015-11-17 | Kla-Tencor Corp. | Detecting defects on a wafer using defect-specific information |
US9404743B2 (en) | 2012-11-01 | 2016-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for validating measurement data |
US10769320B2 (en) | 2012-12-18 | 2020-09-08 | Kla-Tencor Corporation | Integrated use of model-based metrology and a process model |
US8741511B1 (en) | 2012-12-19 | 2014-06-03 | Globalfoundries Singapore Pte. Ltd. | Determination of lithography tool process condition |
US9053527B2 (en) | 2013-01-02 | 2015-06-09 | Kla-Tencor Corp. | Detecting defects on a wafer |
US9134254B2 (en) | 2013-01-07 | 2015-09-15 | Kla-Tencor Corp. | Determining a position of inspection system output in design data space |
US9311698B2 (en) | 2013-01-09 | 2016-04-12 | Kla-Tencor Corp. | Detecting defects on a wafer using template image matching |
KR102019534B1 (ko) | 2013-02-01 | 2019-09-09 | 케이엘에이 코포레이션 | 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출 |
US10274839B2 (en) * | 2013-03-11 | 2019-04-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Two-dimensional marks |
US8782572B1 (en) | 2013-03-13 | 2014-07-15 | United Microelectronics Corp. | Method of optical proximity correction |
US10242142B2 (en) | 2013-03-14 | 2019-03-26 | Coventor, Inc. | Predictive 3-D virtual fabrication system and method |
US9317632B2 (en) | 2013-03-14 | 2016-04-19 | Coventor, Inc. | System and method for modeling epitaxial growth in a 3-D virtual fabrication environment |
KR20150134373A (ko) | 2013-03-22 | 2015-12-01 | 에테하 취리히 | 레이저 어블레이션 셀 |
US9865512B2 (en) | 2013-04-08 | 2018-01-09 | Kla-Tencor Corp. | Dynamic design attributes for wafer inspection |
US9310320B2 (en) | 2013-04-15 | 2016-04-12 | Kla-Tencor Corp. | Based sampling and binning for yield critical defects |
US8910089B1 (en) * | 2013-06-19 | 2014-12-09 | International Business Machines Corporation | Printing process calibration and correction |
US9383661B2 (en) * | 2013-08-10 | 2016-07-05 | Kla-Tencor Corporation | Methods and apparatus for determining focus |
US9070622B2 (en) * | 2013-09-13 | 2015-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Systems and methods for similarity-based semiconductor process control |
US10133191B2 (en) * | 2014-07-21 | 2018-11-20 | Asml Netherlands B.V. | Method for determining a process window for a lithographic process, associated apparatuses and a computer program |
KR102238708B1 (ko) | 2014-08-19 | 2021-04-12 | 삼성전자주식회사 | 리소그래피 공정의 초점 이동 체크 방법 및 이를 이용한 전사 패턴 오류 분석 방법 |
CN107077077B (zh) * | 2014-09-22 | 2019-03-12 | Asml荷兰有限公司 | 过程窗口识别符 |
US10866523B2 (en) | 2015-06-16 | 2020-12-15 | Asml Netherlands B.V. | Process window tracker |
US9910348B2 (en) * | 2015-06-30 | 2018-03-06 | Globalfoundries Inc. | Method of simultaneous lithography and etch correction flow |
US10008422B2 (en) * | 2015-08-17 | 2018-06-26 | Qoniac Gmbh | Method for assessing the usability of an exposed and developed semiconductor wafer |
US10699971B2 (en) * | 2015-08-17 | 2020-06-30 | Qoniac Gmbh | Method for processing of a further layer on a semiconductor wafer |
US9875534B2 (en) | 2015-09-04 | 2018-01-23 | Kla-Tencor Corporation | Techniques and systems for model-based critical dimension measurements |
US10394136B2 (en) | 2015-09-30 | 2019-08-27 | Asml Netherlands B.V. | Metrology method for process window definition |
WO2017080729A1 (en) * | 2015-11-13 | 2017-05-18 | Asml Netherlands B.V. | Methods for identifying a process window boundary |
KR102444706B1 (ko) * | 2015-12-22 | 2022-09-19 | 에이에스엠엘 네델란즈 비.브이. | 프로세스-윈도우 특성화를 위한 장치 및 방법 |
KR102371157B1 (ko) | 2016-05-30 | 2022-03-04 | 코벤터, 인크. | 3d 가상 제조 환경에서 전기적 거동 모델링을 위한 시스템 및 방법 |
US10197908B2 (en) | 2016-06-21 | 2019-02-05 | Lam Research Corporation | Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework |
TWI631415B (zh) * | 2016-07-01 | 2018-08-01 | 美商格羅方德半導體公司 | 同時微影及蝕刻校正流程之方法 |
CN106094423B (zh) * | 2016-08-22 | 2019-11-22 | 上海华力微电子有限公司 | 一种光刻工艺优化方法 |
WO2018072980A1 (en) * | 2016-10-21 | 2018-04-26 | Asml Netherlands B.V. | Methods of determining corrections for a patterning process |
CN110121681B (zh) * | 2016-12-28 | 2022-04-01 | Asml荷兰有限公司 | 在制造过程中引导过程模型和检查的方法 |
KR102314622B1 (ko) * | 2017-01-26 | 2021-10-20 | 에이에스엠엘 네델란즈 비.브이. | 공정 모델들을 조정하는 방법들 |
US10599046B2 (en) | 2017-06-02 | 2020-03-24 | Samsung Electronics Co., Ltd. | Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure |
US11144701B2 (en) | 2017-06-18 | 2021-10-12 | Coventor, Inc. | System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment |
KR102550350B1 (ko) | 2017-09-08 | 2023-07-04 | 에이에스엠엘 네델란즈 비.브이. | 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들 |
EP3627228A1 (en) | 2017-09-28 | 2020-03-25 | ASML Netherlands B.V. | Lithographic method |
KR102516045B1 (ko) | 2017-10-11 | 2023-03-30 | 에이에스엠엘 네델란즈 비.브이. | 패터닝 공정을 위한 최적화의 흐름 |
WO2019115426A1 (en) | 2017-12-13 | 2019-06-20 | Asml Netherlands B.V. | Prediction of out of specification physical items |
CN111512237B (zh) | 2017-12-22 | 2023-01-24 | Asml荷兰有限公司 | 基于缺陷概率的过程窗口 |
CN116482939A (zh) | 2017-12-22 | 2023-07-25 | Asml荷兰有限公司 | 涉及光学像差的图案化过程改进 |
WO2019158682A1 (en) | 2018-02-18 | 2019-08-22 | Asml Netherlands B.V. | Binarization method and freeform mask optimization flow |
CN117706864A (zh) | 2018-03-19 | 2024-03-15 | Asml荷兰有限公司 | 用于确定图案形成装置的曲线图案的方法 |
US11314172B2 (en) * | 2018-03-20 | 2022-04-26 | Asml Netherlands B.V. | Instant tuning method for accelerating resist and etch model calibration |
US10572697B2 (en) * | 2018-04-06 | 2020-02-25 | Lam Research Corporation | Method of etch model calibration using optical scatterometry |
US11921433B2 (en) | 2018-04-10 | 2024-03-05 | Lam Research Corporation | Optical metrology in machine learning to characterize features |
WO2019199697A1 (en) | 2018-04-10 | 2019-10-17 | Lam Research Corporation | Resist and etch modeling |
US11899374B2 (en) | 2018-05-07 | 2024-02-13 | Asml Netherlands B.V. | Method for determining an electromagnetic field associated with a computational lithography mask model |
WO2019233711A1 (en) | 2018-06-04 | 2019-12-12 | Asml Netherlands B.V. | Method for improving a process model for a patterning process |
US11544440B2 (en) * | 2018-06-15 | 2023-01-03 | Asml Netherlands B.V. | Machine learning based inverse optical proximity correction and process model calibration |
EP3588191A1 (en) | 2018-06-29 | 2020-01-01 | ASML Netherlands B.V. | Tuning patterning apparatus based on optical characteristic |
EP3594750A1 (en) | 2018-07-10 | 2020-01-15 | ASML Netherlands B.V. | Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images |
CN112424694B (zh) | 2018-07-12 | 2023-10-20 | Asml荷兰有限公司 | 利用图案识别以自动地改良sem轮廓测量准确度和稳定性 |
US20220351359A1 (en) | 2018-08-15 | 2022-11-03 | Asml Netherlands B.V. | Utilize machine learning in selecting high quality averaged sem images from raw images automatically |
TWI794544B (zh) | 2018-10-09 | 2023-03-01 | 荷蘭商Asml荷蘭公司 | 用於高數值孔徑穿縫源光罩最佳化之方法 |
CN112889004A (zh) | 2018-10-19 | 2021-06-01 | Asml荷兰有限公司 | 通过源和掩模优化创建理想源光谱的方法 |
US20210405539A1 (en) | 2018-11-05 | 2021-12-30 | Asml Holding N.V. | A method to manufacture nano ridges in hard ceramic coatings |
CN112969968A (zh) | 2018-11-08 | 2021-06-15 | Asml荷兰有限公司 | 基于过程变化度的空间特性对不合格的预测 |
EP3657257A1 (en) * | 2018-11-26 | 2020-05-27 | ASML Netherlands B.V. | Method for of measuring a focus parameter relating to a structure formed using a lithographic process |
KR20210082247A (ko) | 2018-11-30 | 2021-07-02 | 에이에스엠엘 네델란즈 비.브이. | 기계 학습 모델 예측 내의 불확실성을 감소시키기 위한 방법. |
EP3660744A1 (en) | 2018-11-30 | 2020-06-03 | ASML Netherlands B.V. | Method for decreasing uncertainty in machine learning model predictions |
KR102610060B1 (ko) | 2018-11-30 | 2023-12-06 | 에이에스엠엘 네델란즈 비.브이. | 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법 |
EP3663855A1 (en) | 2018-12-04 | 2020-06-10 | ASML Netherlands B.V. | Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices |
WO2020135946A1 (en) | 2018-12-28 | 2020-07-02 | Asml Netherlands B.V. | Method for generating patterning device pattern at patch boundary |
EP3906442A1 (en) | 2018-12-31 | 2021-11-10 | ASML Netherlands B.V. | Determining subset of components of an optical characteristic of patterning apparatus |
US10977405B2 (en) | 2019-01-29 | 2021-04-13 | Lam Research Corporation | Fill process optimization using feature scale modeling |
TWI738169B (zh) | 2019-01-29 | 2021-09-01 | 荷蘭商Asml荷蘭公司 | 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品 |
US11086230B2 (en) | 2019-02-01 | 2021-08-10 | Asml Netherlands B.V. | Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process |
NL2024815A (en) | 2019-02-19 | 2020-08-27 | Asml Holding Nv | Laser roughening: engineering the roughness of the burl top |
KR102642972B1 (ko) | 2019-02-27 | 2024-03-04 | 에이에스엠엘 네델란즈 비.브이. | 모델 캘리브레이션을 위한 게이지 선택의 향상 |
WO2020177979A1 (en) | 2019-03-03 | 2020-09-10 | Asml Netherlands B.V. | Method and apparatus for imaging using narrowed bandwidth |
US11846889B2 (en) | 2019-03-08 | 2023-12-19 | Asml Netherlands B.V. | Method and apparatus for diffraction pattern guided source mask optimization |
US11815820B2 (en) | 2019-03-21 | 2023-11-14 | Asml Netherlands B.V. | Training method for machine learning assisted optical proximity error correction |
EP3742229A1 (en) | 2019-05-21 | 2020-11-25 | ASML Netherlands B.V. | Systems and methods for adjusting prediction models between facility locations |
WO2020207696A1 (en) | 2019-04-09 | 2020-10-15 | Asml Netherlands B.V. | Systems and methods for adjusting prediction models between facility locations |
WO2020221556A1 (en) | 2019-04-30 | 2020-11-05 | Asml Netherlands B.V. | Method and apparatus for photolithographic imaging |
EP3734365A1 (en) | 2019-04-30 | 2020-11-04 | ASML Netherlands B.V. | Method and apparatus for photolithographic imaging |
WO2021004725A1 (en) | 2019-07-10 | 2021-01-14 | Asml Netherlands B.V. | Prediction data selection for model calibration to reduce model prediction uncertainty |
WO2021023602A1 (en) | 2019-08-08 | 2021-02-11 | Asml Netherlands B.V. | Method and apparatus for photolithographic imaging |
WO2021037484A1 (en) | 2019-08-30 | 2021-03-04 | Asml Netherlands B.V. | Semiconductor device geometry method and system |
WO2021043551A1 (en) | 2019-09-06 | 2021-03-11 | Asml Netherlands B.V. | Method for increasing certainty in parameterized model predictions |
EP3789923A1 (en) | 2019-09-06 | 2021-03-10 | ASML Netherlands B.V. | Method for increasing certainty in parameterized model predictions |
US20220404712A1 (en) | 2019-11-01 | 2022-12-22 | Asml Netherlands B.V | Machine learning based image generation for model base alignments |
CN114746806A (zh) | 2019-11-19 | 2022-07-12 | Asml控股股份有限公司 | 使用非均匀照射强度分布进行优化 |
WO2021110343A1 (en) | 2019-12-02 | 2021-06-10 | Cymer Inc. | Method and system for enhancing target features of a pattern imaged onto a substrate |
EP3848953A1 (en) | 2020-01-07 | 2021-07-14 | ASML Netherlands B.V. | High brightness electron source |
WO2021140020A2 (en) | 2020-01-07 | 2021-07-15 | Asml Netherlands B.V. | High brightness low energy spread pulsed electron source |
CN115087925A (zh) | 2020-02-12 | 2022-09-20 | Asml荷兰有限公司 | 包括使用经训练机器学习模型的光学邻近效应校正的用于确定掩模图案的方法 |
CN115104068A (zh) | 2020-02-21 | 2022-09-23 | Asml荷兰有限公司 | 用于以基于缺陷的过程窗口为基础的校准模拟过程的方法 |
EP3872567A1 (en) | 2020-02-25 | 2021-09-01 | ASML Netherlands B.V. | Systems and methods for process metric aware process control |
US20230107556A1 (en) | 2020-03-03 | 2023-04-06 | Asml Netherlands B.V. | Machine learning based subresolution assist feature placement |
CN115516381A (zh) | 2020-05-09 | 2022-12-23 | Asml荷兰有限公司 | 确定衬底上的图案的部分的指标 |
KR20230008778A (ko) | 2020-06-02 | 2023-01-16 | 에이에스엠엘 네델란즈 비.브이. | 마스크 디자인의 프리폼 곡선적 피처 검증 |
US20230185183A1 (en) | 2020-06-03 | 2023-06-15 | Asml Netherlands B.V. | Systems, products, and methods for generating patterning devices and patterns therefor |
JP2023529080A (ja) | 2020-06-10 | 2023-07-07 | エーエスエムエル ネザーランズ ビー.ブイ. | 収差影響システム、モデル、及び製造プロセス |
EP3951496A1 (en) | 2020-08-07 | 2022-02-09 | ASML Netherlands B.V. | Apparatus and method for selecting informative patterns for training machine learning models |
US20230273528A1 (en) | 2020-08-19 | 2023-08-31 | Asml Netherlands B.V. | Systems, products, and methods for image-based pattern selection |
KR20230051509A (ko) | 2020-08-19 | 2023-04-18 | 에이에스엠엘 네델란즈 비.브이. | 원시 이미지들로부터 고품질 이미지들을 자동으로 선택하는 장치 및 방법 |
CN116490824A (zh) | 2020-09-25 | 2023-07-25 | Asml荷兰有限公司 | 图案化过程的扫描仪生产率和成像质量的优化 |
US20230332669A1 (en) | 2020-09-30 | 2023-10-19 | Asml Netherlands B.V. | Vacuum system for mitigating damage due to a vacuum pump malfunction |
US20230393458A1 (en) | 2020-10-23 | 2023-12-07 | Asml Netherlands B.V. | Method for generating mask pattern |
KR20230117366A (ko) | 2020-12-18 | 2023-08-08 | 에이에스엠엘 네델란즈 비.브이. | 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법 |
WO2022135818A1 (en) | 2020-12-21 | 2022-06-30 | Asml Netherlands B.V. | Feature based cell extraction for pattern regions |
KR20230147096A (ko) | 2021-02-23 | 2023-10-20 | 에이에스엠엘 네델란즈 비.브이. | 타겟 패턴 및 기준 층 패턴을 사용하여 마스크에 대한 광 근접 보정을 결정하는 기계 학습 모델 |
KR20230154852A (ko) | 2021-03-08 | 2023-11-09 | 에이에스엠엘 네델란즈 비.브이. | 반도체 제조 관련 프로세스의 패턴 선택 방법 |
KR20240023521A (ko) | 2021-06-18 | 2024-02-22 | 에이에스엠엘 네델란즈 비.브이. | 기계 학습 모델을 사용하여 어시스트 피처들을 생성하기 위한 컴퓨터 판독가능한 매체 |
WO2022268434A1 (en) | 2021-06-23 | 2022-12-29 | Asml Netherlands B.V. | Etch simulation model including a correlation between etch biases and curvatures of contours |
KR20240029778A (ko) | 2021-07-06 | 2024-03-06 | 에이에스엠엘 네델란즈 비.브이. | 이미지 예측에서 기계 학습 모델을 개선하기 위한 국부적 이미지 예측 에러 결정 |
WO2023285071A1 (en) | 2021-07-13 | 2023-01-19 | Asml Netherlands B.V. | Pattern selection for source mask optimization and target optimization |
WO2023001459A1 (en) | 2021-07-21 | 2023-01-26 | Asml Netherlands B.V. | Systems and methods for thermally stable mounting of optical columns |
WO2023006346A1 (en) | 2021-07-30 | 2023-02-02 | Asml Netherlands B.V. | Method for generating mask pattern |
WO2023016752A1 (en) | 2021-08-10 | 2023-02-16 | Asml Netherlands B.V. | Match the aberration sensitivity of the metrology mark and the device pattern |
WO2023030807A1 (en) | 2021-09-02 | 2023-03-09 | Asml Netherlands B.V. | Method of evaluating selected set of patterns |
WO2023046385A1 (en) | 2021-09-22 | 2023-03-30 | Asml Netherlands B.V. | Pattern selection systems and methods |
CN113985705B (zh) * | 2021-10-18 | 2022-11-11 | 中国科学院微电子研究所 | 一种快速实现光刻系统精密校准的光学方法及装置 |
WO2023084063A1 (en) | 2021-11-15 | 2023-05-19 | Asml Netherlands B.V. | Generating augmented data to train machine learning models to preserve physical trends |
WO2023088649A1 (en) | 2021-11-17 | 2023-05-25 | Asml Netherlands B.V. | Determining an etch effect based on an etch bias direction |
WO2023088641A1 (en) | 2021-11-19 | 2023-05-25 | Asml Netherlands B.V. | Simulation model stability determination method |
WO2023110401A1 (en) | 2021-12-14 | 2023-06-22 | Asml Netherlands B.V. | Thermal control systems, models, and manufacturing processes in lithography |
WO2023110346A1 (en) | 2021-12-14 | 2023-06-22 | Asml Netherlands B.V. | Methods, software, and systems for determination of constant-width sub-resolution assist features |
WO2023131570A1 (en) | 2022-01-05 | 2023-07-13 | Asml Netherlands B.V. | Software, methods, and systems for determination of a local focus point |
WO2023169806A1 (en) | 2022-03-09 | 2023-09-14 | Asml Netherlands B.V. | Methods, systems, and software for determination of failure rates of lithographic processes |
WO2023180020A1 (en) | 2022-03-22 | 2023-09-28 | Asml Netherlands B.V. | Lithographic pattern representation with curvilinear elements |
WO2023222368A1 (en) | 2022-05-17 | 2023-11-23 | Asml Netherlands B.V. | Diffraction-based pupil determination for optimization of lithographic processes |
WO2024013038A1 (en) | 2022-07-12 | 2024-01-18 | Asml Netherlands B.V. | Stochastic-aware source mask optimization based on edge placement probability distribution |
WO2024017807A1 (en) | 2022-07-19 | 2024-01-25 | Asml Netherlands B.V. | Systems and methods for optimizing metrology marks |
WO2024037859A1 (en) | 2022-08-15 | 2024-02-22 | Asml Netherlands B.V. | Method for radiation spectrum aware souce mask optimization for lithography |
WO2024041831A1 (en) | 2022-08-25 | 2024-02-29 | Asml Netherlands B.V. | Modelling of multi-level etch processes |
Family Cites Families (29)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3339174B2 (ja) * | 1993-11-08 | 2002-10-28 | ソニー株式会社 | フォトマスクの製造方法、露光方法及び半導体装置の製造方法 |
JP2000232057A (ja) * | 1999-02-10 | 2000-08-22 | Hitachi Ltd | レジストパターンのシミュレーション方法およびパターン形成方法 |
JP2005513757A (ja) * | 2001-06-26 | 2005-05-12 | ケーエルエー−テンカー・コーポレーション | リソグラフィのフォーカスおよび露光を決定する方法 |
KR100576752B1 (ko) * | 2001-10-09 | 2006-05-03 | 에이에스엠엘 마스크툴즈 비.브이. | 2차원 피처모델 캘리브레이션 및 최적화 방법 |
JP2003164797A (ja) * | 2001-11-30 | 2003-06-10 | Japan Science & Technology Corp | 高分子溶液膜の塗布・乾燥方法 |
US6749972B2 (en) * | 2002-01-15 | 2004-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Optical proximity correction common process window maximization over varying feature pitch |
JP3971937B2 (ja) * | 2002-02-18 | 2007-09-05 | 株式会社日立ハイテクノロジーズ | 露光条件監視方法およびその装置並びに半導体デバイスの製造方法 |
US6954911B2 (en) * | 2002-05-01 | 2005-10-11 | Synopsys, Inc. | Method and system for simulating resist and etch edges |
WO2003104921A2 (en) * | 2002-06-07 | 2003-12-18 | Praesagus, Inc. | Characterization adn reduction of variation for integrated circuits |
US6828542B2 (en) * | 2002-06-07 | 2004-12-07 | Brion Technologies, Inc. | System and method for lithography process monitoring and control |
US7363099B2 (en) * | 2002-06-07 | 2008-04-22 | Cadence Design Systems, Inc. | Integrated circuit metrology |
US20030229875A1 (en) * | 2002-06-07 | 2003-12-11 | Smith Taber H. | Use of models in integrated circuit fabrication |
JP2004157160A (ja) * | 2002-11-01 | 2004-06-03 | Sony Corp | プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法 |
WO2004059394A2 (en) * | 2002-12-30 | 2004-07-15 | Koninklijke Philips Electronics N.V. | Determining lithographic parameters to optimise a process window |
US7352453B2 (en) * | 2003-01-17 | 2008-04-01 | Kla-Tencor Technologies Corporation | Method for process optimization and control by comparison between 2 or more measured scatterometry signals |
US7030966B2 (en) * | 2003-02-11 | 2006-04-18 | Asml Netherlands B.V. | Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations |
JP4364524B2 (ja) * | 2003-02-20 | 2009-11-18 | 株式会社日立製作所 | パターン検査方法 |
JP2005099765A (ja) * | 2003-08-28 | 2005-04-14 | Toshiba Corp | プロセス近接効果の予測モデルの作成方法、工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム |
US7003758B2 (en) * | 2003-10-07 | 2006-02-21 | Brion Technologies, Inc. | System and method for lithography simulation |
JP4166166B2 (ja) * | 2004-02-02 | 2008-10-15 | 株式会社東芝 | 露光投影像予測システム及び露光投影像予測方法 |
US7042551B2 (en) * | 2004-02-03 | 2006-05-09 | International Business Machines Corporation | Method of patterning process metrology based on the intrinsic focus offset |
US7791727B2 (en) * | 2004-08-16 | 2010-09-07 | Asml Netherlands B.V. | Method and apparatus for angular-resolved spectroscopic lithography characterization |
US7588868B2 (en) * | 2004-10-06 | 2009-09-15 | Cadence Design Systems, Inc. | Method and system for reducing the impact of across-wafer variations on critical dimension measurements |
US7470492B2 (en) * | 2004-10-29 | 2008-12-30 | Intel Corporation | Process window-based correction for photolithography masks |
US7224437B2 (en) * | 2005-05-31 | 2007-05-29 | Invarium, Inc | Method for measuring and verifying stepper illumination |
US7444615B2 (en) * | 2005-05-31 | 2008-10-28 | Invarium, Inc. | Calibration on wafer sweet spots |
US7853920B2 (en) * | 2005-06-03 | 2010-12-14 | Asml Netherlands B.V. | Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing |
US7488933B2 (en) * | 2005-08-05 | 2009-02-10 | Brion Technologies, Inc. | Method for lithography model calibration |
US7747978B2 (en) * | 2005-08-08 | 2010-06-29 | Asml Netherlands B.V. | System and method for creating a focus-exposure model of a lithography process |
-
2006
- 2006-08-02 US US11/461,994 patent/US7747978B2/en active Active
- 2006-08-02 WO PCT/US2006/030364 patent/WO2007019269A2/en active Application Filing
- 2006-08-02 KR KR1020087005687A patent/KR100958714B1/ko active IP Right Grant
- 2006-08-02 EP EP06789361A patent/EP1920369A2/en not_active Withdrawn
- 2006-08-02 CN CN2006800295124A patent/CN101258498B/zh active Active
- 2006-08-02 JP JP2008526083A patent/JP4806020B2/ja active Active
-
2010
- 2010-05-18 US US12/782,666 patent/US8065636B2/en active Active
-
2011
- 2011-05-27 JP JP2011119390A patent/JP5960953B2/ja active Active
- 2011-09-23 US US13/244,051 patent/US8245160B2/en active Active
Cited By (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007179056A (ja) * | 2005-12-27 | 2007-07-12 | Interuniv Micro Electronica Centrum Vzw | 減衰型の位相シフトマスクの製造方法およびこれにより得られるデバイス |
JP2010501120A (ja) * | 2006-08-17 | 2010-01-14 | インターナショナル・ビジネス・マシーンズ・コーポレーション | プロセス・モデルにおける光学効果とレジスト効果を分離する方法 |
JP2010541003A (ja) * | 2007-09-28 | 2010-12-24 | シノプシス, インコーポレイテッド | マスク角部円形化効果のモデル化によるプロセスモデル精度の向上 |
JP2012044222A (ja) * | 2007-12-05 | 2012-03-01 | Asml Netherlands Bv | リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム |
JP2009229479A (ja) * | 2008-03-19 | 2009-10-08 | Toshiba Corp | シミュレーションモデル作成方法、マスクデータ作成方法、及び半導体装置の製造方法 |
JP4568341B2 (ja) * | 2008-03-19 | 2010-10-27 | 株式会社東芝 | シミュレーションモデル作成方法、マスクデータ作成方法、及び半導体装置の製造方法 |
US10137643B2 (en) | 2008-06-03 | 2018-11-27 | Asml Netherlands B.V. | Model-based process simulation systems and methods |
JP2011522439A (ja) * | 2008-06-03 | 2011-07-28 | エーエスエムエル ネザーランズ ビー.ブイ. | モデルベースのプロセスシミュレーション方法 |
US10569469B2 (en) | 2008-06-03 | 2020-02-25 | Asml Netherlands B.V. | Model-based scanner tuning systems and methods |
US8571845B2 (en) | 2008-06-03 | 2013-10-29 | Asml Netherlands B.V. | Model-based scanner tuning systems and methods |
US8806387B2 (en) | 2008-06-03 | 2014-08-12 | Asml Netherlands B.V. | Model-based process simulation systems and methods |
US8874423B2 (en) | 2008-06-03 | 2014-10-28 | Asml Netherlands B.V. | Model-based scanner tuning systems and methods |
US9009647B2 (en) | 2008-11-06 | 2015-04-14 | Asml Netherlands B.V. | Methods and systems for lithography calibration using a mathematical model for a lithographic process |
US8418088B2 (en) | 2008-11-06 | 2013-04-09 | Asml Netherlands B.V. | Methods and system for lithography calibration |
JP2010114444A (ja) * | 2008-11-06 | 2010-05-20 | Brion Technologies Inc | リソグラフィ較正のための方法及びシステム |
US8694928B2 (en) | 2008-11-10 | 2014-04-08 | Asml Netherlands B.V. | Pattern selection for lithographic model calibration |
US8930172B2 (en) | 2008-11-10 | 2015-01-06 | Asml Netherlands B.V. | Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration |
US9672301B2 (en) | 2008-11-10 | 2017-06-06 | Asml Netherlands B.V. | Pattern selection for lithographic model calibration |
US10025885B2 (en) | 2008-11-10 | 2018-07-17 | Asml Netherlands B.V. | Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration |
JP2010117716A (ja) * | 2008-11-10 | 2010-05-27 | Brion Technologies Inc | リソグラフィモデル較正のためのパターン選択 |
US10846442B2 (en) | 2008-11-10 | 2020-11-24 | Asml Netherlands B.V. | Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration |
KR101763446B1 (ko) * | 2010-02-18 | 2017-07-31 | 케이엘에이-텐코 코포레이션 | 스마트 보간을 갖는 최적화된 샘플링 방식을 사용하여 공정 도구 교정 가능 요인을 제공하는 방법 및 시스템 |
US8893060B2 (en) | 2010-11-10 | 2014-11-18 | Asml Netherlands B.V. | Optimization of source, mask and projection optics |
US9619603B2 (en) | 2010-11-10 | 2017-04-11 | Asml Netherlands B.V. | Optimization of source, mask and projection optics |
JP2012104823A (ja) * | 2010-11-10 | 2012-05-31 | Asml Netherlands Bv | 光源、マスクおよび投影光学系の最適化 |
Also Published As
Publication number | Publication date |
---|---|
US20070031745A1 (en) | 2007-02-08 |
WO2007019269A2 (en) | 2007-02-15 |
CN101258498A (zh) | 2008-09-03 |
JP5960953B2 (ja) | 2016-08-02 |
US7747978B2 (en) | 2010-06-29 |
KR20080043335A (ko) | 2008-05-16 |
JP4806020B2 (ja) | 2011-11-02 |
JP2011205118A (ja) | 2011-10-13 |
WO2007019269A3 (en) | 2007-11-08 |
EP1920369A2 (en) | 2008-05-14 |
CN101258498B (zh) | 2011-04-13 |
US8245160B2 (en) | 2012-08-14 |
US20100229147A1 (en) | 2010-09-09 |
KR100958714B1 (ko) | 2010-05-18 |
US8065636B2 (en) | 2011-11-22 |
US20120017183A1 (en) | 2012-01-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4806020B2 (ja) | リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体 | |
US11461532B2 (en) | Three-dimensional mask model for photolithography simulation | |
US7488933B2 (en) | Method for lithography model calibration | |
JP5008681B2 (ja) | リソグラフィシミュレーションのための装置 | |
KR101096145B1 (ko) | 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들 | |
TWI466171B (zh) | 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法 | |
US8279409B1 (en) | System and method for calibrating a lithography model | |
US7293249B2 (en) | Contrast based resolution enhancement for photolithographic processing | |
JP5414455B2 (ja) | リソグラフィモデル較正のためのパターン選択 | |
US7873504B1 (en) | Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout | |
CN111512237A (zh) | 基于缺陷概率的过程窗口 | |
JP2004133426A (ja) | 自動光近接補正(opc)ルール作成 | |
US9779186B2 (en) | Methods for performing model-based lithography guided layout design | |
KR20190026887A (ko) | 디자인 레이아웃들의 컴퓨터 분석의 성능 메트릭 시각화 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20100430 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20110210 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110216 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20110516 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20110523 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110527 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110607 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20110715 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20110811 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 Ref document number: 4806020 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140819 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |