JP2011522439A - モデルベースのプロセスシミュレーション方法 - Google Patents

モデルベースのプロセスシミュレーション方法 Download PDF

Info

Publication number
JP2011522439A
JP2011522439A JP2011512549A JP2011512549A JP2011522439A JP 2011522439 A JP2011522439 A JP 2011522439A JP 2011512549 A JP2011512549 A JP 2011512549A JP 2011512549 A JP2011512549 A JP 2011512549A JP 2011522439 A JP2011522439 A JP 2011522439A
Authority
JP
Japan
Prior art keywords
model
scanner
difference
contour
simulated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011512549A
Other languages
English (en)
Other versions
JP5913979B2 (ja
Inventor
イエ,ジュン
カオ,ユ
グーセンス,ロナルド
シャオ,ウェンジン
コーンメン,ジム
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2011522439A publication Critical patent/JP2011522439A/ja
Application granted granted Critical
Publication of JP5913979B2 publication Critical patent/JP5913979B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C64/00Additive manufacturing, i.e. manufacturing of three-dimensional [3D] objects by additive deposition, additive agglomeration or additive layering, e.g. by 3D printing, stereolithography or selective laser sintering
    • B29C64/30Auxiliary operations or equipment
    • B29C64/386Data acquisition or data processing for additive manufacturing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70458Mix-and-match, i.e. multiple exposures of the same area using a similar type of exposure apparatus, e.g. multiple exposures using a UV apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging

Abstract

差分モデルを用いたリソグラフィシミュレーションである。差分モデルは、調整可能なおよび非調整可能な設定に関連する2つのスキャナの結像特性における差を記述する。2つのスキャナのうちの一方のスキャナのモデルは、もう一方のスキャナのモデルと差分モデルとを用いて導出される。同様に、感度モデルは、様々なスキャナ設定に関連する1つのスキャナの結像特性における差を記述する。さらに、プリント結果との比較によって差分モデルと感度モデルを較正する方法が提供される。
【選択図】 図8

Description

関連出願への相互参照
[0001] 本願は、2008年12月30日に出願した米国仮特許出願第61/141,578号、2009年1月2日に出願した米国仮特許出願第61/142,305号、2008年6月3日に出願した米国仮特許出願第61/058,511号、および2008年6月3日に出願した米国仮特許出願第61/058,520号の優先権を主張し、これらの出願は、その全体を参考として本明細書に明白に組み込む。
[0002] 本発明は、概して、モデルベースのスキャナ調整および最適化を行うシステムおよび方法、より具体的には複数のリソグラフィシステムの性能の最適化に関する。
[0003] リソグラフィ装置は、集積回路(「IC」)の製造に用いることができる。マスクが、ICの個々の層に対応する回路パターンを含み、このパターンは、放射線感応レジスト材料層で被覆されているシリコンウェーハの基板上の1つ以上のダイを含むターゲット部分上に結像される。一般に、単一のウェーハは、1つずつ投影システムを介して連続的に照射される隣接するターゲット部分のネットワークを含む。通常ウェーハステッパと呼ばれる1つのタイプのリソグラフィ投影装置では、各ターゲット部分は、マスクパターン全体を1回のパスでターゲット部分上に露光することにより照射される。ステップ・アンド・スキャン装置では、各ターゲット部分は、投影ビームによってある所与の基準または「スキャン」方向にマスクパターンを進行的にスキャンすると同時に、この方向に平行または逆平行に基板テーブルを同期スキャンすることにより照射される。拡大係数M(通常<1)を有する投影システムでは、基板テーブルがスキャンされる速度Vは、マスクテーブルがスキャンされる速度のM倍である。本明細書に記載するようなリソグラフィデバイスの詳細は、例えば本明細書に参考として組み込む米国特許第6,046,792号から取り出せる。
[0004] リソグラフィ投影装置を用いた製造プロセスでは、マスクパターンは、放射線感応レジスト材料層によって少なくとも部分的に覆われた基板上に結像される。この結像ステップの前に、基板には、プライミング、レジストコーティング、およびソフトベークといった様々な処置が施されうる。露光後、基板には、ポストベーク(「PEB」)、現像、ハードベーク、および結像されたフィーチャの測定/検査といった他の処置が施されうる。この一連の処置は、例えばICであるデバイスの個々の層にパターン形成するための基礎として用いられる。次にこのようなパターン形成された層は、エッチング、イオン注入またはドーピング、メタライゼーション、酸化、化学機械研磨等の様々なプロセスを経て個々の層が仕上げられる。幾つかの層が必要である場合、これらの手順およびその変形が、新しい層の各々に対して繰り返されなければならない。最終的に、基板ウェーハ上に一連のデバイスが存在することになる。次にこれらのデバイスはダイシングおよびソウイングといった技術によって互いから切り離され、個々のデバイスを、例えばキャリア上に取り付けてもピンに接続してもよい。
[0005] 投影システム(以下「レンズ」と呼ぶ)は、例えば屈折型光学部品、反射型光学部品、および反射屈折システムを含む様々なタイプの投影システムを包含し、また、1つ以上のレンズを含んでもよい。レンズはさらに、投影放射ビームの誘導、整形、または制御に用いられる放射システムのコンポーネントを含んでもよい。さらに、リソグラフィ装置は、2つ以上の基板テーブルおよび/または2つ以上のマスクテーブルを有するタイプであってもよい。このようなマルチステージデバイスでは、追加のテーブルを並行して用いてもよく、および/、または、予備ステップを特定のテーブルで行い、その一方で他のテーブルを露光に用いてもよい。ツインステージリソグラフィ装置が、例えば本明細書に参考として組み込む米国特許第5,969、441号に開示される。
[0006] 上述したフォトリソグラフィマスクは、シリコンウェーハ上に集積される回路コンポーネントに対応する幾何学パターンを含む。かかるマスクを作成するために用いられるパターンは、コンピュータ支援設計(CAD)プログラムを用いて生成され、このプロセスは、多くの場合、電子設計自動化(「EDA」)と呼ばれる。多くのCADプログラムは、所定のデザインルールを含むセットに従って機能的なマスクを作成する。これらのルールは処理およびデザイン制限によって設定される。例えば、デザインルールは、ゲート、コンデンサ等の回路デバイスまたは相互接続線間の空間許容範囲を定義し、それにより、回路デバイスまたは線が互いに望ましくない方法で相互作用しないことを確実にする。このデザインルール制限は、クリティカルディメンション(「CD」)と呼ばれる。回路のCDは、線または孔の最小幅、または、2線または2孔間の最小空間として定義できる。したがって、CDによって、設計された回路の外形寸法および密度が決定される。当然ながら、集積回路製造における目標の1つは、マスクを介してウェーハ上に元の回路デザインを忠実に再現することである。
[0007] 一般に、例えばスキャナといった異なるタイプのリソグラフィシステムを用いて所与のパターンを結像するために共通のプロセスを用いて、各リソグラフィシステムに必要な設定を決定する相当量の時間とリソースを費やすことなく最適な/許容できる結像性能を達成することが有益でありうる。設計者およびエンジニアは、特定のスキャナに対してプロセスを最初にセットアップする際に、また、所定のデザイン要件を満たす像を得るために、開口数(「NA」)、σin、σout等を含むリソグラフィシステムの最適設定を決定するのに相当量の時間とリソースを費やしうる。多くの場合、トライアル・アンド・エラープロセスが使用される。このプロセスにおいてスキャナ設定が選択されて所望のパターンが結像された後、出力像が指定の許容範囲内にあるかどうかを判断するために測定される。出力像が許容範囲外の場合、スキャナ設定は調節され、パターンが再び結像されて測定される。このプロセスは、結果として得られる像が指定の許容範囲内となるまで繰り返される。
[0008] しかし、基板上に結像される実際のパターンは、パターンを結像する際に様々なスキャナによって示される様々な光近接効果(「OPE」)によって、スキャナが同一のモデルタイプであったとしても、スキャナ毎に異なりうる。例えば、特定のスキャナに関連付けられる様々なOPEによって、ピッチを介してCD変動がもたらされうる。したがって、スキャナを切り替えて同一の結像パターンを得ることは、多くの場合、不可能である。したがって、エンジニアは、デザイン要件を満たす、結果として得られる像が得られる期待を込めて、パターンをプリントするために新しいまたは異なるスキャナが用いられる場合に、その新しいスキャナを最適化または調整しなければならない。現在では、費用および時間のかかるトライル・アンド・エラープロセスが一般に用いられてプロセスおよびスキャナが調節されている。
[0009] 本発明の特定の実施形態は、タイプ、ユニット、または設定間でのスキャナの差のシミュレーションのためのシステムおよび方法を含む。一実施形態では、かかる方法は、調整可能パラメータのセットに対する感度を定義するスキャナのモデルを較正することを含む。別の実施形態では差分モデルが較正される。差分モデルは、基準スキャナ、スキャナ内(in-scanner)測定結果、および/またはウェーハメトロロジからのターゲットスキャナの偏差を表す。
[0010] ある実施形態では、モデルベースのプロセスシミュレーションは、基準スキャナの性能に対する、関連スキャナ群の性能を定義することを含む。スキャナ群には、一社の供給業者によって製造され、同じモデルタイプに属するスキャナが含まれうる。スキャナ群には、様々な供給業者によって製造されるスキャナが含まれてよく、これらのスキャナは少なくとも幾つかの機能的に同様の要素を含む。
[0011] 本発明の特定の実施形態は、物理スキャナに対して調整モデルを用いてチップ全体のシミュレーションを高める。これらの実施形態のうちの幾つかは、調整可能パラメータのセットに対するスキャナの感度を特定するモデルを維持し、そのモデルを用いて、スキャナ設定の変化に応じたクリティカルディメンションにおける変化をシミュレートする。シミュレートされたウェーハ輪郭のセットが得られるが、これらのシミュレートされたウェーハ輪郭は分析されて所定のクリティカルディメンションの仮想測定結果を提供する。これらの実施形態のうちの幾つかでは、シミュレートされたチップにおいて特定されたクリティカルディメンション違反は、基準スキャナの物理的調整をエミュレートするような方法で基準モデルを調整することによって対処されることが可能である。シミュレーション、仮想測定結果の計算、および調整の反復は、仮想測定結果が、所望のまたは期待の測定結果のセットに十分に収束するまで行うことができる。収束は、クリティカルディメンション違反、許容範囲違反、およびチップの設計者によって設定される優先順位を熟慮することによって示されうる。
[0012] ある実施形態では、仮想測定結果を含むシミュレーション結果が、設計者、および/または、マスクレイアウトシステムといったデザインシステムに供給されうる。このシミュレーション結果は、完全には除去することのできない、チップデザインにおけるホットスポットを特定しうる。その場合、仮想測定結果を物理スキャナから得られた実際の測定結果として考えることにより、新しいチップデザインが作成されうる。シミュレーション結果はさらに、シミュレーション結果を生成するように構成される場合にスキャナの調整制約を特定してよく、これらの制約は、チップの再設計にさらに影響を及ぼしうる。
[0013] 特定の実施形態では、チップデザインは、複数のスキャナでの製造可能性のためにシミュレートされうる。他のスキャナに対応する差分モデルは、基準スキャナと他のスキャナとの差を分類する較正および感度情報を提供できる。チップデザインをシミュレートおよび変更して、複数のスキャナのうちのどのスキャナを調整しても生産時に所望の生産量が得られることを確実にすることができる。
[0014] 本発明の態様は、モデル較正と調整との分離を可能にし、また、差分モデル較正方法を提供する。シミュレーション時に特定された、デザインにおけるホットスポットを、調整量計算に含めることができる。OPC検証に基づいた感度(閾値)設定方法を含む、全体的な適用固有の調整および検証を定義することができる。
[0015] 本発明自体は、更なる目的および利点と共に、以下の詳細な説明および添付の概略図を参照してより理解できるであろう。
[0016] 図1は、本発明の特定の態様によるリソグラフィモデルを示す。 [0017] 図2は、本発明の特定の態様によるリソグラフィモデルを較正するための基本手順を示す。 [0018] 図3は、本発明の特定の態様による差分リソグラフィモデルを生成し、調節し、かつ最適化するためのプロセスを示す。 [0019] 図4は、本発明の特定の実施形態による、スキャナメトロロジによって補完されたスキャナモデルからの光学パラメータをシミュレートし予測するためのプロセスの一例を示す。 [0020] 図5は、本発明の特定の態様による感度モデリングを示す。 [0021] 図6は、本発明の特定の態様による複数のスキャナのための差分モデルの較正のためのプロセスを示す。 [0022] 図7は、本発明の特定の実施形態における、ベースモデルパラメータと導出モデルのパラメータとの関係を図示する。 [0023] 図8は、本発明の特定の態様による差分モデルからのシミュレートされた輪郭の生成を示す。 [0024] 図9は、本発明の特定の態様によるコンピュータシステムを示すブロック図である。 [0025] 図10は、本発明の特定の態様によるリソグラフィ投影システムを概略的に示す。
[0026] 本発明の実施形態を、図面を参照して以下に詳述するが、これらは、当業者が本発明を実施できるように例示的な例として与えたものである。特に、以下の図面および実施例は、本発明の範囲を単一の実施形態に限定することを意味するものではなく、他の実施形態も、説明するまたは例示する要素の一部または全部を交換することによって可能である。便宜上、同じ参照番号を、図面全体を通して用いて同じまたは同様の部分を指す。これらの実施形態の特定の要素が公知のコンポーネントを用いて部分的にまたは全体的に実施することができる場合は、かかる公知のコンポーネントの本発明を理解するために必要な部分のみについて説明し、かかる公知のコンポーネントの他の部分の詳細な説明は、本発明を不明瞭としないために省略する。本明細書では、単数のコンポーネントを示す実施形態を限定的に解釈すべきではなく、むしろ、本発明は、特に明記されない限り、複数の同じコンポーネントを含む、または、単一のコンポーネントを含む他の実施形態も包含することを意図する。さらに、出願人は、特に明記されない限り、明細書または特許請求の範囲における任意の用語が稀なまたは特殊な意味を有するものとみなすことは意図しない。さらに、本発明は、本明細書において例示的に言及するコンポーネントの現在および将来の公知の等価物を包含する。
[0027] 本発明の特定の実施形態では、フルチップウェーハのシミュレーションおよび検証が、スキャナ調整のためのフルチップウェーハ測定に対する代案または補完として使用される。シミュレーション時に用いられるモデルは、感度モデルおよび差分モデルを含みうる。感度モデルは、調整入力に応じた(すなわち、ノブが回されると)スキャナの結像挙動における変化を記述する。差分モデルは、既知の設定下でのリソグラフィプロセスの挙動における差を記述しかつパラメータ化する。差分モデルの較正には、ジョーンズ瞳(Jones pupil)、イルミネータマップ等のスキャナセンサデータ、およびウェーハメトロロジデータが使用される。
[0028] 図1は、本発明の特定の態様によるリソグラフィモデル10を示す。リソグラフィモデルは、マスクモデル100、光学モデル102、およびレジストモデル104を含む。ある実施形態では、リソグラフィモデルはさらに、簡潔にするために図示していないエッチングモデルも含む。マスクモデルは複数のマスクパラメータ120における変化によってもたらされる変動を反映し、光学モデル102は光学パラメータ122における変化によって影響を受け、レジストモデル104はレジストパラメータ124の設定によって制御されうる。モデル10は、レジスト輪郭164、または、エッチングモデルコンポーネントが含まれる場合は、マスクデザイン140から生成されうるエッチング後輪郭を予測するように用いられうる。マスクパラメータ120によって構成されるマスクモデル100は、予測マスク像160を生成し、この像は、光学モデル102に供給されると、光学パラメータ122に基づいた、シミュレートされた光学像162を生成する。レジストモデル104は、レジストパラメータ124によって構成され、シミュレートされた光学像162からレジスト輪郭164を予測するように用いられうる。エッチングパラメータによって構成されるエッチングモデルが含まれる場合、エッチングモデルは、レジスト輪郭164からエッチング後輪郭を予測するように用いられうる。
[0029] 光学パラメータ122は、調整可能なパラメータと非調整可能なパラメータを含み、「調整可能なパラメータ」とは、NA(開口数)といったスキャナ上で調節可能なノブを指し、「非調節可能なパラメータ」とは、典型的なスキャナデザインのジョーンズ瞳(Jones pupil)といった調節できないスキャナパラメータを指す。本発明の方法論は、どのパラメータがスキャナ上で調整可能であるかまたは調整不可能であるかには依存しない。モデル較正のために、非調整可能および調整可能なパラメータは共に、モデルによって生成される像が基準スキャナによって生成される実際の結像結果と一致するまで調節できる。モデル較正におけるパラメータの調節は、調整可能性ではなく、これらのパラメータの知識の度合いに影響を受ける。例えば、照明瞳の正確な測定結果がスキャナメトロロジを介して入手可能であるならば、更なる調節なしで、この測定結果をモデル較正に直接用いることができる。その一方で、スキャナメトロロジを介する直接測定のないパラメータは、ウェーハデータに合うように最適化される。スキャナメトロロジ測定は、集積レンズ干渉計を用いて行うことができる。一実施形態では、集積レンズ干渉計は、波面センサであり、また、フィールドポイント毎のレンズ収差を測定するように用いられる。波面センサは、シアリング干渉分光法の原理に基づき、放射源モジュールおよびセンサモジュールを含む。放射源モジュールは、投影システムの対物面内に置かれるパターン形成されたクロム層を有し、また、クロム層上に設けられた追加の光学部品を有する。この組み合わせは、放射波面を、投影システムの瞳全体に与える。センサモジュールは、投影システムの像面内に置かれるパターン形成されたクロム層と、かかるクロム層から幾分離れて置かれるカメラを有する。センサモジュール上のパターン形成されたクロム層は、放射を、互いに干渉してインターフェログラムを生じる幾つかの回折次数に回折する。このインターフェログラムはカメラによって測定される。投影レンズにおける収差は、測定されたインターフェログラムに基づいてソフトウェアによって決定されうる。
[0030] 図2は、リソグラフィモデル222の較正のための基本手順を示す。1つ以上のマスクデザイン200を較正のために用いてよい。マスクデザイン200は、ある実施形態では較正専用に作成されうるが、他の実施形態は、生産使用のために作成されたマスクデザインを用いて較正する。リソグラフィモデル222に用いられるモデル化されたマスク、光学およびレジストパラメータ220は、リソグラフィプロセス242に用いられるマスク、光学部品、およびレジスト効果240を反映するように選択される。結果として得られるシミュレートされたレジスト輪郭224および測定されたレジスト輪郭244を比較して分析することができ、また、パラメータ220は、シミュレートされた輪郭と測定された輪郭との差を最小限とするように最適化されうる。分析は、以下に詳述する費用関数260を用いて行われうる。
[0031] 特定の実施形態では、モデル較正プロセスは、ウェーハメトロロジ(CD−SEM測定結果および輪郭、スキャトロメトリ等)および(設計されたまたは測定された)スキャナデータの両方を含む、すべての測定結果およびこれらの測定結果の各々の不確かさを考慮しかつバランスをとって最大尤度問題として公式化される。特定の実施形態では、較正プロセスは反復プロセスであり、それにより、モデルパラメータは、実際のウェーハデータに十分に近いと判断される、モデルによって生成される結像結果を与える較正が得られるように繰り返し調節される。所定のエラー基準が確立されるか、および/または、「可能な限りベストマッチ」のための基準が定義または定量化されうる。特定の実施形態では、スキャナの結像性能をシミュレートするために、例えば米国特許第7,003,758号のシステムおよび方法によって提供されるようなモデルを含む任意の好適なモデルを用いることができる。
絶対精度対差分精度
[0032] 従来のモデルベースのOPC適用では、公称露光条件における、通常はCD−SEM測定結果に対して絶対予測精度に主に重点を置いていた。プロセスウィンドウに亘るOPC検証およびプロセスウィンドウ認識OPCの出現によって、重点は、プロセスウィンドウに亘る予測精度も対象とするよう拡張された(米国特許出願第11/461,994号、「System and Method For Creating a Focus-Exposure Model of Lithography Process」)。しかし、性能指数は測定されたCDと予測されたCDとの間で差が残る。
[0033] 重点は、マッチングおよび性能最適化を含むモデルベースのスキャナ調整に対して必ずしも異ならない。関心の量は、スキャナ設定変更、スキャナ毎の差、および/またはプロセス毎の差によって引き起こされるCD差を含む。この量は、通常、およそ数ナノメートル以下で測定可能であり、これは、典型的なOPCモデルの絶対精度に匹敵する。このような差をモデル化し、シミュレートし、および予測することは、OPCモデリングに必要な要件に比べてモデル精度に様々な要件を課す。本発明の特定の実施形態は、これらの様々な要件に対処しかつこれらを満足する新規のアルゴリズムを使用する。
[0034] 図3は、差分リソグラフィモデル322を生成し、調節し、かつ最適化するためのプロセスを示す。マスクデザイン300が、プロセス条件のセット340での、複数のスキャナによる処理342およびスキャナのモデルを用いたシミュレーション322のために提出される。シミュレートされたレジスト輪郭324を、物理的に生成されたレジスト輪郭344に対して分析しうる。複数のスキャナに関連付けられた1つの差分モデルまたは複数のモデルを正確に特徴付けることができるモデルを得るために、費用関数360(以下に記載する)を用いてモデルパラメータ320を調節できる。
[0035] 正確な差分モデルは依然として正式に、レジスト現像後またはエッチング後のいずれかで、ウェーハ上のパターン輪郭をシミュレートする。しかし、このようなモデルの目標は、必ずしも絶対CD精度ではなく、むしろ、スキャナ間の差を明らかにするか、またはアクティブスキャナ調整の効果をシミュレートするために1つ以上のモデルパラメータが摂動された場合に、CD変化または輪郭変化を予測する際の精度である。したがって、シミュレーションは2つのパス、すなわち、パラメータ摂動なしのパスおよびパラメータ摂動ありのパスを必要としうる。所与のパターンiに対する関心の量は、

である。
導出モデルの生成
[0036] 十分な差分精度を有するモデル(「差分モデル」)が利用可能であることを前提とすると、本発明の特定の態様は、差分モデルおよびベースモデルに基づいた導出モデルの生成を容易にする。特定の実施形態では、ベースモデルは摂動前モデルと同じであり、この場合、導出モデルは摂動後モデルと同じになる。これらの実施形態では、導出モデルは、摂動されたモデルを用いた1回の結像シミュレーションのみを必要とする。他の実施形態では、ベースモデルは摂動前モデルとは異なり、この場合、導出モデルはベースモデル、摂動されていないモデル、および摂動されたモデルのそれぞれを用いた3つの結像シミュレーションが必要となる。後者の実施形態の一例では、ベースモデルはOPCモデルであってよい。
感度モデリング
[0037] 図4は、スキャナモデル402およびスキャナメトロロジ404を介した光学パラメータ420へのノブ設定400の影響を示す。特定の光学パラメータは、利用可能なまたは使用されたスキャナノブにおける変化によって影響を受けず、したがって、スキャナメトロロジによって完全に固定できる。この例としては、帯域幅制御のないレーザが取り付けられたスキャナ用のレーザスペクトルが挙げられる。その他の場合では、光学パラメータは、ノブ変化によって影響を受け、また、スキャナモデル402およびスキャナメトロロジ404の組み合わせから導出することができる。例えば、照明瞳は、特定のタイプのスキャナに関する、NAおよびシグマの変化、ならびに、楕円率設定を含む他の変化によって影響を受ける。したがって、照明瞳は、スキャナモデルと組み合わせた瞳測定結果を用いて予測されうる。
[0038] 図5は、1つのスキャナでの設定変更に応じて任意のパターンに対する結像変化(すなわち、クリティカルディメンション変化また輪郭変化)を予測する一方で、リソグラフィプロセスの他のすべての面は変らないままにしておくことを含む、本発明の基本的な態様を示す。説明する一例では、一連のN回のシミュレーションが行われ、各シミュレーションによって、シミュレートされた条件下で利用可能なまたは生成される測定された輪郭560〜562に(各々)対応するシミュレートされた輪郭540〜542が生成される。各シミュレーションは、スキャナモデル510によって使用される異なるノブ設定のセット500〜502によって区別されうる。スキャナモデル510は、スキャナメトロロジ512からの入力を用いて任意選択的に生成されうる光学パラメータ520〜522を生成し、これらの光学パラメータ520〜522は、各々のシミュレートされた輪郭540〜542を生成するように用いられる。シミュレートされた輪郭540〜542および測定された輪郭560〜562は分析されて、モデルパラメータ572を生成し、較正し、かつ最適化する。一例では、シミュレートされた輪郭および測定された輪郭は、費用関数570を用いて数学的に処理されうる。
[0039] 象徴的な意味において、感度モデリングの目標は、ノブ変化Δkに応じてパターンiについてのCD変化ΔCDを予測することである。通常のスキャナ調整適用では、調整量が少ないので線形モデルが妥当に良好に機能するが、本発明は線形モデルのシナリオに決して限定されない。したがって、線形モデルが適用可能である場合には、

であり、感度モデルの目標は、マスクパターンiを考慮して、偏導関数

を計算することである。導関数の連鎖法則によって

である。このとき、pはスキャナモデルにおける物理的パラメータを示す。したがって、第1の因数

はリソグラフィ結像モデルに関係し、第2の因数

はスキャナモデルに関係することが明らかである。
[0040] より一般的な非線形の場合では、物理的性質およびモデルは

と表すことができる。レジスト、光学、およびスキャナの物理的性質は、別個のモデリングコンポーネントとして表すことができる。感度モデルの精度は、リソグラフィモデル(光学およびレジスト)およびスキャナモデル510の両方の精度に依存する。
[0041] レジストモデルは経験によるものであっても、または、レジストプロセスの物理的性質および化学的性質に基づくものであってよい。光学モデルは通常は物理モデルであり、かつ第一原理に基づき、シミュレーション時間を減少するようにマスクによるEM放射の3D散乱といった特定の影響の近似処理の可能性を有する。例えば、光学相互作用範囲(optical interaction range)の打切り(有限区域とも知られる)、または、ホプキンズ(Hopkins)手法におけるTCC固有級数の打切りを含む、他の近似も可能である。スキャナモデル150は、スキャナの物理的な検討事項およびデザイン知識に基づくことができる。スキャナモデルには様々なレベルの厳密さ(rigor)も存在しうる。例えば、光線追跡に基づくモデルは、非常に正確な瞳の予測を作成できるが、計算上非常に高価となる傾向がある。厳密モデルまたは測定結果に対して較正を行うことによって近似およびより経験的なモデルを構築しうる。
[0042] 感度モデル精度の概念は、モデルの分離可能性に密接に関連し、共に、様々なスキャナ設定に対する結像予測に関連する。例えば米国特許出願第11/461,929号、および第11/530,402号を参照されたい。OPC型の適用では、分離可能なモデルは、プロセスウィンドウ(通常焦点および露光)に亘る予測精度、および、露光設定が変更された場合のモデル較正のターンアラウンドタイムの減少のために望ましい。リソグラフィモデルは、通常、光学モデル、レジストモデル、および、時にエッチングモデル、を含み、分離可能性は様々なモデルステップ間で強調される。
[0043] スキャナ調整のための感度モデルの1つの差別化ファクタは、スキャナデザインの詳細な知識を必要とする予測スキャナモデルの組み込みである。スキャナモデル510の1つの例示的なコンポーネントは、イルミネータ・プレディクタモデルであり、これは、照明光学部品をシミュレートし、かつ、レチクル面における照明を予測する。感度モデリングの文脈では、このモデルは、NA、シグマ、およびPUPICOM設定といった露光設定における変更された状態でのイルミネータにおける変更を予測する。
[0044] モデルの分離可能性はさらに、レジストプロセスが同じであるかまたは複数のスキャナに対して十分に近い場合にかかる複数のスキャナ間で、正確に較正されたレジストモデルを移植することを可能にし、この較正されたレジストモデルは、1つのスキャナを用いたリソグラフィプロセスからの正確に較正された感度モデルの一部である。この柔軟性は、レジストモデルは光学およびスキャナモデルよりもより経験による傾向があり、したがって、ウェーハベースの較正からのより多くの制約を必要とするので、実用上重要である。したがって、レジストモデルの移植は、ウェーハメトロロジの効率のよい使用を可能にする。スキャナモデル510および光学モデルは、第一原理および既知の物理的性質により多く基づき、ウェーハ測定結果にはあまり依存しない。
[0045] 他の実施形態では、リソグラフィプロセスは、レジスト部分において実質的に異なる。例えば、1つのプロセスは液浸リソグラフィを使用し、別のプロセスは液浸リソグラフィを使用しない。これらの2つのプロセスは、通常、完全に異なるレジスト材料およびフィルムスタックを使用する。この例では、レジストモデルは、2つのプロセス間で移植できず、また、レジスト効果が実質的に異なるので、感度モデルを別個に構築する必要がある。
[0046] 感度モデルの較正のために、幾つかの実施形態は、ジョーンズ瞳(Jones pupil)、ステージ振動、色収差による焦点ずれ、レーザスペクトル等といった詳細なスキャナデータを含む。特定の実施形態では、感度モデルの較正には、複数のスキャナ設定、または、公称条件kを加えた摂動された条件(k+Δk)におけるウェーハメトロロジデータを取り込むことが必要である。1つ以上のノブを、各摂動された条件に対して変更しうる。感度モデル較正の費用関数は、

であり、このとき、第1項は、モデルとウェーハの加重RMS差を介して絶対精度を定量化し、第2項は、モデル予測されたCD変化をウェーハ測定されたものに対して比較して感度精度を定量化する。絶対精度と感度精度の相対加重は調節できる。RMSの代わりに、範囲(最大値‐最小値)またはLPノルムといった他の計量を用いることもできる。その場合、較正は、多くの場合、制約を受ける最適化問題にすることができる。
[0047] 較正された感度モデルは、フルチップレベルに適用されて、チップデザインにおいて生じるすべてのパターンについて結像差を予測する。
[0048] なお、感度モデルは、OPCまたはさらにはOPC検証に用いられるリソグラフィモデルと同じであっても異なってもよい。特定の実施形態では、感度モデルは、マスク、スキャナ光学部品、およびレジストに関連するOPCモデルより多くの、リソグラフィプロセスの知識を使用する。例えば、特定の実施形態では、OPCモデルは、マスク回折のための薄マスクまたはキルヒホッフ(Kirchhoff)境界条件、小さい光学相互作用範囲、および/またはTCC固有級数展開からの少ない項数を有する、公称または理想光学部品のみを使用する。これらのモデリング手法は、感度モデリングの精度要件には不十分でありうる。したがって、特定の実施形態では、感度モデルは、スキャナ光学部品、3Dマスク回折、より大きい光学相互作用範囲、および/またはより大きいTCC項数に関するより正確な情報を使用する。感度モデルの較正のために用いられるテストパターンは、OPCまたはOPC検証モデルに用いられるものと同じでも異なっていてもよい。
[0049] 特定の実施形態では、感度モデルは、例えばOPCモデルである異なるベースモデルと組み合わされて新しい導出モデルを形成しうる。この新しい導出モデルは、差分モデルからのデルタCDまたは輪郭エッジ位置を、ベースモデルからのシミュレートされたCDまたは輪郭エッジ位置に適用することによって形成されうるが、この新しい導出モデルは、デルタをモデルパラメータ、シミュレートされた空間像、またはシミュレートされたレジスト像に適用することによって形成されうる。デルタをモデルパラメータに適用することは、ベースモデルが、摂動されるべきパラメータを含み、かかるパラメータを正確に使用する場合のみ実現可能である。特定の実施形態では、ベースモデルは、パラメータデルタを直接適用することを困難にする、異なる形式、モデリングソフトウェアの異なる供給業者、または、モデルコンポーネントの異なる組み合わせ(formulation)を有する、較正済みモデルである。具体的には、ベースモデルは、頂冠(top-hat)照明形状を用いた可能性があり、その場合、デルタシグマ値を頂冠照明に適用しても正確な結果は得られない。ベースOPCモデルにおけるレジストモデルも、差分精度の観点から不十分である可能性が高い。このような状況下では、ベースOPCモデルと感度モデルとを、シミュレートされたCDまたは輪郭レベルにおいて組み合わせることが適切である。
[0050] 感度モデルとベースOPCモデルとを組み合わせることにより少なくとも2つの利点が得られる。第一に、OPCモデルは、通常、大きいセットのパターンを用いて較正され、特定の要件に対して絶対CD予測精度を確実にするように用いられる。したがって、感度モデルをOPCモデルと組み合わせることによって、スキャナノブまたはパラメータの変更の存在下で絶対CDの正確な予測を与えることができる。第二に、OPC補正はOPCモデルを用いて行われるが、これは、OPCモデルからのシミュレートされた輪郭はOPC前のターゲットパターンに非常に近いことが期待されることを意味する。したがって、感度モデルをOPCモデルと組み合わせることによって、スキャナノブまたはパラメータの変動の存在下で、OPC前のターゲットに対するシミュレーションベースの検証が可能となる。
差分モデリング
[0051] ある実施形態では、システムレベルのシミュレーションは、基準スキャナの性能に対する、関連スキャナ群の性能を定義することを含む。スキャナ群には、一社の供給業者によって製造され、同じモデルタイプに属するスキャナが含まれうる。スキャナ群には、様々な供給業者によって製造されたスキャナを含んでよく、これらのスキャナは少なくとも幾つかの機能的に同様の要素を含む。スキャナ群は、共通のベースモデルと、共通のベースモデルからの群の個々の構成要素の相違に対応する較正情報を維持するように追加の差分モデルとによってモデル化される。
[0052] 図6は、本発明の特定の態様による、複数のスキャナに対する差分モデルの較正のためのプロセスを示す。図示する例では、N個のスキャナ600〜602からなるセットがシミュレートされる。スキャナモデル610は、スキャナメトロロジ612からの入力を用いて各スキャナ600〜602の光学パラメータ620〜622を生成する。光学パラメータ620〜622は、各々のシミュレートされた輪郭640〜642を生成するように用いられ、これらのシミュレートされた輪郭は、測定された輪郭660〜662と共に処理されてモデルパラメータ672を較正および最適化しうる。シミュレートされた輪郭および測定された輪郭は、費用関数670を用いて数学的に処理されてよい。
[0053] 差分モデル較正のために、非調整可能および調整可能スキャナパラメータを共に、モデルによって生成される、シミュレートされた差が実際のウェーハ差と一致するまで調節しうる。差分モデル較正におけるパラメータの調節は、調整可能性ではなくこれらのパラメータの知識の度合いに影響を受ける。例えば、照明瞳の正確な測定結果が、複数のスキャナ600〜602に対してスキャナメトロロジ612を介して入手可能であるならば、更なる調節なしで、この測定結果をモデル較正に直接用いることができる。その一方で、スキャナメトロロジ612を介する直接測定のないパラメータは、ウェーハデータに合うように最適化される。特定の実施形態では、モデル較正プロセスは、ウェーハメトロロジ(CD−SEM測定結果および輪郭、スキャトロメトリ等)および(設計されたまたは測定された)スキャナデータの両方を含む、すべての測定結果および各々の不確かさを考慮しかつバランスをとって最大尤度問題として公式化される。
[0054] ある実施形態では、差分モデリングは、複数の様々なリソグラフィプロセスに適用され、また、スキャナに加えて、例えばマスク差(空間バイアス分布、マスク製作による近接効果、コーナラウンディング)、レジスト材料差(クエンチャ濃度、拡散)、トラック差(ベーク温度)、およびエッチング差を含むリソグラフィステップにおける差を含む。
[0055] 差分モデル較正に関連する1つの重要な問題は、様々なプロセスパラメータ間の、選択された較正パターンのセットに対する結像への影響に関する、可能な縮退(degeneracy)である。これは、較正パターンへの結像差が、較正の結果、真の差とはかけ離れたパラメータ差に間違って起因しうることを意味する。何故なら、これは、特定のパラメータは、選択された準最適なセットの較正パターンの結像に対して、相関されたまたは縮退された影響を有しうるからである。例えば、露光ドーズ差は、マスクバイアスによって縮退することがあり、これらは共に、フィーチャCDを1つの方向において変化させる(大きくするまたは小さくする)。この問題は、ウェーハ測定結果にランダムノイズがあることによって深刻になる。この理由から、ある実施形態は、パラメータ差に対して、「直交する」方法で反応するパターンを選択する。そうしなければ、間違って較正されたパラメータ差によって、特に、較正セットの対象となっていないパターンに対して、間違った結像差の予測がもたらされうる。
[0056] シミュレーションを用いて、

のように表される、物理ターゲットスキャナ

と物理基準スキャナ

から得られた物理結果における差を予測することができる。モデル化されたターゲットスキャナ

と、モデル化された基準スキャナ

の結果における差を特定する差分モデルは、

のように表すことができる。差分モデルの精度は、したがって、

のように表されうる。
[0057] 上述した量に基づいてテストパターンのセットに対して計算されたRMSまたは他の計量(範囲、LPノルム等)は、差分モデルの較正のための費用関数として用いられる。
[0058] 特定の実施形態は、現在のプロセス条件および調整ターゲットプロセス条件の両方に対してウェーハデータが入手可能である場合に用いられる較正手順を使用する。例えば、2つの物理スキャナが同じレジストプロセス下でモデル化される場合、現在のスキャナ条件およびターゲットスキャナ条件の両方を用いて、共同較正をウェーハデータに対して行いうる。これには、通常、レジストモデルパラメータが変化することを可能にするが、それらが現在のスキャナ条件およびターゲットスキャナ条件の両方においては同じとなるように強制し、また、スキャナパラメータが両方の条件下において独立して変化することを可能にする共同モデル較正プロセスを行うことが必要となる。共同較正の後、感度モデルおよび差分モデルが同時に得られる。
[0059] 差分較正の結果を利用するために、新しいモデルが、ベースモデルと較正されたパラメータ差から形成される。この導出モデルとベースモデルとの、シミュレートされたCD差は、ウェーハ測定結果からの実際の差の予測として見なされる。図7は、ベースモデルパラメータ70と、導出モデルパラメータ72との関係を図示する。すなわち、導出モデル72内のマスクパラメータ720は、ベースモデル70のマスクパラメータ700と差710を用いて計算できる。導出モデル72内の光学パラメータ722は、ベースモデル70の光学パラメータ702と差712を用いて計算できる。導出モデル72内のレジストパラメータ724は、ベースモデル70のレジストパラメータ704と差714を用いて計算できる。
[0060] 特定の実施形態では、差分モデルは、例えばOPCモデルである異なるベースモデルと組み合わされて新しい導出モデルを形成しうる。この新しい導出モデルは、差分モデルからのデルタCDまたは輪郭エッジ位置を、ベースモデルからのシミュレートされたCDまたは輪郭エッジ位置に適用することによって最適に形成されうるが、この新しい導出モデルは、デルタをモデルパラメータ、シミュレートされた空間像、またはシミュレートされたレジスト像に適用することによって形成されうる。デルタをモデルパラメータに適用することは、ベースモデルが、摂動されるべきパラメータを含み、かかるパラメータを正確に使用する場合のみ実現可能である。特定の実施形態では、ベースモデルは、パラメータデルタを直接適用することを困難にする、異なる形式、モデリングソフトウェアの異なる供給業者、または、モデルコンポーネントの異なる公式化を有する、較正済みモデルである。具体的には、ベースモデルは、頂冠照明形状を用いた可能性があり、その場合、デルタシグマ値を頂冠照明に適用しても正確な結果は得られない。ベースOPCモデルにおけるレジストモデルも、差分精度の観点から不十分である可能性が高い。このような状況下では、ベースOPCモデルと感度モデルとを、シミュレートされたCDまたは輪郭レベルにおいて組み合わせることが適切である。
[0061] 図8に示すように、マスクデザイン800は、リソグラフィシミュレーション用の入力として用いられる。シミュレートされた輪郭A840が、リソグラフィモデルA820(ベースモデル)から生成される。差分モデルからは、シミュレートされた輪郭841および842がモデル821および822から生成される。輪郭821と輪郭822とのデルタが輪郭840に追加されて、最終的なシミュレートされた輪郭880が形成される。ある実施形態では、算術演算(+および−)が、輪郭の法線方向に沿うエッジ移動の意味で適用される。
[0062] 差分モデルとベースOPCモデルとを組み合わせることにより少なくとも2つの利点が得られる。第一に、OPCモデルは、通常、大きいセットのパターンを用いて較正され、特定の要件に対して絶対CD予測精度を確実にするように用いられる。したがって、差分モデルをOPCモデルと組み合わせることによって、スキャナ差を含むリソグラフィプロセス差の存在下で絶対CDの正確な予測を与えることができる。第二に、OPC補正はOPCモデルを用いて行われるが、これは、OPCモデルからのシミュレートされた輪郭はOPC前のターゲットパターンに非常に近いことが期待されることを意味する。したがって、差分モデルをOPCモデルと組み合わせることによって、リソグラフィプロセスの差の存在下で、OPC前のターゲットに対するシミュレーションベースの検証が可能となる。
調整済みモデルを用いたスキャナ調整およびシミュレーション
[0063] スキャナマッチングおよび性能最適化のために、調整済みのモデルが、感度モデルおよびベースモデル、並びにノブオフセットに基づいて生成される。このことには、感度モデルのレジストモデル部分を用いることと、スキャナノブを表すパラメータをノブオフセットを含むように変更することと、ベースモデルと組み合わせることとを含む。
[0064] 本発明の特定の実施形態では、フルチップウェーハのシミュレーションおよび検証が、スキャナ調整のためのフルチップウェーハ測定に対する代案として使用される。所望の輪郭ターゲットと(測定またはシミュレートされた)実際の輪郭との差を用いて、プリントされた輪郭が容認可能な許容範囲内でターゲットに一致するように必要なノブオフセットの計算が行われるようにする。
[0065] 本発明の態様によって、スキャナを、既知のモデルまたは既知のウェーハ輪郭または他のターゲットパターンに合わせることが可能にされる。本発明の態様に応じて提供されるプロセスによって、リソグラフィプロセスドリフト補正、所与のOPCプロセスのためのスキャナ最適化、CDUを最適化するために特定のデバイスマスクのためのスキャナ最適化、および既知のマスクエラーのためのスキャナ最適化が可能となる。
[0066] 所望される場合には、パターンへの調整の効果は、OPC検証ツールを用いて分析することができる。これは、モデルは、フルチップパターンへのモデルに対する調整に関連する変更の影響を定量的に分析できるからである。本発明の特定の態様による一例では、好適な方法は、調整の前後のモデルを用いてフルチップのオンウェーハ輪郭をシミュレートするようにOPC検証ツールを使用するステップと、2つの輪郭間の差を比較して、2つのモデル間の差を分析するステップとを含みうる。
[0067] 次に、図9を参照すると、コンピュータシステム900を配置して、本発明の特定の実施形態のモデルベースのプロセスシミュレーション方法を支援しうる。コンピュータシステム900は、情報を通信するためのバス902または他の通信機構と、バス902に結合されて情報を処理するためのプロセッサ904とを含みうる。コンピュータシステム900はさらに、情報およびプロセッサ904によって実行される命令を記憶するためにバス902に結合されるランダムアクセスメモリ(「RAM」)または任意の他の好適なダイナミックストレージデバイスといったメインメモリ906を含みうる。メインメモリ906はさらに、一時変数、または、プロセッサ904によって実行される命令の実行時の他の中間情報を記憶するためにも用いられてよい。コンピュータシステム900はさらに、プロセッサ904用の静的情報および命令を記憶するためにバス902に結合される読み出し専用メモリ(「ROM」)908または他の静的ストレージデバイスを含む。磁気ディスクまたは光学ディスクといったストレージデバイス910が提供されて情報および命令を記憶するためにバス902に結合される。
[0068] コンピュータシステム900は、バス902または他の接続を介して、コンピュータシステム900のユーザに情報を表示するように構成かつ適応された、陰極線管(「CRT」)、フラットパネルディスプレイ、またはタッチパネルディスプレイといったディスプレイシステム912に結合されうる。英数字および他のキーを含む入力デバイス914がバス902に結合されて、プロセッサ904に情報およびコマンド選択を通信する。マウス、トラックボール、またはカーソル方向キーといったカーソルコントロール916を含む別のタイプのユーザ入力デバイスを用いて、プロセッサ904に方向情報およびコマンド選択を通信し、また、ディスプレイ912上のカーソル移動を制御する。この入力デバイスは、通常、2軸における2自由度を有し、それにより、デバイスは平面における位置を特定することができる。タッチパネルディスプレイも入力デバイスとして用いてもよい。ユーザ入力および出力は、有線、無線に関係なく、ネットワークを用いて遠隔から提供されてもよい。
[0069] 本発明の一実施形態では、例えばシミュレーション演算であるスキャナ調整プロセスの一部が、プロセッサ904がメインメモリ906内に含まれる1つ以上の命令の1つ以上のシーケンスを実行することに応答してコンピュータシステム900によって行われてよい。このような命令は、ストレージデバイス910といった別のコンピュータ可読媒体からメインメモリ906内に読み込まれうる。メインメモリ906内に含まれる命令のシーケンスの実行によって、プロセッサ904は、本明細書に記載したプロセスステップを行う。マルチ処理構成にある1つ以上のプロセッサを用いて、メインメモリ906内に含まれる命令のシーケンスを実行してもよい。代替の実施形態では、配線回路を、ソフトウェア命令の代わりにまたはソフトウェア命令と組み合わせて使って本発明を実施してもよい。したがって、本発明の実施形態は、ハードウェア回路およびソフトウェアの任意の特定の組み合わせに限定されない。
[0070] 本明細書において使用する用語「コンピュータ可読媒体」とは、実行のためにプロセッサ904への命令の供給に関与する任意の媒体を指す。かかる媒体は、次に限定されないが、不揮発性媒体、揮発性媒体、および伝送媒体を含む多くの形式を取りうる。不揮発性媒体は、ストレージデバイス910といった例えば光学および磁気ディスクを含み、また、プロセッサ904に対してローカルにまたはネットワークに接続されて遠隔位置において与えられてよい。不揮発性ストレージは、例えば、ブルーレイ、DVD、またはCDストレージ、または、USB等を含む標準インターフェイスを用いてコンピュータに容易に接続するおよび取り外すことが可能であるメモリカードまたはスティックの例におけるようにコンピュータシステム904から着脱可能であってよい。
[0071] 揮発性媒体は、メインメモリ906といったようにダイナミックメモリを含む。伝送媒体は、バス902を構成する配線を含む同軸ケーブル、銅線、または光ファイバを含む。伝送媒体はさらに、無線周波数(RF)または赤外線(IR)データ通信の際に発生する音響波または光波のような音響波または光波の形を取ってもよい。コンピュータ可読媒体の一般的な形式は、例えば、フロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、または任意の他の磁気媒体、CD−ROM、DVD、ブルーレイ、任意の他の光学媒体、パンチカード、紙テープ、パターンまたは孔が空けられた任意の他の物理媒体、RAM、PROM、およびEPROM、FLASH−EPROM、任意の他のメモリチップまたはカートリッジ、以下に説明するような搬送波、またはコンピュータが読み出し可能である任意の他の媒体を含む。
[0072] 様々な形式のコンピュータ可読媒体が、実行のためにプロセッサ904に1つ以上の命令の1つ以上のシーケンスを運ぶことに関与しうる。例えば、命令は、最初は、遠隔コンピュータの磁気ディスク上にあってよい。この遠隔コンピュータは、そのダイナミックメモリ内に命令をロードして、かかる命令を、モデムを介して電話回線を介して送信できる。コンピュータシステム900のローカルにあるモデムが、電話回線上のデータを受信し、赤外線送信器を用いてデータを赤外線信号に変換できる。バス902に結合される赤外線ディテクタが赤外線信号内で運ばれるデータを受信し、バス902上にデータを配置できる。バス902は、データをメインメモリ906に運び、そこからプロセッサ904が命令を取り出して実行する。メインメモリ906によって受信された命令は、プロセッサ904による実行の前または後に、ストレージデバイス910上に任意選択的に記憶されてもよい。
[0073] コンピュータシステム900はさらに、バス902に結合される通信インターフェイス918を含むことが好適である。通信インターフェイス918は、ローカルネットワーク922に結合されるネットワークリンク920への2方向データ通信結合を提供する。例えば、通信インターフェイス918は、総合デジタルサービスネットワーク(ISDN)カードまたはモデムであって、対応するタイプの電話回線へのデータ通信接続を提供しうる。別の例として、通信インターフェイス918は、ローカルエリアネットワーク(LAN)カードであって、適合するLANへのデータ通信接続を提供しうる。ワイヤレスリンクを実施してもよい。これらの実施のいずれにおいても、通信インターフェイス918は、様々なタイプの情報を表すデジタルデータストリームを担持する電気、電磁気、または光信号を送受信する。
[0074] ネットワークリンク920は、通常、1つ以上のネットワークを介して他のデータデバイスへのデータ通信を提供する。例えば、ネットワークリンク920は、ローカルネットワーク922を介してホストコンピュータ924またはインターネットサービスプロバイダ(「ISP」)926によって動作されるデータ機器への接続を提供しうる。ISP926は、次に、現在一般的には「インターネット」928と呼ばれる世界規模のパケットデータ通信ネットワークを介するデータ通信サービスを提供する。ローカルネットワーク922およびインターネット928は、共に、デジタルデータストリームを運ぶ電気、電磁気、または光信号を使用する。コンピュータシステム900へのまたはコンピュータシステムからのデジタルデータを運ぶ、様々なネットワークを介する信号、および、ネットワークリンク920上かつ通信インターフェイス918を介する信号は、情報を運ぶ搬送波の例示的な形式である。
[0075] コンピュータシステム900は、ネットワーク、ネットワークリンク920、および通信インターフェイス918を介して、メッセージを送信しかつプログラムコードを含むデータを受信できる。インターネットの例では、サーバ930が、インターネット928、ISP926、ローカルネットワーク922、および通信インターフェイス918を介してアプリケーションプログラム用の要求されたコードを送信しうる。本発明では、1つのそのようなダウンロードされたアプリケーションが、例えば、実施形態のスキャナシミュレーションを提供する。受信されたコードは、受信されて直ぐにプロセッサ904によって実行される、および/または、後の実行のためにストレージデバイス910または他の不揮発性ストレージに記憶されてもよい。このようにして、コンピュータシステム900は、搬送波の形式でアプリケーションコードを入手しうる。
[0076] 図10は、本発明の特定の態様によって提供されるプロセスによる調整から利益を受けるリソグラフィ投影装置の一例を概略的に示す。リソグラフィ装置は次のものを含む。
‐投影放射ビームPBを供給するための放射システムEx、IL。一例では、放射システムは放射源LAも含む。
‐第1のオブジェクトテーブルまたはマスクテーブルMT‐レチクルといったマスクMAを保持するためのマスクホルダが設けられ、また、アイテムPLに対してマスクを正確に位置決めするための第1の位置決め手段に接続される。
‐レジストコートシリコンウェーハといった基板Wを保持するための基板ホルダが設けられ、アイテムPLに対して基板を正確に位置決めするための第2の位置決め手段に接続される第2のオブジェクトテーブルまたは基板テーブルWT。
‐マスクMAの被照射部分を、例えば基板Wの1つ以上のダイを含むターゲット部分C上に結像するための屈折、反射または反射屈折型の光学システムといった投影システムまたは「レンズ」PL。
[0077] 例において図示するように、リソグラフィ装置は透過型であり、透過型マスクを有する。リソグラフィ装置は、例えば反射型マスクを有する反射型であってもよい。あるいは、リソグラフィ装置は、マスクの使用の代わりに別の種類のパターニング手段を用いてもよい。その例としては、プログラマブルミラーアレイまたはLCDマトリクスが挙げられる。
[0078] 放射源LAは、例えば、水銀ランプまたはエキシマレーザまたは放射ビームを生成する他のデバイスであってよい。このビームは、照明システムまたはイルミネータ(「IL」)に、直接または例えばビームエクスパンダ「EX」といった調整手段を横断して調整された後に供給されうる。イルミネータILは、ビーム内の強度分布の外側および/または内側半径範囲(それぞれσ-outerおよびσ-inner)を設定するための調節手段「AM」を含んでよい。イルミネータILは、インテグレータINおよびコンデンサCOといった様々な他のコンポーネントを含んでよく、また、結果として得られるビームPBは、その断面に所望の均一性および強度分布を有してマスクMA上に衝突させられる。
[0079] 図10に関して、放射源LAは、特に例えば放射源LAが水銀ランプを含む場合に、リソグラフィ投影装置のハウジング内に設けられうる。放射源LAは、リソグラフィ投影装置から離れて設けられてもよく、この放射源が生成する放射ビームは、誘導ミラーおよび/またはレンズ等を使って光コンダクタによってリソグラフィ投影装置内へと導かれる。一実施形態では、例えばKrF、ArF、またはF2レージングに基づくエキシマレーザを含む放射源LAは、投影装置から幾らか離れた場所に配置されうる。
[0080] 図示する例では、ビームPBは、次に、マスクテーブルMT上に保持されたマスクMAを捕らえる。マスクMAを横断後、ビームPBは、レンズPLを通過し、レンズPLは、ビームPBの焦点を基板Wのターゲット部分C上に合わせる。第2の位置決め手段および/または干渉測定手段IFを用いて、様々なターゲット部分CをビームPBの経路内に位置決めするように、基板テーブルWTを正確に動かすことができる。同様に、第1の位置決め手段を用いて、通常、マスクライブラリからのマスクMAの機械的な取出し後またはスキャン時に、マスクMAをビームPBの経路に対して正確に位置決めすることもできる。一般に、オブジェクトテーブルMT、WTの移動は、図10には明示的には図示しない、ロングストロークモジュールまたは粗動位置決めシステムおよびショートストロークモジュールまたは微動位置決めシステムを用いて実現できる。しかし、ウェーハステッパの場合、マスクテーブルMTはショートストロークアクチュエータのみに接続されても固定されていてもよい。
[0081] 図示するシステムは、様々なモードで使用しうる。
‐ステップモードでは、マスクテーブルMTは実質的に静止状態に保たれ、マスク像全体が1回のステップで、すなわち、シングルフラッシュでターゲット部分C上に投影される。次に、基板テーブルWTをxおよび/またはy方向に移動して、それにより異なるターゲット部分CがビームPBによって照射されるようにする。
‐スキャンモードでは、基本的に同じシナリオが適用されるが、所与のターゲット部分Cはシングルフラッシュで露光されず、マスクテーブルMTを、速度vで所与のいわゆるスキャン方向(例えば、y方向)に移動して、それにより投影ビームPBはマスク像上をスキャンさせられる。基板テーブルWTを同期して同じまたは反対の方向に速度V=Mvで移動することができる。ここで、MはレンズPLの倍率であり、通常、M=1/4または1/5である。このようにすると、比較的大きいターゲット部分Cを、システム解像度を維持しつつ露光することができる。
[0082] 本発明の特定の態様に応じて提供したシステムおよび方法は、サブ波長のフィーチャを結像するための任意の汎用結像システムをシミュレートまたは数学的にモデル化することができ、また、かかるシステムおよび方法は、ますますサイズが小さくなっている波長を生成可能である新たな結像技術と共に有利に用いられうることが考えられる。既に利用されている新技術には、ArFレーザを使用して193nmの波長を、または、フッ素レーザを使用して157nmの波長でさえも生成できる極端紫外線(「EUV」)リソグラフィが含まれる。さらに、EUVリソグラフィは、20〜5nmの範囲内の波長を、シンクロトロンを用いることによって、または、この範囲における光子を生成するために固体またはプラズマ材料に高エネルギー電子を衝突させることによって生成できる。多くの材料は、この範囲では吸収性であるので、照明は、モリブデンおよびシリコンのマルチスタックを有する反射型ミラーによって生成されうる。マルチスタックミラーは、40対のモリブデンおよびシリコン層を有してよく、各層の厚さは4分の1波長である。X線リソグラフィではさらに小さい波長が生成されうる。通常、シンクロトロンを用いてX線波長が生成される。多くの物質は、X線波長において吸収性であるので、吸収材料の薄片が、ポジ型レジストが使用されているかまたはネガ型レジストが使用されているかに応じてフィーチャをプリントするまたはプリントしないことを定義する。
[0083] 本明細書に開示した概念は、シリコンウェーハといった基板上への結像に用いられうるが、開示した概念は、例えば、シリコンウェーハ以外の基板上への結像に用いられるものといった任意のタイプのリソグラフィ結像システムと共に用いられてよいことは理解すべきである。
本発明の特定の態様の追加の説明
[0084] 本発明の特定の実施形態は、プロセスシミュレーションのためのシステムおよび方法を提供する。これらの実施形態のうちの幾つかでは、システムおよび方法は、調整なパラメータのセットに対するスキャナの感度を特定する基準モデルを維持し、スキャナをシミュレートするために基準モデルを用いてシミュレートされたチップを得るために(1つ以上のマスクとして表される)チップデザインからチップ製造をシミュレートし、シミュレートされたチップにおけるクリティカルディメンション違反を特定し、シミュレーションおよび特定ステップを選択的に反復して行い、シミュレートされたチップの期待されたチップとの収束を得るシステムおよび方法を含み、プロセスモデルの少なくとも1つの調整可能なパラメータは、各反復を行う前に調節される。これらの実施形態のうちの幾つかでは、各シミュレーションステップは、シミュレートされたチップを記述する結果のセットを作成することを含む。これらの実施形態のうちの幾つかでは、各結果のセットは、シミュレーションステップにおいて計算されたクリティカルディメンションの仮想測定結果を含む。これらの実施形態のうちの幾つかでは、かかるシステムおよび方法は、結果のセットを設計者に提供することと、かかる設計者から更新されたチップデザインを受け取ることとを含み、更新されたチップデザインには、1つ以上の仮想測定結果に応じてなされた少なくとも1つの修正が含まれる。
[0085] これらの実施形態のうちの幾つかでは、調整後のモデルを用いてフルチップシミュレーションにおいてデバイスを特徴付ける。これらの実施形態のうちの幾つかでは、シミュレーションは、調整後のモデルによって提供される情報を組み込む。これらの実施形態のうちの幾つかでは、かかるシステムおよび方法は、光学部品、機械部品、制御およびデバイス固有のレーザドリフトにおける差によって様々なデバイスによって生成される、相違する結果に対応する。これらの実施形態のうちの幾つかでは、ベースモデルを差分モデルと共に用いて、複数のスキャナのそれぞれを特徴付ける。これらの実施形態のうちの幾つかでは、システムおよび方法は、特定の適用におけるスキャナの使用から得られる、期待される結果を予測する。
[0086] これらの実施形態のうちの幾つかでは、仮想結果を得るために現在のモデルを用いてチップをシミュレートすることを含む方法が使用される。これらの実施形態のうちの幾つかでは、かかる方法は、結果を、期待される結果と比較することを含む。これらの実施形態のうちの幾つかでは、仮想結果が容認できない場合、プロセスモデルは再調整され、シミュレーションが再実行される。これらの実施形態のうちの幾つかでは、かかる方法は、すべての反復および部分パターンに適用可能な最適化および補正を得るために、シミュレートされたチップの反復パターンまたは選択された部分上の部分パターンを調整することを含む。これらの実施形態のうちの幾つかでは、ホットスポットが特定される。これらの実施形態のうちの幾つかでは、ホットスポットは、機械的、光学的、および他のシステム特性によってCDが影響を受けているチップの領域を含む。これらの実施形態のうちの幾つかでは、ホットスポットは、基準モデルを再調整することによって改善される。これらの実施形態のうちの幾つかでは、再調整は、ホットスポット内のCDを容認可能な許容範囲およびエラー制限内に納めるように計算される。
[0087] これらの実施形態のうちの幾つかでは、調整手順は、収束が発生したかどうかを判断することを含む。これらの実施形態のうちの幾つかでは、収束は、複数のホットスポットが除去される場合に生じる。これらの実施形態のうちの幾つかでは、収束は、チップ上の複数のCDが容認可能なエラー制限および許容範囲内となる場合に生じる。これらの実施形態のうちの幾つかでは、1つ以上のステップは、収束を得るために選択的に繰り返される。
[0088] 本発明を、特定の例示的な実施形態を参照して説明したが、当業者には明らかであるように、本発明の広い精神および範囲から逸脱することなくこれらの実施形態に修正および変更を加えてもよい。したがって、明細書および図面は限定的ではなく例示的であると見なされるべきである。

Claims (21)

  1. リソグラフィプロセスシミュレーション方法であって、
    リソグラフィプロセスパラメータにおける差に起因する結像結果における差を記述する差分モデルを維持することと、
    前記差分モデルを用いて、シミュレートされたウェーハ輪郭を生成することと、
    を含む、方法。
  2. 前記リソグラフィプロセスパラメータにおける差は、マスク差、レジスト差、トラック差、エッチング差、およびスキャナ差のうちの1つ以上に対応する、請求項1に記載の方法。
  3. 前記スキャナ差は、光学部品、機械部品、制御およびデバイス固有のレーザドリフトのうちの1つ以上に関連付けられる差を含む、請求項6に記載の方法。
  4. 前記シミュレートされたウェーハ輪郭は、レジスト内のウェーハ輪郭に対応する、請求項1に記載の方法。
  5. 前記シミュレートされたウェーハ輪郭は、エッチング後のウェーハ輪郭に対応する、請求項1に記載の方法。
  6. 前記シミュレートされたウェーハ輪郭は、少なくとも1つの異なるリソグラフィプロセスパラメータによって相互に区別可能なリソグラフィプロセスによって生成される輪郭を含む、請求項1に記載の方法。
  7. 前記差分モデルは、2つのスキャナを含む2つのリソグラフィプロセスにおける差を特徴付ける、請求項1に記載の方法。
  8. 前記差分モデルによって記述される差は、調整可能および非調整可能なパラメータを含む、請求項1に記載の方法。
  9. 前記2つのスキャナのうちの一方のモデルを、前記差分モデルと他方のスキャナのモデルとを用いて導出することをさらに含む、請求項7に記載の方法。
  10. 前記他方のスキャナのモデルは、OPCモデルおよびOPC検証モデルのうちの1つである、請求項9に記載の方法。
  11. リソグラフィプロセスシミュレーション方法であって、
    1つのスキャナにしてスキャナ設定における差に起因する結像結果における差を記述する感度モデルを維持することと、
    前記感度モデルを用いて、シミュレートされたウェーハ輪郭を生成することと、
    を含む、方法。
  12. 前記シミュレートされたウェーハ輪郭は、レジスト内のウェーハ輪郭に対応する、請求項11に記載の方法。
  13. 前記シミュレートされたウェーハ輪郭は、エッチング後のウェーハ輪郭に対応する、請求項11に記載の方法。
  14. 前記シミュレートされたウェーハ輪郭は、少なくとも1つの異なるスキャナ設定パラメータによって相互に区別可能なリソグラフィプロセスによって生成される輪郭を含む、請求項11に記載の方法。
  15. 特定の設定における前記スキャナのモデルを、前記感度モデルと別の設定における前記スキャナのベースモデルとを用いて導出することをさらに含む、請求項11に記載の方法。
  16. 前記スキャナの前記ベースモデルは、OPCモデルおよびOPC検証モデルのうちの1つである、請求項15に記載の方法。
  17. 前記スキャナ設定は、前記1つのスキャナの照明システム、投影システム、レーザ源、およびウェーハステージのうちの1つ以上に関連する、請求項11または15に記載の方法。
  18. リソグラフィモデルを較正する方法であって、
    各シミュレーションについてプロセスモデルの調整可能な設定を変更して、リソグラフィプロセスの複数のシミュレーションを行うことと、
    前記シミュレーションによって生成された、シミュレートされた輪郭を、前記リソグラフィプロセスにおける対応する変更下で生成された、測定された輪郭と比較して、前記シミュレートされた輪郭と前記測定された輪郭との差を特定することと、
    前記特定された差に基づいて費用関数を用いて前記プロセスモデルのパラメータを較正することと、
    を含む、方法。
  19. 前記プロセスモデルは、リソグラフィ装置に対する装置設定における差に起因する結像結果における差を記述する感度モデルである、請求項18に記載の方法。
  20. リソグラフィモデルを較正する方法であって、
    複数のスキャナに対してリソグラフィプロセスのシミュレーションを行い、差分モデルが、前記リソグラフィプロセスにおける、スキャナに関連する差を特徴付けることと、
    前記シミュレーションによって生成された、シミュレートされた輪郭と、前記シミュレートされた条件下で前記複数のスキャナから得られた、対応する測定された輪郭との差を特定することと、
    前記特定された差に基づいて前記差分モデルのパラメータを最適化することと、
    を含む、方法。
  21. 前記最適化するステップは、前記シミュレートされた輪郭における差が、前記測定された輪郭における対応する差と一致するまで、調整可能および非調整可能なスキャナパラメータを調節することを含む、請求項20に記載の方法。
JP2011512549A 2008-06-03 2009-05-29 モデルベースのプロセスシミュレーション方法 Active JP5913979B2 (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US5851108P 2008-06-03 2008-06-03
US5852008P 2008-06-03 2008-06-03
US61/058,520 2008-06-03
US61/058,511 2008-06-03
US14157808P 2008-12-30 2008-12-30
US61/141,578 2008-12-30
US14230509P 2009-01-02 2009-01-02
US61/142,305 2009-01-02
PCT/US2009/045726 WO2009148972A1 (en) 2008-06-03 2009-05-29 Methods for model-based process simulation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015238241A Division JP6468993B2 (ja) 2008-06-03 2015-12-07 モデルベースのプロセスシミュレーション方法

Publications (2)

Publication Number Publication Date
JP2011522439A true JP2011522439A (ja) 2011-07-28
JP5913979B2 JP5913979B2 (ja) 2016-05-11

Family

ID=41198557

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2011512549A Active JP5913979B2 (ja) 2008-06-03 2009-05-29 モデルベースのプロセスシミュレーション方法
JP2011512550A Active JP5225462B2 (ja) 2008-06-03 2009-05-29 モデルベースのスキャナ調整方法
JP2012204119A Active JP5750417B2 (ja) 2008-06-03 2012-09-18 モデルベースのスキャナ調整方法
JP2015238241A Active JP6468993B2 (ja) 2008-06-03 2015-12-07 モデルベースのプロセスシミュレーション方法
JP2018155777A Active JP6735794B2 (ja) 2008-06-03 2018-08-22 モデルベースのプロセスシミュレーション方法

Family Applications After (4)

Application Number Title Priority Date Filing Date
JP2011512550A Active JP5225462B2 (ja) 2008-06-03 2009-05-29 モデルベースのスキャナ調整方法
JP2012204119A Active JP5750417B2 (ja) 2008-06-03 2012-09-18 モデルベースのスキャナ調整方法
JP2015238241A Active JP6468993B2 (ja) 2008-06-03 2015-12-07 モデルベースのプロセスシミュレーション方法
JP2018155777A Active JP6735794B2 (ja) 2008-06-03 2018-08-22 モデルベースのプロセスシミュレーション方法

Country Status (6)

Country Link
US (6) US8571845B2 (ja)
JP (5) JP5913979B2 (ja)
KR (3) KR101749987B1 (ja)
CN (2) CN102057329B (ja)
TW (2) TWI460546B (ja)
WO (2) WO2009148974A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014007262A (ja) * 2012-06-22 2014-01-16 Canon Inc 露光装置、露光方法及び物品の製造方法
KR20150095079A (ko) * 2014-02-12 2015-08-20 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
KR20170002506A (ko) * 2014-06-03 2017-01-06 아셀타 나노그라픽 차이 절차에 의해 ic 제조 공정의 파라미터를 결정하기 위한 방법
JP2018531423A (ja) * 2015-10-07 2018-10-25 アセルタ ナノグラフィクス 整合手順によってic製造プロセスに適用されるドーズ補正を判断するための方法

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5913979B2 (ja) * 2008-06-03 2016-05-11 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのプロセスシミュレーション方法
NL2003719A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
JP2010211046A (ja) * 2009-03-11 2010-09-24 Toshiba Corp パターン検証方法およびパターン検証プログラム
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US8607168B2 (en) * 2010-02-16 2013-12-10 Mentor Graphics Corporation Contour alignment for model calibration
TWI395460B (zh) * 2010-06-02 2013-05-01 Avision Inc 自動存取影像處理程式之掃描設備
KR101659838B1 (ko) * 2010-06-18 2016-09-26 삼성전자주식회사 식각 근접 보정방법 및 그를 이용한 포토마스크 레이아웃의 생성방법
DE102011078927B4 (de) * 2010-07-12 2019-01-31 Carl Zeiss Sms Ltd. Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske
DE102011083774B4 (de) 2010-10-04 2019-06-13 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Laser korrigierenden Tool-Parametern
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
JP5666630B2 (ja) * 2012-02-07 2015-02-12 エーエスエムエル ネザーランズ ビー.ブイ. 基板トポグラフィ認識リソグラフィモデリング
US9519285B2 (en) * 2013-01-23 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and associated methods for tuning processing tools
CN105452963B (zh) * 2013-08-13 2017-08-22 Asml荷兰有限公司 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
CN104423142B (zh) * 2013-08-22 2020-05-05 中芯国际集成电路制造(上海)有限公司 用于光学邻近校正模型的校准数据收集方法和系统
US10345715B2 (en) 2014-09-02 2019-07-09 Nikon Corporation Pattern-edge placement predictor and monitor for lithographic exposure tool
US10018922B2 (en) 2014-09-02 2018-07-10 Nikon Corporation Tuning of optical projection system to optimize image-edge placement
CN106796900B (zh) 2014-10-03 2020-11-06 科磊股份有限公司 验证计量目标及其设计
TWI701517B (zh) * 2014-12-23 2020-08-11 德商卡爾蔡司Smt有限公司 光學構件
CN104615808B (zh) * 2015-01-19 2018-07-03 北京思朗科技有限责任公司 一种待测试硬件运算部件的测试方法及参考模型装置
SG11201706686YA (en) * 2015-03-16 2017-09-28 Asml Netherlands Bv Methods for determining resist deformation
US9928316B2 (en) 2015-03-26 2018-03-27 International Business Machines Corporation Process-metrology reproducibility bands for lithographic photomasks
KR102063229B1 (ko) * 2015-05-29 2020-01-07 에이에스엠엘 네델란즈 비.브이. 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
US9910348B2 (en) 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
EP3118682B1 (en) 2015-07-16 2022-10-19 IMEC vzw Method for designing a lithographic mask
KR102293295B1 (ko) * 2015-08-13 2021-08-25 세메스 주식회사 기판 처리 설비 시뮬레이션 시스템 및 방법
NL2017882A (en) * 2015-12-17 2017-06-26 Asml Netherlands Bv Optical metrology of lithographic processes using asymmetric sub-resolution features to enhance measurement
KR102444706B1 (ko) 2015-12-22 2022-09-19 에이에스엠엘 네델란즈 비.브이. 프로세스-윈도우 특성화를 위한 장치 및 방법
DE102016200043A1 (de) 2016-01-05 2017-07-06 Eos Gmbh Electro Optical Systems Verfahren zum Kalibrieren einer Vorrichtung zum Herstellen eines dreidimensionalen Objekts
KR102350572B1 (ko) * 2016-02-22 2022-01-11 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
CN105607413B (zh) * 2016-03-18 2019-11-01 京东方科技集团股份有限公司 产生掩膜图案的系统和方法以及曝光系统
ES2967880T3 (es) * 2016-04-25 2024-05-06 Renishaw Plc Método de calibración de pluralidad de escáneres en un aparato de fabricación aditiva
TWI631415B (zh) * 2016-07-01 2018-08-01 美商格羅方德半導體公司 同時微影及蝕刻校正流程之方法
DE102016013260B4 (de) 2016-11-09 2020-07-23 Carl Zeiss Smt Gmbh Verfahren zur Erzeugung eines Referenzbildes bei der Charakterisierung einer Maske für die Mikrolithographie
JP7057358B2 (ja) * 2016-12-02 2022-04-19 エーエスエムエル ネザーランズ ビー.ブイ. エッチングパラメータを変更する方法及びコンピュータプログラム
EP3336608A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method and apparatus for image analysis
CN110121681B (zh) * 2016-12-28 2022-04-01 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN113608416A (zh) * 2016-12-28 2021-11-05 Asml荷兰有限公司 量测图像与设计之间的模拟辅助的对准
KR102314622B1 (ko) * 2017-01-26 2021-10-20 에이에스엠엘 네델란즈 비.브이. 공정 모델들을 조정하는 방법들
WO2018153866A1 (en) 2017-02-24 2018-08-30 Asml Netherlands B.V. Methods of determining process models by machine learning
CN110709779B (zh) * 2017-06-06 2022-02-22 Asml荷兰有限公司 测量方法和设备
CN111149063B (zh) * 2017-09-27 2022-04-22 Asml荷兰有限公司 确定器件制造工艺的控制参数的方法
US10495967B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
KR102545141B1 (ko) * 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US20200380362A1 (en) * 2018-02-23 2020-12-03 Asml Netherlands B.V. Methods for training machine learning model for computation lithography
CN111868634B (zh) * 2018-03-20 2023-06-09 Asml荷兰有限公司 用于加速抗蚀剂和蚀刻模型校准的实时调节方法
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
WO2020002143A1 (en) 2018-06-25 2020-01-02 Asml Netherlands B.V. Wavefront optimization for tuning scanner based on performance matching
EP3588191A1 (en) * 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
CN109586688B (zh) * 2018-12-07 2022-10-18 桂林电子科技大学 基于迭代计算的时变可分非下采样图滤波器组的设计方法
CN113260925A (zh) * 2018-12-31 2021-08-13 Asml荷兰有限公司 确定图案形成装置的光学特性的分量的子集
JP7171468B2 (ja) * 2019-02-20 2022-11-15 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、物品の製造方法、物品の製造システム、及び出力方法
US11568101B2 (en) 2019-08-13 2023-01-31 International Business Machines Corporation Predictive multi-stage modelling for complex process control
US11119404B2 (en) * 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks
CN114586035A (zh) * 2019-10-23 2022-06-03 朗姆研究公司 确定制造半导体的制程
KR20230096048A (ko) * 2020-11-24 2023-06-29 어플라이드 머티어리얼스, 인코포레이티드 계측을 위한 최적화 기반 이미지 처리
CN112987488B (zh) * 2021-02-22 2024-03-12 上海华力集成电路制造有限公司 Opc修正方法
CN114357928A (zh) * 2021-12-31 2022-04-15 东方晶源微电子科技(北京)有限公司 一种光刻模型优化方法
CN115408650B (zh) * 2022-08-03 2023-04-28 武汉宇微光学软件有限公司 光刻胶多级串连表征网络的建模、校准、仿真方法和系统

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002353104A (ja) * 2001-05-24 2002-12-06 Hitachi Ltd 半導体デバイスの露光方法、その露光システム及びそのプログラム
JP2004103674A (ja) * 2002-09-06 2004-04-02 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2006235600A (ja) * 2005-02-24 2006-09-07 Synopsys Inc プロセス感度モデルを用いてレイアウト内の製造問題領域を識別する方法および装置
JP2008053565A (ja) * 2006-08-25 2008-03-06 Fujitsu Ltd 半導体デバイスの製造方法、データ作成装置、データ作成方法、およびプログラム
JP2008205131A (ja) * 2007-02-19 2008-09-04 New Japan Radio Co Ltd 半導体装置の製造方法
JP2009505400A (ja) * 2005-08-08 2009-02-05 ブライオン テクノロジーズ インコーポレイテッド リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5225462A (en) 1975-08-22 1977-02-25 Okada Sangyo Kk Aeration and organism purifying device for polluted water
JP3114132B2 (ja) 1992-02-10 2000-12-04 能美防災株式会社 光電式分離型煙感知器
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
KR100512450B1 (ko) 1996-12-24 2006-01-27 에이에스엠엘 네델란즈 비.브이. 두개의물체홀더를가진이차원적으로안정화된위치설정장치와이런위치설정장치를구비한리소그래픽장치
US6564112B1 (en) * 1999-11-08 2003-05-13 Eventide Inc. Method of customizing electronic systems based on user specifications
JP2002174890A (ja) 2000-12-07 2002-06-21 Hitachi Ltd 半導体集積回路の製造方法
JP2002175969A (ja) 2000-12-07 2002-06-21 Hitachi Ltd パターン検証方法及びデータ処理システム
US6581193B1 (en) * 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
KR100468741B1 (ko) 2002-06-22 2005-01-29 삼성전자주식회사 노광 장치의 어퍼처 설계를 위한 시뮬레이션 방법 및장치, 그리고 시뮬레이션 방법을 기록한 기록매체
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP4192618B2 (ja) 2003-02-17 2008-12-10 ソニー株式会社 マスクの補正方法
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7242459B2 (en) * 2004-01-30 2007-07-10 Asml Masktools B.V. Method of predicting and minimizing model OPC deviation due to mix/match of exposure tools using a calibrated Eigen decomposition model
JP2007536564A (ja) * 2004-04-02 2007-12-13 クリア・シェイプ・テクノロジーズ・インコーポレーテッド 集積回路の製造における超解像プロセスのモデル化
US7053979B2 (en) * 2004-05-12 2006-05-30 Litel Instruments Process for amelioration of scanning synchronization error
US7116411B2 (en) * 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
JP2006292813A (ja) * 2005-04-06 2006-10-26 Seiko Epson Corp 設計パターン補正方法、パラメータ設定方法、設計パターン補正装置、パラメータ設定装置、及びプログラム
US7743359B2 (en) * 2005-05-02 2010-06-22 Cadence Design Systems, Inc. Apparatus and method for photomask design
JP4701030B2 (ja) * 2005-07-22 2011-06-15 キヤノン株式会社 露光装置、露光パラメータを設定する設定方法、露光方法、デバイス製造方法及びプログラム
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
JP4954211B2 (ja) * 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US7425397B2 (en) 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of determining an illumination profile and device manufacturing method
JP2007142275A (ja) * 2005-11-21 2007-06-07 Toshiba Corp フォトマスクの判定方法、半導体装置の製造方法及びプログラム
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
WO2007109103A2 (en) * 2006-03-16 2007-09-27 Kla-Tencor Technologies Corporation Method and system for optimizing alignment performance in a fleet of exposure tools
JP2007324342A (ja) * 2006-05-31 2007-12-13 Nikon Corp 露光方法、露光システムの管理方法、露光システム、及びデバイス製造方法
JP4989279B2 (ja) * 2007-04-05 2012-08-01 株式会社東芝 パラメータ値調整方法、半導体装置製造方法およびプログラム
US7999920B2 (en) * 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US8037428B2 (en) * 2008-05-29 2011-10-11 Synopsys, Inc. Method and system for post-routing lithography-hotspot correction of a layout
JP5913979B2 (ja) * 2008-06-03 2016-05-11 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのプロセスシミュレーション方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002353104A (ja) * 2001-05-24 2002-12-06 Hitachi Ltd 半導体デバイスの露光方法、その露光システム及びそのプログラム
JP2004103674A (ja) * 2002-09-06 2004-04-02 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2006235600A (ja) * 2005-02-24 2006-09-07 Synopsys Inc プロセス感度モデルを用いてレイアウト内の製造問題領域を識別する方法および装置
JP2009505400A (ja) * 2005-08-08 2009-02-05 ブライオン テクノロジーズ インコーポレイテッド リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法
JP2008053565A (ja) * 2006-08-25 2008-03-06 Fujitsu Ltd 半導体デバイスの製造方法、データ作成装置、データ作成方法、およびプログラム
JP2008205131A (ja) * 2007-02-19 2008-09-04 New Japan Radio Co Ltd 半導体装置の製造方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014007262A (ja) * 2012-06-22 2014-01-16 Canon Inc 露光装置、露光方法及び物品の製造方法
KR20150095079A (ko) * 2014-02-12 2015-08-20 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
KR20170002506A (ko) * 2014-06-03 2017-01-06 아셀타 나노그라픽 차이 절차에 의해 ic 제조 공정의 파라미터를 결정하기 위한 방법
JP2017520021A (ja) * 2014-06-03 2017-07-20 アセルタ ナノグラフィクス 差分手順によりic製造プロセスのパラメータを判断する方法
KR101960070B1 (ko) 2014-06-03 2019-03-19 아셀타 나노그라픽 차이 절차에 의해 ic 제조 공정의 파라미터를 결정하기 위한 방법
JP2018531423A (ja) * 2015-10-07 2018-10-25 アセルタ ナノグラフィクス 整合手順によってic製造プロセスに適用されるドーズ補正を判断するための方法
JP2020160464A (ja) * 2015-10-07 2020-10-01 アセルタ ナノグラフィクス 整合手順によってic製造プロセスに適用されるドーズ補正を決定するための方法
JP7278992B2 (ja) 2015-10-07 2023-05-22 アセルタ ナノグラフィクス 整合手順によってic製造プロセスに適用されるドーズ補正を決定するための方法

Also Published As

Publication number Publication date
US20140046646A1 (en) 2014-02-13
TW201011473A (en) 2010-03-16
US8806387B2 (en) 2014-08-12
KR101610734B1 (ko) 2016-04-08
KR101749987B1 (ko) 2017-06-22
US20100010784A1 (en) 2010-01-14
CN102057329A (zh) 2011-05-11
US20140351773A1 (en) 2014-11-27
US8571845B2 (en) 2013-10-29
JP5750417B2 (ja) 2015-07-22
KR20110015652A (ko) 2011-02-16
KR20110021998A (ko) 2011-03-04
TW201011474A (en) 2010-03-16
WO2009148974A1 (en) 2009-12-10
JP5913979B2 (ja) 2016-05-11
CN102057329B (zh) 2013-08-21
JP2016066091A (ja) 2016-04-28
CN102057330B (zh) 2013-07-17
US10569469B2 (en) 2020-02-25
TWI460547B (zh) 2014-11-11
JP6468993B2 (ja) 2019-02-13
US20090300573A1 (en) 2009-12-03
JP2011522440A (ja) 2011-07-28
JP5225462B2 (ja) 2013-07-03
US20150045935A1 (en) 2015-02-12
WO2009148972A1 (en) 2009-12-10
US8874423B2 (en) 2014-10-28
KR20170060186A (ko) 2017-05-31
CN102057330A (zh) 2011-05-11
JP2018197876A (ja) 2018-12-13
TWI460546B (zh) 2014-11-11
US20200189192A1 (en) 2020-06-18
US10137643B2 (en) 2018-11-27
JP6735794B2 (ja) 2020-08-05
JP2013012773A (ja) 2013-01-17
KR101928938B1 (ko) 2018-12-13

Similar Documents

Publication Publication Date Title
JP6735794B2 (ja) モデルベースのプロセスシミュレーション方法
JP5666609B2 (ja) 光源及びマスクの最適化のためのパターン選択方法
JP4890517B2 (ja) モデルベーススキャナ調整を実行する方法
US10386730B2 (en) Method, program product and apparatus for predicting line width roughness and resist pattern failure and the use thereof in a lithography simulation process
KR101501580B1 (ko) 투영 광학기에 의한 광 조작을 포함하는 패턴-의존적 근접성 매칭/조율
US9588439B1 (en) Information matrix creation and calibration test pattern selection based on computational lithography model parameters
KR102137072B1 (ko) 프로파일 인식 소스-마스크 최적화
US20210357566A1 (en) Methods for generating characteristic pattern and training machine learning model

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20101215

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110127

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110127

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20101215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120628

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120702

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121001

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130619

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140625

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140701

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140801

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160127

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160404

R150 Certificate of patent or registration of utility model

Ref document number: 5913979

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250