CN102057330A - 基于模型的扫描器调节方法 - Google Patents

基于模型的扫描器调节方法 Download PDF

Info

Publication number
CN102057330A
CN102057330A CN200980120711XA CN200980120711A CN102057330A CN 102057330 A CN102057330 A CN 102057330A CN 200980120711X A CN200980120711X A CN 200980120711XA CN 200980120711 A CN200980120711 A CN 200980120711A CN 102057330 A CN102057330 A CN 102057330A
Authority
CN
China
Prior art keywords
model
scanner
difference
wafer
simulation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200980120711XA
Other languages
English (en)
Other versions
CN102057330B (zh
Inventor
叶军
曹宇
罗纳德·古森斯
邵文晋
吉姆·库梅恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN102057330A publication Critical patent/CN102057330A/zh
Application granted granted Critical
Publication of CN102057330B publication Critical patent/CN102057330B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C64/00Additive manufacturing, i.e. manufacturing of three-dimensional [3D] objects by additive deposition, additive agglomeration or additive layering, e.g. by 3D printing, stereolithography or selective laser sintering
    • B29C64/30Auxiliary operations or equipment
    • B29C64/386Data acquisition or data processing for additive manufacturing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70458Mix-and-match, i.e. multiple exposures of the same area using a similar type of exposure apparatus, e.g. multiple exposures using a UV apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本发明公开了一种用于调整光刻过程的系统和方法。提供目标扫描器的模型,相对于一系列的可调整的参数限定目标扫描器的灵敏度。微分模型表示目标扫描器相对于参考物的偏差。可以基于参考扫描器的设置和微分模型调整目标扫描器。相关的扫描器族的性能可以相对于参考扫描器的性能进行表征。微分模型可以包括例如参数偏移量和可以用于模拟成像行为中的差异的其他差异的信息。

Description

基于模型的扫描器调节方法
相关申请的交叉引用
本申请要求于2008年12月30日递交的美国临时专利申请第61/141,578号、于2009年1月2日递交的美国临时专利申请第61/142,305号、于2008年6月3日递交的美国临时专利申请第61/058,511号以及于2008年6月3日递交的美国临时专利申请第61/058,520号的优先权,这些申请通过参考全文清楚地并入。
技术领域
本发明涉及用于执行基于模型的扫描器调整和优化的方法和系统,更具体地,涉及多个光刻系统的性能优化。
背景技术
可以将光刻设备用在集成电路(ICs)的制造中。掩模包含对应于所述IC的单层的电路图案,并且可以将该图案成像到已经涂覆了一层辐射敏感抗蚀剂材料的硅晶片的衬底上的包括一个或多个管芯的目标部分上。通常,单个晶片将包含相邻目标部分的网络,所述相邻目标部分通过投影系统被一次一个地连续辐射。在一种类型的光刻投影设备中,通过将全部掩模图案一次曝光到所述目标部分上来辐射每一目标部分;这样的设备通常称作为晶片步进机。在步进和扫描设备中,通过沿给定的参考方向或“扫描”方向在投影束下面逐步扫描掩模图案的同时,沿与该方向平行或反向平行的方向同步地扫描所述衬底台来辐射每一目标部分。在具有放大因子(magnification factor)M(通常M<1)的投影系统中,衬底台被扫描的速度V将是掩模台被扫描的速度的M倍。这里所述的更多有关光刻设备的信息可以从例如US专利6,046,792中得到,在这里以参考的方式将其内容并入本文中。
在使用光刻投影设备的制造过程中,掩模图案被成像到至少部分地由一层辐射敏感抗蚀剂材料覆盖的衬底上。在该成像步骤之前,衬底可以经过多种工序,例如涂底料、抗蚀剂涂覆和软烘烤。在曝光之后,衬底可以经过其它工序,例如曝光后烘烤(PEB)、显影、硬烘烤和成像特征的测量/检验。这一系列的工序被用作对器件(例如IC)的单层进行图案化的基础。然后,这样的图案化层可以经过多种处理,例如蚀刻、离子注入或掺杂、金属化、氧化、化学-机械抛光等,以完成一个单层。如果需要几个层,则对于每个新的层必须重复整个工序或其变体。最后,在衬底晶片上将形成器件的阵列。然后,这些器件通过例如划片(dicing)或切割等技术彼此分割开,然后独立的器件可以安装到连接到插脚等的载体上。
投影系统(下文中被称为“透镜”)包括多种类型的投影系统,包括例如折射式光学系统、反射式光学系统和反射折射式系统,并且可以包括一个或更多个透镜。透镜还可以包括用于引导、成形或控制投影辐射束的辐射系统的组件。而且,光刻设备可以是具有两个或更多个衬底台和/或两个或更多个掩模台的类型。在这种“多台”的装置中,附加的台可以并行地使用,和/或可以在特定的台上执行预备步骤的同时使用其它的台进行曝光。例如,在US 5,969,441中描述了双台光刻设备,在这里以参考的方式将其内容并入本文中。
上面提及的光刻掩模包括对应于将要被集成到硅晶片上的电路部件的几何图案。用来形成这种掩模的图案使用计算机辅助设计(“CAD”)程序来生成,这种过程通常被称为电子设计自动化(“EDA”)。大多数CAD程序依照一系列预定的设计规则以便产生功能化掩模。这些规则通过过程过程和设计限制来设定。例如,设计规则限定电路器件(例如栅极、电容等)或互连线之间的间隔容许量,使得确保电路器件或线不会彼此以不希望的方式相互作用/影响。通常,设计规则限制被称为“临界尺寸”(“CD”)。电路的临界尺寸CD可以被定义成线或孔的最小宽度或两条线或两个孔之间的最小间隔。因此,CD决定所设计的电路的总的尺寸和密度。当然,集成电路制造的目标之一是通过掩模在晶片上忠实地复制原始电路设计。
通常,在不需要花费相当多的时间和资源确定每个光刻系统的必要设置以实现优化的/可接受的成像性能的情况下,使用不同类型的光刻系统(例如扫描器)使用普通的过程用于将给定的图案成像可以是有利的。在初始为特定扫描器设置过程、以获得满足预定设计要求的图像的时候,设计者和工程师可以花费相当多的时间和金钱确定包括数值孔径(“NA”)、σin、σout等的光刻系统的优化设置。通常,采用检验和误差过程,其中选择扫描器设置,并且成像所需的图案,随后测量图案以确定输出的图像是否在特定的容差范围内。如果输出的图像在容差之外,调整扫描器设置并且再次成像图案并且测量图案。重复这个过程直到最终的图像在特定的容差范围内。
然而,由于不同的扫描器在成像图案时表现出的不同的光学邻近效应(“OPEs”),成像在衬底上的实际图案会对于不同的扫描器而有所不同,即使扫描器是具有相同的模型类型。例如,与特定扫描器相关的不同的OPEs能够引入显著的通过节距的CD变化。因此,通常不可能在扫描器之间切换并获得相同的成像的图案。因此,当扫描器是新的或不同的并且将要被用于印刷图案,并且期望获得满足设计要求的最终的图像时,工程师必须优化或调整扫描器。当前,一种昂贵的、耗时的试验和误差过程被用于调整过程和扫描器。
在当前的现有技术中,通常的扫描器调整形式是近似匹配。目标是在可调整的扫描器和参考扫描器之间对应一系列预定的图案匹配印刷的晶片CDs。通常,重点放在通过节距的一维图案(“1D图案”)上,因为这些图案的临界尺寸的均匀性对半导体器件的性能最关键。使用参考扫描器和可调整的扫描器将预定的图案曝光到晶片上,并且测量晶片CD值。CD的不同被用于推动调整可调整的扫描器的偏移量,以便在调整之后将CD值与参考扫描器的值匹配。假定CD值与调整偏移量成线性依赖关系,以线性方式执行优化。线性依赖关系的特征在于灵敏度,被限定为CD值对旋钮偏移量(knob offsets)的偏导。从光刻模型中可以测量或模拟灵敏度,例如美国专利第7003758号中所述的。
已有的量测技术存在一些缺点,这是本发明寻求解决的。首先,必须测量每个将要被匹配的图案,在制造过程中(通常高要求)这不是对晶片量测时间的最有效的利用。相反,除了那些被测量的之外对图案的匹配水平或成像行为没有要求。已经知道,这会在生产环境方面引起问题,其中一系列1D图案充分良好地匹配,但是一些二维(“2D”)的真实器件图案与调整后的晶片成像中的结果明显不匹配。见″Accurate Model Base Verification Scheme To Eliminate Hotspots And Manage Warmspots,″Proc.SPIE,Vol.6925,69250Z(2008)和″Scanner Fleet Management Utilizing Programmed Hotspot Patterns,″Proc.SPIE,Vol.7028,70280W(2008)。
发明内容
本发明的特定实施例包括用于调整光刻过程的系统和方法。扫描器调整可以分为扫描器匹配、用于过程匹配的扫描器调整以及用于性能优化的扫描器调整。下文中,将要被调整的可调整的扫描器被称为目标扫描器,并且调整操作的期望的结果称为参考物。在特定的实施例中,调整的参考物可以被测量晶片轮廓或CD、模拟的晶片轮廓或CD、或设计目标多边形。
在本发明的特定实施例中,提供目标扫描器的模型,其中所述模型限定目标扫描器的灵敏度和目标扫描器的分量为一系列的可调整的参数。可以生成微分模型以表示目标扫描器与参考物的偏差。目标扫描器可以基于参考扫描器的设置和微分模型进行调整。
特定的实施例提供用于表征相关的扫描器族(scanner family)的性能相对于参考扫描器的性能的系统和方法。扫描器族可以包括由同一制造商制造的扫描器,并且在所述扫描器族中的扫描器可以属于相同的模型类型或不同的模型类型。扫描器族可以包括由不同制造商制造的扫描器,其中扫描器包括至少部分功能类似的元件。例如,使用指定波长的激光器的扫描器可以被模型化具有公共的基础模型。在扫描器族由公共的基础模型模型化的情形中,可以使用附加的微分模型以保持校准信息和包含个体族成员与公共基础模型的差异的特定的调整信息。微分模型可以包括诸如参数偏移量以及可以用于模拟成像行为中的差异的其他差别等信息。
本发明的特定实施例包括基于模型的模拟达到全芯片的水平、以确定获得的晶片轮廓和参考物之间的偏差。这种模拟的偏差与测量的偏差结合以推动目标扫描器设置的优化。在特定实施例中,所述优化包括一次或更多次迭代。
在特定实施例中,扫描器旋钮改变导致的临界尺寸(CD)或晶片轮廓的改变通过用于目标扫描器的灵敏度模型进行模拟。
本发明本身与其他目标和优点将通过下面详细的说明和附图得以更好地理解。
附图说明
图1示出根据本发明特定方面的光刻模型;
图2示出根据本发明特定方面的用于校准光刻模型的一般过程。
图3示出根据本发明特定方面的用于生成、调整以及优化微分光刻模型的过程。
图4示出根据本发明特定实施例的由扫描器量测补充的用于模拟和预测扫描器模型的光学参数的过程示例。
图5示出根据本发明特定方面的灵敏度建模。
图6示出根据本发明特定方面的用于校准多个扫描器的微分模型的过程。
图7图示出在本发明特定实施例中的基础模型参数和得出的模型参数之间的关系。
图8示出根据本发明特定方面的从微分模型生成模拟的轮廓。
图9是根据本发明特定方面的示出计算机系统的方框图。
图10示意地示出根据本发明特定方面的光刻投影设备。
图11是示出根据本发明特定方面的采用全芯片模拟的调整方法的流程图。
图12是示出根据本发明特定方面的采用小布局方法的调整过程的流程图。
具体实施方式
本发明的实施例将参考附图进行详细地描述,附图提供示例性的示例以便本领域技术人员实践本发明。特别地,下面的附图和示例不意味着将本发明的范围限制到单个实施方式,通过交换所述的或所示的元件的部分或全部也能够实现其他的实施例。在任何方便的情形中,相同的附图标记将在所有附图中表示相同的或类似的部件或部分。在使用已知的部件部分地或全部地实施这些实施方式的特定元件的情形中,这种已知的部件中的仅那些对理解本发明必要的部分将被描述或介绍,而这种已知的部件的其他部分的详细描述将被省略以便不会混淆本发明。在本说明书中,如果没有明确地说明,示出单数的部件的实施例不应该认为是限制,相反,本发明将包括其他包括多个相同部件的实施例,反之亦然。而且,如果没有明确地说明,申请人不是将说明书或权利要求中的任何术语用来描述不通用的或特定的意义。此外,本发明包含当前和将来已知的与这里通过示例示出的部件的等价物。
在本发明的特定的实施例中,采用全芯片晶片模拟和校验作为替换或补充用以扫描器调整的全芯片晶片测量。在模拟期间使用的模型可以包括灵敏度模型和微分模型。灵敏度模型描述的是,扫描器的成像行为响应于调整输入的改变(即当旋钮被调整时)。微分模型描述并用参数表示在已知的设置下光刻过程的行为中的差异。微分模型的校准使用扫描器传感器数据,例如Jones光瞳、照射器图等以及晶片量测数据。
图1示出根据本发明的特定方面的光刻模型10。光刻模型包括掩模模型100、光学模型102以及抗蚀剂模型104。在一些实施例中,光刻模型还包括蚀刻模型,为了清楚起见其在图中未示出。掩模模型可以反映由于多个掩模参数120的改变引入的变化性,光学模型102会被光学参数122的改变而影响,并且抗蚀剂模型104可以通过抗蚀剂参数124的设置来控制。模型10可以用于预测抗蚀剂轮廓164,或者,当包括蚀刻模型分量时,预测将从掩模设计140中生成的蚀刻后的轮廓。通过掩模参数120配置的掩模模型100形成预测的掩模图像160,所述预测的掩模图像160在被提供至光学模型102时基于光学参数122产生模拟的光学图像162。通过抗蚀剂参数124配置成的抗蚀剂模型104可以用于通过模拟的光学图像162预测抗蚀剂轮廓164。如果被包括,由蚀刻参数配置的蚀刻模型可以用于从抗蚀剂轮廓164预测蚀刻后的轮廓。
光学参数122包括可调整的参数和不可调整的参数,其中“可调整的参数”指的是在扫描器上可以调整的旋钮,例如NA(数值孔径),而“不可调整的参数”指的是不能调整的扫描器参数,例如用于典型的扫描器设计的Jones光瞳。本发明的方法不依赖于扫描器上哪个参数是可调整的或不可调整。为了模型校准,可以调整不可调整的和可调整的参数直到由模型生成的图像与由参考扫描器产生的实际成像结果匹配为止。模型校准中的参数调整的麻烦在于这些参数的已知程度,而不是可调整性。例如,如果通过扫描器量测可获得照射光瞳的精确测量,则在不进行进一步的调整的情况下可以在模型校准中直接使用这种测量。另一方面,没有经过扫描器量测直接测量的参数将要进行优化以便适合晶片数据。使用集成的透镜干涉仪可以执行扫描器量测测量。在一个实施例中,集成的透镜干涉仪是波前传感器,用于测量每个场点的透镜像差。波前传感器是基于错位干涉技术的原理并且包括源模块和传感器模块。源模块具有放置在投影系统的物平面中的图案化的铬层并且具有设置在铬层之上的附加的光学元件。这种组合将辐射的波前提供至投影系统的整个光瞳。传感器模块具有放置在投影系统的像平面中的图案化的铬层和放置在所述铬层后面一定距离处的照相机。传感器模块上的图案化的铬层将辐射衍射成若干个衍射级,所述若干个衍射级彼此干涉而产生干涉图。干涉图通过照相机测量。基于测量的干涉图可以通过软件确定投影透镜中的像差。
图2示出光刻模型222的校准的一般过程。一个或更多个掩模设计200可以用于校准。在一些实施例中,可以形成特定的掩模设计200用于校准,但是其他实施例中校准使用被形成用于产品用途的掩模设计。在光刻模型222中使用的建模的掩模、光学和抗蚀剂参数220被选择用于反映在光刻过程242中使用的掩模、光学元件和抗蚀剂效应240。所得的模拟的抗蚀剂轮廓224和测量的抗蚀剂轮廓244可以进行对比和分析,并且可以优化参数220以最小化模拟的轮廓和测量的轮廓之间的差别。使用价值函数260可以进行分析,在后面将进行详细地描述。
在特定的实施例中,模型校准过程用公式表示成极大似然问题,考虑并平衡所有测量结果和它们的相应的不确定因素,包括晶片量测(CD-SEM测量值和轮廓,散射仪等)和扫描器数据(设计的或测量的)。在特定实施例中,校准过程是迭代的,由此重复地调整模型参数以获得校准,提供由被确定成充分接近实际晶片数据的模型产生的成像结果。可以建立预定的误差标准和/或可以限定或量化用于“最佳匹配可能”的标准。在特定的实施例中,可以使用用于模拟扫描器的成像性能的任何合适的模型,包括例如那些在美国专利第7003758号中的系统和方法提供的。
绝对精确度对比微分精确度
对于传统的基于模型的OPC应用,重点主要在于名义曝光条件下的绝对预测精确度,通常相对于CD-SEM测量值。伴随着在过程窗口和感知过程窗口的OPC上的OPC校验的出现,重点已经延伸成覆盖在整个过程窗口上的预测精确度(美国专利申请第11/461,994号,″System and Method For Creating a Focus-Exposure model of a Lithography Process″)。然而,品质因数或灵敏值(figure of merit)在测量的和预测的CD之间保留差异。
对于包括匹配和性能优化的基于模型的扫描器调整重点必定是不同的。感兴趣的量包括由扫描器设置改变引起的CD差异、扫描器和扫描器之间的差异和/或过程与过程之间的差异。通常在几纳米或更小量级的量是可测量的,其与典型的OPC模型的绝对精确度是可比的。与OPC模型化所需的那些相比,建模、模拟和预测这种差异对模型精确度施加不同的要求。本发明特定的实施例采用解决并满足这些不同要求的新颖的算法。
图3示出用于生成、调整和优化微分光刻模型322的过程或工艺。掩模设计300被交付给多个扫描器342处理并在一系列的过程条件340下使用扫描器322的模型进行模拟。可以相对于物理生成的抗蚀剂轮廓344分析模拟的抗蚀剂轮廓324。价值函数360(下面介绍)可以用于调整模型参数320、以便获得可以精确地表征与多个扫描器相关的微分模型或模型的模型。
在抗蚀剂显影之后或蚀刻之后,微分精确模型仍然正式地模拟晶片上的图案轮廓。然而,这种模型的目标不一定是绝对CD精确度,而是在一个或更多个模型参数被扰动时,在预测CD改变或轮廓改变方面的精确度,以便考虑扫描器之间的差异,或模拟主动扫描器调整的效果。同样,模拟可以要求两个通过(pass),一个没有参数的扰动和一个具有参数的扰动。给定图案i的感兴趣的量为:ΔCDi=CD(图案_i,扰动的_模型)-CD(图案_i,未扰动的_模型)。
导出模型的生成
假定可以获得具有足够的微分精确度的模型(“微分模型”),本发明的特定方面有利于或促进基于微分模型和基础模型的导出模型的生成。在特定实施例中,基础模型与扰动前的模型相同,其中导出模型将与扰动后的模型相同。在这些实施例中,导出模型仅需要一次使用扰动模型的成像模拟。在另外实施例中,基础模型与扰动前的模型不同,其中导出模型需要三次成像模拟,每一次使用基础模型、未扰动模型和扰动模型。在后面的实施例中的一个中,基础模型可以是OPC模型。
灵敏度建模
图4示出旋钮(knob)设置400经由扫描器模型402和扫描器量测404对光学参数420的影响。特定的光学参数不会受到可获得的或已采用的扫描器旋钮的改变的影响,因此在扫描器量测中完全被固定。这样的示例包括装备没有带宽控制的激光器的扫描器的激光光谱。在其他情况中,光学参数受到旋钮改变的影响并且可以从扫描器模型402与扫描器量测404的组合中求导得出。例如,照射光瞳受NA和σ改变的影响并且受在特定类型的扫描器上的其他改变(包括椭圆率设置)的影响。同样地,使用光瞳测量结合扫描器模型可以预测照射光瞳。
图5示出本发明的基础方面,其包括预测在保持光刻过程的所有其他方面不改变的同时对于任意图案响应于一个扫描器上的设置改变的成像改变(即临界尺寸改变和轮廓改变)。在示出的示例中,执行一系列的N模拟,其中每个模拟生成(分别)与在模拟条件下可以获得或生成的测量轮廓560-562相对应的模拟轮廓540-542。每个模拟由扫描器模型510使用的一系列不同的旋钮设置500-502区别开。扫描器模型510生成可以可选地使用来自扫描器量测512的输入生成的光学参数520-522,并且光学参数520-522用于生成对应的模拟轮廓540-542。分析模拟的轮廓540-542和测量的轮廓560-562以生成、校准和优化模型参数572。在一个示例中,模拟的和测量的轮廓可以使用价值函数570进行数学处理。
象征性地,灵敏度建模的目标是预测图案i的响应于旋钮变化Δkj的CD改变ΔCDi。对于典型的扫描器调整应用,线性模型可以合理地、良好地使用,因为调整的量小,但是本发明决不限于线性模型。因而,在线性模型可应用的情形中,
Δ CD i = Σ j ∂ CD i ∂ k j Δ k j
灵敏度模型的用途是给定掩模图案i来计算偏导
Figure BPA00001266056700101
由偏导的链式法则:
∂ CD i ∂ k j = Σ m ∂ CD i ∂ p m ∂ p m ∂ k j
其中pm指的是扫描器模型中的物理参数。因此,明显的是,第一因子
Figure BPA00001266056700103
与光刻成像模型相关,而第二因子
Figure BPA00001266056700104
与扫描器模型相关。
在更为普遍的、非线性的情形中,物理性质和模型可以表示为:
CDi(kj)=f(kj)=flitho(pm)=flitho(fscanner(kj))抗蚀剂、光学和扫描器物理性质可以表示为独立的建模分量。灵敏度模型的精确度依赖于光刻模型(光学和抗蚀剂)和扫描器模型510两者的精确度。
抗蚀剂模型可以是经验的或可以是基于抗蚀剂过程的物理和化学方面。光学模型通常是物理模型并基于第一原理,并且可以使用特定效应的近似处理,例如EM辐射被掩模散射的三维散射,以便缩短模拟时间。其他近似也是可以的,包括例如光学相互作用范围的截断(也是熟知的有限范围),或霍普金森(Hopkins)方法中的TCC本征级数的截断。扫描器模型510可以基于物理考虑和扫描器的设计知识。对于扫描器模型存在不同的严格水平。例如,基于射线追踪的模型可以生成光瞳的非常精确的预测,但是计算成本很高。通过校准严格的模型或测量值可以构建近似的且更富经验的模型。
灵敏度模型精确度的概念与模型可分离性的概念密切相关,对不同的扫描器设置均必须与成像预测一起使用。参见例如美国专利申请第11/461,929号和11/530402号。对于OPC型的应用,在整个过程窗口上(通常是聚焦和曝光)对于预测精确度期望使用可分离的模型,并且在曝光设置改变时为了缩短模型校准周转时间期望使用可分离的模型。通常光刻模型包括光学模型、抗蚀剂模型,并且有时包括蚀刻模型,可分离性在不同的模型步骤之间是重要的。
用于扫描器调整用途的灵敏度模型的一个求微分因子是预测性的扫描器模型的结合,这需要扫描器设计的详细知识。扫描器模型510的示例分量是照射器预测器模型,其模拟照射光学元件并预测在掩模版平面处的照射。在灵敏度建模的情形中,在曝光设置改变的条件下(例如NA、σ以及PUPICOM设置)这种模型预测照射器中的改变。
模型形式的可分离性还允许:当对于多个扫描器来说抗蚀剂过程相同或充分接近时,并且在校准的抗蚀剂模型是使用一个扫描器从光刻过程得出的精确校准的灵敏度模型的一部分的情况下,精确校准的抗蚀剂模型接入(port)在多个扫描器之间。在实际使用时灵活性是重要的,因为抗蚀剂模型比光学和扫描器模型倾向于更富经验性,因而要求更多的来自基于晶片的校准的约束。由此接入抗蚀剂模型允许有效地使用晶片量测。扫描器模型510和光学模型更多地基于第一原理和已知的物理学,并且较少依赖于晶片测量值。
在其他实施例中,在抗蚀剂部分光刻工艺或过程基本上是不同的。例如,一个过程采用浸没光刻技术而另一过程不是;两个过程通常使用完全不同的抗蚀剂材料和膜叠层。在这样的示例中,抗蚀剂模型不可接入在两个工艺或过程之间并且灵敏度模型需要分开建立,因为抗蚀剂效应是完成不同的。
为了校准灵敏度模型,一些实施例包括详细的扫描器数据,例如Jones光瞳、台振动、由于色差带来的焦点模糊以及激光光谱等。在特定实施例中,校准灵敏度模型要求在多个扫描器设置、或扰动条件(kj+Δkj)加名义条件kj的条件下采用晶片量测数据。对于每个扰动条件可以改变一个或更多个旋钮。灵敏度模型校准的价值函数是:
Σ n Σ i = 1 max _ i ( n ) w absolute ( n , i ) | CD Model ( n , i ) - CD Wafer ( n , i ) | 2
+ Σ n ∈ perturbed Σ i = 1 max _ i ( n ) w sensitivity | ( CD Model ( n , i ) - CD Model ( no min al , i ) ) - ( CD Wafer ( n , i ) - CD Wafer ( no min al , i ) ) | 2
其中第一项通过模型和晶片的加权RMS差来量化绝对精确度,第二项通过比较模型预测的CD改变与晶片测量的CD改变来量化灵敏度精确度。绝对精确度和灵敏度精确度的相对加权可以调整。除了RMS还可以使用其他量度,例如范围(最大值-最小值)或LP范数。然后校准被应用于优化问题,通常受到约束。
校准的灵敏度模型可以应用于全芯片水平以预测在芯片设计中发生的所有图案中的成像差异。
要注意的是,灵敏度模型可以是与用于OPC或甚至用在OPC校验中的光刻模型相同或不同的。在特定的实施例中,灵敏度模型采用比与掩模、扫描器光学元件和抗蚀剂相关的OPC模型更多的光刻过程的知识。例如,在特定的实施例中OPC模型仅使用名义或理想的光学元件,其中使用薄掩模或基尔霍夫(Kirchhoff)边界条件用于掩模衍射,使用小的光学相互作用范围和/或使用少量的TCC本征级数展开项。这些建模方法对于灵敏度建模的精确度要求是不够的。因此,在特定的实施例中,灵敏度模型采用更为精确的有关扫描器光学元件、三维掩模衍射、较大的光学交互作用范围和/或较大量的TCC项的信息。用于灵敏度模型的校准的测试图案可以是与那些用于OPC或OPC校验模型相同或不同的。
在特定实施例中,灵敏度模型可以与不同的基础模型结合(例如OPC模型)以形成新的导出模型。该新的导出模型可以通过将由微分模型得到的ΔCD或轮廓边缘位置应用到由基础模型得到的模拟的CD或轮廓边缘位置而形成,但是也可以通过将Δ应用于模型参数、模拟的空间图像、或模拟的抗蚀剂图像来形成。只有在基础模型包含将要被扰动的参数并且以精确的方式使用这些参数的时候将Δ应用于模型参数是可行的。在特定的实施例中,基础模型是具有不同形式的、或者具有建模软件的不同制造商的、或者具有模型分量的不同公式表示的校准模型,这将对直接应用参数Δ带来困难。具体地,基础模型可以使用大礼帽式照射形状,其中将Δσ的值应用于大礼帽式照射将不会得出精确的结果。在基础OPC模型中的抗蚀剂模型在微分精确度方面也很可能不足。在这种情况下,将基础OPC模型和处于模拟的CD或轮廓水平的灵敏度模型结合是可行的。
将灵敏度模型与基础OPC模型结合得到至少两个好处。第一,OPC模型通常使用一大系列的图案校准,并且用以确保绝对CD预测精确度符合特定的要求。因此,将灵敏度模型与OPC模型结合可以在存在扫描器旋钮或参数改变的情况下得到绝对CD的精确预测。第二,OPC校正可以通过OPC模型完成,这意味着由OPC模型得到的模拟的轮廓被预期为非常接近预-OPC目标图案。因此,在存在扫描器旋钮或参数变化的情况下,将灵敏度模型与OPC模型结合使得能够相对于预OPC目标进行基于模拟的校验。
微分建模
在一些实施例中,系统水平模拟包括相对于参考扫描器的性能限定相关的扫描器族的性能。扫描器族包括由一个商家制造的扫描器,并且可以属于相同的模型类型。扫描器族可以包括由不同的商家制造的扫描器,其中扫描器包括至少一些功能类似的元件。扫描器族由公共的基础模型加上附加的微分模型建模,以保持包含个体族成员相对于公共基础模型的差异的校准信息。
图6示出根据本发明的特定方面的多个扫描器的微分模型的校准过程。在示出的示例中,一系列的N个扫描器600-602被模拟。扫描器模型610使用来自扫描器量测612的输入为扫描器600-602中的每一个生成光学参数620-622。光学参数620-622被用于生成相应的模拟轮廓640-642,其随后与测量的轮廓660-662一起处理以校准和优化模型参数672。使用价值函数670可以数学处理模拟的和测量的轮廓。
为了微分模型校准的用途,可以调节不可调整的和可调整的扫描器参数,直到由模型产生的模拟差异与实际的晶片差异匹配。微分模型校准中的参数调整的麻烦在于这些参数的已知程度,而不是可调整性。例如,如果通过多个扫描器600-602的扫描器量测612,照射光瞳的精确测量是可应用的,则这种测量值可以直接用在模型校准,而不需要进一步的调整。另一方面,没有经由扫描器量测612的直接测量的参数将被优化,以便适应晶片数据。在特定的实施例中,模型校准过程被用公式表示为极大似然问题,其考虑并平衡所有测量值和它们的各个不确定性,包括晶片量测(CD-SEM测量和轮廓、散射测量等)和扫描器数据(设计的或测量的)。
在某些实施例中,微分建模应用于多个不同的光刻过程并包括除了扫描器外的光刻步骤中的差异,包括例如掩模差异(空间偏离分布、由于掩模制造形成的近似效应以及角部倒角等)、抗蚀剂材料差异(淬火剂浓度、扩散)、轨迹差异(烘烤温度)以及蚀刻差异。
与微分模型校准相关的一个重要问题是:根据一系列的所选的校准图案对成像产生的影响,不同过程参数之间可能存在劣化(degeneracy)。这意味着,校准图案上的成像差异会被错误地归因于作为校准结果的参数差异,这种参数差异与真实的差异相差甚远,因为特定的参数可能已经相关于或者劣化了对次优选的系列校准图案的成像的影响。例如,曝光剂量差异会被掩模偏差削弱,两者引起特征CDs沿一个方向改变(变大或变小)。这个问题被晶片测量中存在的随机的噪音加重。基于这个原因,一些实施例选择对参数差别以“正交”方式敏感的图案。另外,尤其是对于不被校准系列覆盖的图案,错误地校准的参数差别会导致成像差异的错误预测。
模拟可以用于预测从物理目标扫描器
Figure BPA00001266056700141
和物理参考扫描器
Figure BPA00001266056700142
获得的物理结果的差异,表示为:
( CD DEV Wafer - CD REF Wafer )
识别模型化目标扫描器
Figure BPA00001266056700144
和模型化参考扫描器
Figure BPA00001266056700145
的结果的差异的微分模型可以表示为:
( CD DEV Model - CD REF Model )
因而微分模型的精确度可以表示为:
( CD DEV Wafer - CD REF Wafer ) - ( CD DEV Model - CD REF Model )
基于上面的数量、对应一系列测试图案计算的RMS或其他度量(范围、LP范数等)被用作微分模型的校准的价值函数。
特定实施例采用当晶片数据对于当前的过程条件和调整的目标过程条件都是可用的时候将要被使用的校准过程。例如,当两个物理扫描器将要在相同的抗蚀剂过程下建立模型时,使用当前的扫描器和目标扫描器条件对晶片数据实施结合校准。通常这需要执行结合的模型校准处理,其允许抗蚀剂模型参数变化但是驱使它们在当前扫描器条件和目标扫描器条件下是相同的,并且允许扫描器参数在两个条件下单独地改变。在结合校准之后,同时获得灵敏度模型和微分模型。
为了使用微分校准的结果,从基础模型和校准的参数差异而形成新的模型。该导出模型和基础模型之间的模拟的CD差异被用作从晶片测量值得到的实际差别的预测。图7用图表示出基础模型参数70和导出模型参数72之间的关系:使用基础模型70的掩模参数700和差值710可以计算在导出模型72中的掩模参数720;使用基础模型70的光学参数702和差值712可以计算导出模型72的光学参数722;以及使用基础模型70的抗蚀剂参数704和差值714可以计算导出模型72中的抗蚀剂参数724。
在特定的实施例中,微分模型可以与不同的基础模型(例如OPC模型)结合以形成新的导出模型。优选地,可以通过将微分模型的ΔCD或轮廓边缘位置应用于基础模型的模拟的CD或轮廓边缘位置来形成所述新的导出模型,但是可以通过将Δ应用于模型参数、模拟的空间图像或模拟的抗蚀剂图像来形成所述新的导出模型。只有当基础模型包含将要被扰动的参数,并且以精确的方式使用这些参数时,将Δ应用于模型参数是才可行的。在特定的实施例中,基础模型是具有不同形式的、或具有不同建模软件厂商的、或具有不同模型分量公式的校准模型,这将引起直接应用参数Δ的困难。具体地,基础模型可以已经使用大礼帽式照射形状,其中将Δσ的值应用于大礼帽式照射将不会得出精确的结果。在基础OPC模型中的抗蚀剂模型在微分精确度方面也很可能不足。在这种情况下,将基础OPC模型和处于模拟的CD或轮廓水平的灵敏度模型结合是可行的。
如图8所示,掩模设计800被用作光刻模拟的输入。由光刻模型A820(基础模型)生成模拟的轮廓A840。从微分模型,从模型821和822生成模拟的轮廓841和842。轮廓821和822之间的Δ被加到轮廓840上以形成最终的模拟轮廓880。在一些实施例中,对沿轮廓的法线方向的边缘移动应用算法运算(+和-)。
从微分模型与基础OPC模型的结合得到至少两个好处。第一,OPC模型通常使用一大系列的图案校准,并且用以确保绝对CD预测精确度符合特定的要求。因此,将微分模型与OPC模型结合可以在存在光刻过程差别(包括扫描器差异)的情况下得到精确的绝对CD的预测。第二,OPC校正可以与OPC模型一起执行,这意味着由OPC模型得到的模拟的轮廓被预期为非常接近预-OPC目标图案。因此在存在光刻过程差别的情况下,将微分模型与OPC模型结合允许相对于预OPC目标进行基于模拟的校验。
使用调整的模型的扫描器调整和模拟
对于扫描器匹配和性能优化,基于灵敏度模型和基础模型,加上旋钮偏移量,生成调整后的模型。这包括使用灵敏度模型的抗蚀剂模型部分、改变表示扫描器旋钮的参数为包括旋钮偏移量以及与基础模型结合。
在本发明的特定实施例中,采用全芯片晶片模拟和校验作为用于扫描器调整的全芯片晶片测量的替换。期望的轮廓目标和实际的轮廓(测量的或模拟的)之间的差异可以用于推动必要的旋钮偏移量的计算,使得印刷的轮廓在可接受的容差内匹配目标。下面描述与用于调整偏移量生成、模拟以及校验的方法相关细节。
根据本发明的方面,可以允许扫描器调整为已知的模型或已知的晶片轮廓或其他目标图案。根据本发明的方面提供的过程允许光刻过程漂移校正、给定OPC过程的扫描器优化、特定器件掩模的扫描器优化,以便获得已知的掩模误差的扫描器优化以及优化CDU。
在需要的情况下,对图案的调整的影响可以使用OPC校验工具进行分析,因为模型可以定量地分析与调整相关的改变对全芯片图案的模型的影响。在根据本发明特定方面的一个示例中,合适的方法可以包括:使用OPC校验工具以使用模型在调整之前和之后模拟全芯片晶片上轮廓的步骤,和对比两个轮廓之间的差异以分析两个模型之间的差异。
光刻设备和过程调整
下面描述根据本发明其他方面的用于调整偏移量生成、模拟以及校验的发明方法。
在一个实施例中,调整参考物包括测量的晶片轮廓。在替换的实施例中,调整参考物包括CD、模拟的晶片轮廓或CD、设计目标多边形或上述类型的调整参考物的任意的组合。在一个实施例中,参考物的不同类型(例如晶片测量值、晶片模拟和设计多边形)应用于芯片上的全部图案的子集。测量的和/或模拟的晶片轮廓可以用作调整参考物,以便匹配两个或更多个扫描器的性能并且减小制造过程中的变化性。设计目标多边形可以用作调整参考物、以便改善晶片上的图案保真度,包括例如CD一致性。应该认识到,扫描器调整的最终目的是改善制造集成电路芯片时的产量,或改善由根据本发明调整的光刻设备制造的工作集成电路芯片的电性能。
基于过程差异的类型或将要被补偿的缺陷,扫描器调整可以分为扫描器匹配、用于过程匹配的扫描器调整以及用于性能优化的扫描器调整。在最简单的情形中,采用扫描器匹配补偿扫描器与扫描器之间的差别并且在没有掩模、抗蚀剂或蚀刻差异的情况下匹配多个扫描器的成像性能。附加地,过程差异可以存在于掩模、抗蚀剂或蚀刻(除了潜在的扫描器差异),并且扫描器可以被调整为补偿所有的差异以获得过程匹配。在另一示例中,扫描器调整可以补偿由OPC校正和/或掩模制造过程中的缺陷带来的重大缺陷或限制产率的缺陷。调整还可以用来改善器件层的CD均匀性。
在特定的实施例中,用在调整中的扫描器可以由相同的制造商提供并且可以是相同的类型(例如可以都是ASML XT:1900i扫描器),或相同的制造商而不同的类型(例如一个ASML XT:1900i扫描器,一个是ASMLXT:1700i扫描器),或由不同制造商制造的扫描器。
模型生成和模拟
本发明的特定实施例评估并优化对作为扫描器调整结果的一大系列的图案(包括全芯片)的成像影响。当前晶片量测的技术不能提供实现这个目标的经济的方法。在一个实施例中,灵敏度模型用于导出一系列想要的参数值(旋钮偏移量)并且想要的参数值(旋钮偏移量)用于获得模拟的晶片轮廓。在另一实施例中,微分模型被用于预测参考物和目标扫描器之间的轮廓和/或CD差异。上面给出了模型生成和模拟的细节。用于模拟成像性能的合适的模型包括例如在美国专利第7003758号中描述的系统和方法。
调整流程
本发明的特定的实施例包括用于调整光刻过程的系统和方法。根据本发明的特定的方面,扫描器的可调整的和不可调整的特征可以被模型化并且用于促进调整。可以使用目标扫描器的灵敏度模型朝向参考物调整目标扫描器,其中灵敏度模型限定目标扫描器相对于一系列可调整的参数的成像灵敏度。可以生成目标扫描器微分模型以表示目标扫描器在成像性能方面相对于参考物的偏差。微分模型可以包括扫描器之间的性能特性的不可调整的差异,其在某些情况中可以通过其他可调整参数的调整而被调节。
在特定的实施例中,调整方法包括一次或更多次的迭代并且需要在每一次迭代中使用全芯片模拟数据的全芯片模拟和校验。在一个实施例中(图11),基于有限系列的调整目标图案(例如一维贯穿节距的图案)初始地生成试验调整方案(即,试验系列的参数值)。使用线性或非线性优化过程生成试验的调整方案,这解决旋钮偏移量(参数值)的结合并最小化量化与期望的参考值之间的偏差的价值函数。使用这种试验方案,根据上述参照图1-8描述的过程,使用调整方案将应用于其上的全芯片布局1100,可以生成新的光刻模型,其馈入到全芯片模拟步骤1120。校验步骤1160根据特定的用户限定的规则和容差检测热斑,将通过模拟1120生成的模拟的轮廓1122与单独地通过对相同全芯片布局1100上的参考物应用模型的模拟步骤1140生成的参考物轮廓1142对比。如果在步骤1162识别到一个或更多个热斑,随后在步骤1180将热斑加到系列的调整目标图案以推动新一轮的优化1182,通过新一轮的优化生成更新的、调整的光刻模型1184。更新的模型1184将反馈到模拟步骤1120中,由此开始新的迭代。在收敛时,获得优化的调整方案1164,其折衷并平衡存在于全芯片布局上的所有图案的成像性能。
在特定实施例中,调整过程包括一次或更多次迭代,其中在每一次迭代中对减小数量的系列图案执行模拟和校验,这里称为“小布局”。参考图12,小布局1206包括通过模拟和校验步骤1202从实际的全芯片布局1200中选择的一系列的“温点(warmspot)”,其可以识别在步骤1204中选择的布局中的包含在小布局1206中的临界区域和缺陷区域。温点的选择1204通常是基于图案临界性和对光学变化的灵敏度的考虑。选择标准可以包括超过名义或扰动条件下的下限的轮廓CD(表明桥接或缩颈的风险)、超过名义或扰动条件下的上限的轮廓CD和目标CD之间的差异、超过上限的名义条件和扰动条件之间的轮廓CD的差异(表明图案对光学变化过于敏感)、超过上限的名义掩模图案和偏离的掩模图案之间的轮廓CD的差异(表明对掩模误差的高灵敏度)以及超过下限的空间图像或抗蚀剂图像斜率(表明对曝光剂量和其他过程效应的高灵敏度)。
将要通过调整优化的价值函数反映调整的目标和参考物。在一个实施例中,价值函数包括对应多个图案的多个项,每一项定量表示从一个或更多个图案上的参考物轮廓获得的轮廓的偏差。在一些实施例中,这些项以预定的权重进行加和,以计算整个价值函数。在特定的实施例中,价值函数项采用均方误差的和的形式或其他适当限定的所获轮廓和参考物轮廓之间的误差的范数。在一些实施例中,价值函数项对于不同的图案类型具有不同的形式,并且包括量度,例如特定图案类型(例如一维贯穿节距图案)的最小-最大范围。在特定实施例中,价值函数项对于参考物CD周围的正的和负的误差是不对称的。例如,如果图案显示桥接或缩颈的潜在风险,则对于调整后的CD在较大侧比在较小侧对误差的危害小;因而相对于较大的CD价值函数对较小的CD带来的恶化更严重。在特定的实施例中,将代表用户对这种图案的成像性能的重点要求的约束条件应用于特定图案的偏差。
用于扫描器调整的价值函数为:
Σ i α i norm ( CD i TUNED ( Δ k j ) - CD i REF )
其中Δkj表示目标扫描器上的旋钮偏差,下标j用于对可调整的旋钮索引,
Figure BPA00001266056700192
表示所获得的轮廓和参考物轮廓之间的特定成像量度的偏差,下标i用于对调整目标系列之间的不同图案索引,αi表明与相关图案的量度相关的价值项的权重。这里使用项“CD”象征性地表示一个或更多个成像量度,例如临界尺寸、边缘布置、重叠差异以及包括聚焦和曝光宽容度的过程窗口,并且成像量度的选择可以从图案到图案进行变化。范数可以包括平方和的一个或更多个,即欧几里得、LP-范数、最小-最大范围等。范数可以在成像量度中相对于正的和负的差异是不对称的。参考物可以选定为测量的晶片轮廓、模拟的晶片轮廓或设计目标多边形。扫描器调整的目标是通过选择旋钮偏移量最小化该价值函数。
不同的线性和非线性的优化技术和算法可以用于计算旋钮偏移量,包括最小平方方法、二次方程式编程、基于梯度的方法(例如高斯-牛顿)、利文贝格-麦夸特(Levenberg-Marquardt)以及BFGS算法和简化的方法。通常,扫描器旋钮受到机器约束,其可以并入优化步骤中。
为了说明这些概念,下面使用线性的情形作为示例。在这种情况下,上述的价值函数可以写成
norm ( CD i UNTUNED + Σ j Δ k j ∂ CD i ∂ k j - CD i REF )
其中从灵敏度模型生成CD对旋钮的偏导。在一些实施例中,范数是欧几里德,并且旋钮偏移量可以通过最小平方方法求解。此外,对于参考物是来自参考扫描器或参考物过程的轮廓时的扫描器匹配或过程匹配,上述价值函数可以写成
norm ( CD i UNTUNED + Σ j Δ k j ∂ CD i ∂ k j - CD i REF )
= norm ( Δ CD i DIFFERENTIAL + Σ j Δ k j ∂ CD i ∂ k j )
其中是由微分模型预测的未调整的扫描器和参考物之间的CD差值。
基于模型的扫描器调整提供大量的优于传统方法的优点。本发明的特定方面提供一种系统的和成本有效的方法,用于优化成像性能和不同的光刻系统(包括用于将公共的目标图案成像的扫描器)之间的OPE匹配。
在需要的情况下,调整对图案的影响可以使用OPC校验工具(例如Brion′s Tachyon光刻可制造性检查(“LMC”))进行分析,因为模型可以定量地分析调整相关的改变对全芯片图案的模型的影响。在一个根据本发明的特定方面的示例中,合适的方法包括使用LMC以在调整之前和之后使用模型模拟全芯片晶片上轮廓的步骤,和将两个轮廓之间的差异对比以分析两个模型之间的差异的步骤。
再看图9,计算机系统900可以配置成辅助本发明的特定实施例的基于模型的过程模拟方法。计算机系统900包括用于通信信息的总线902或其它用于通信信息的通信机构,以及与总线902耦合的用于处理信息的处理器904。计算机系统900还包括耦合至总线902、用于存储由处理器904执行的指令和信息的主存储器906,例如随机存取存储器(“RAM”)或任何其它合适的动态存储装置。主存储器906也可用来存储在将由处理器904执行的指令的执行期间产生的临时变量或其它中间信息。计算机系统900还包括用来存储用于处理器904的指令和静态信息的耦合至总线902的只读存储器(ROM)908或其它静态存储装置。提供有存储装置910,例如磁盘或光盘,并将其耦合至总线902用来存储信息和指令。
计算机系统900可通过总线902或其他连接装置耦合至显示系统912,例如配置和适于用来显示信息给计算系统900的用户的阴极射线管(CRT)或平板或触摸板显示器。包括字母数字键和其它键的输入装置914耦合至总线902,用于对处理器904进行信息和命令选择通信。可以使用另一种类型的用户输入装置,包括光标控制器916(如鼠标、轨迹球或光标方向键),用于与处理器904进行方向信息和命令选择通信并用于控制光标在显示器912上的移动。这种输入装置通常在两个轴线上具有两个自由度,这允许所述装置指定平面上的位置。触摸板显示器也可用作输入装置。用户的输入和输出可以使用有线的或无线的网络远程地提供。
根据本发明的一个实施例,可响应于用于执行包含在主存储器906中的一个或多个指令的一个或多个序列的处理器904,由计算机系统900执行扫描器调整过程的一部分,例如模拟操作。这样的指令可从另一计算机可读介质(如存储装置910)读入到主存储器906中。包含在主存储器906中的指令序列的执行使得处理器904执行这里所述的过程步骤。还可以采用多处理布置中的一个或多个处理器以执行包含在主存储器906中的指令序列。在替换的实施例中,硬连线的(hardwired)电路可代替软件指令或与软件指令结合使用以实施本发明。因此,本发明的实施例并不限于任何特定的硬件电路和软件的组合。
这里使用的术语“计算机可读介质”涉及能参与向处理器904提供指令用于执行的任何介质。这样的介质可采用多种形式,包括但不限于,非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,如存储装置910,并且可以相对于处理器904本地提供或通过网络连接远程提供。非易失性存储可以从计算系统904去除,例如蓝光、DVD或CD存储或存储卡或存储棒的示例,其可以通过标准接口(包括USB等)容易地连接到计算机或从计算机脱开。
易失性介质包括动态存储器,例如主存储器906。传输介质包括同轴电缆、铜线和光纤,包括包含总线902的导线。传输介质也可采用声学或光波形式,诸如在射频(RF)和红外(IR)数据通信期间产生的那些光学介质。计算机可读介质的一般形式包括例如软盘、软碟、硬盘、磁带,任何其它磁性介质,CD-ROM、DVD、蓝光,任何其它光介质,穿孔卡片、纸带,任何其它具有孔图案的物理介质,RAM、PROM和EPROM、FLASH-EPROM,任何其它存储器芯片或卡带,如下文所描述的载波,或其它任何计算机可读取的介质。
计算机可读介质的各种形式可以涉及将一个或多个指令中的一个或多个序列传送至处理器904以便执行。例如,指令可能最初存在于远程计算机的磁盘上。远程计算机可将指令加载到其动态存储器中并采用调制解调器经由电话线发送指令。位于计算机系统900本地的调制解调器可接收电话线上的数据并利用红外发送器将数据转换成红外信号。耦合到总线902的红外检测器可接收加载在红外信号中的数据并将数据置于总线902上。总线902将数据传送到主存储器906,其中处理器904从主存储器906中获取并执行指令。被主存储器906接收的指令在其被处理器904执行之前或之后可选择地存储在存储装置910上。
计算机系统900还优选包括耦合到总线902的通信接口918。通信接口918提供耦合至连接到本地网络922的网络链接920的双向数据通信。例如,通信接口918可以是用以提供数据通信连接至相应类型的电话线的综合服务数字网(ISDN)卡或调制解调器。作为另一示例,通信接口918可以是用以提供数据通信连接至可兼容的LAN的局域网(LAN)卡。也可采用无线链接。在任何这样的实施方式中,通信接口918发送并接收携带表示各种类型信息的数字数据流的电学的、电磁的或光学的信号。
网络链接920通常通过一个或多个网络向其它数据装置提供数据通信。例如,网络链接920可提供通过本地网络922到主机924的连接或到由互联网服务提供商(ISP)926运行的数据设备的连接。ISP 926则反过来通过如今通常称为“互联网”928的全球分组数据通信网络提供数据通信服务。本地网络922和互联网928都采用携带数字数据流的电学的、电磁的或光学的信号。通过各种网络的信号和网络链接920上的并通过通信接口918的信号是传输信息的载波的示例性形式,其中通信接口918向计算机系统900加载数字数据和从计算机系统900获取数字数据。
计算机系统900可通过网络、网络链接920和通信接口918发送信息并接收数据,包括程序代码。在互联网示例中,服务器930可通过互联网928、ISP 926、本地网络922和通信接口918发送应用程序所需要的代码。根据本发明,例如,一种下载的应用可以提供实施例的照射模拟。接收到的代码当其被接收时可通过处理器904来执行,和/或存储在存储装置910或其它非易失性存储装置中用于后续执行。以这种方式,计算机系统900可获得载波形式的应用代码。
图10示意地示出光刻投影设备的一个示例,其可以通过运行根据本发明的特定方面提供的程序由调整而受益。所述设备包括:
-辐射系统Ex、IL,其用于提供投影辐射束PB。在这个示例中,辐射系统还包括辐射源LA;
-第一载物台(或掩模台)MT,其设置有用于保持掩模MA(如掩模版)的掩模保持装置,并连接到用以相对于投影系统PL精确定位掩模的第一定位装置;
-第二载物台或衬底台WT,其设置有用于保持衬底W(如涂覆有抗蚀剂的硅晶片)的衬底保持装置,并连接到用以相对于投影系统PL精确定位衬底的第二定位装置;
-投影系统或“透镜”PL(如折射式的、反射式的或反射折射式的光学系统),其用于将掩模MA的被辐射部分成像到衬底W的目标部分C(如包括一个或多个管芯)上。
如这里描述的,该设备是透射型的,具有透射式掩模。所述设备还可以是反射型的,例如具有反射式掩模。可选地,该设备可采用另一种图案形成装置作为掩模使用的替换;示例包括可编程反射镜阵列或LCD矩阵。
源LA可以是例如汞灯或准分子激光器或产生辐射束的其他装置。例如,该束直接地或在穿过诸如扩束器“EX”等调节装置而被调节之后,进入照射系统或照射器(“IL”)。照射器IL可包括用于设定所述束中的强度分布的外部和/或内部径向范围(通常分别称为σ-外部和σ-内部)的调节装置“AM”。此外,照射器IL通常包括各种其它部件,例如积分器IN和聚光器CO,并且最终的束PB可以照射到掩模MA上,其中所述束在其横截面上具有所需的均匀性和强度分布。
参照图10,源LA可位于光刻投影设备的壳体内(尤其是当源LA是汞灯时)。源LA也可远离光刻投影设备,通过合适的定向反射镜和/或透镜等的帮助,源LA产生的辐射束通过光引导器被引导进入所述设备。在一个示例中,包括基于KrF、ArF或F2产生激光的准分子激光器的源LA可以位于离开投影设备一定距离的位置处。
在示出的示例中,束PB随后与保持在掩模台MT上的掩模MA相交。在穿过掩模MA后,束PB穿过透镜PL,该透镜将束PB聚焦到衬底W的目标部分C上。在第二定位装置和/或干涉测量装置IF的帮助下,衬底台WT可以被精确地移动以便将不同目标部分C定位于束PB的路径中。类似地,典型地在从掩模库机械获取掩模MA之后,或在扫描期间,可以将所述第一定位装置用于相对于所述束PB的路径精确地定位所述掩模MA。通常,可以通过图10中未明确示出的长行程模块或粗定位系统和短行程模块或精定位系统的帮助来实现载物台MT、WT的移动。然而,在晶片步进机的情形中,掩模台MT可仅连接到短行程致动器,或可以是固定的。
在示例中所述的系统可以在不同的模式中使用,包括:
-在步进模式中,将掩模台MT保持基本静止,并且将整个掩模图像一次投影(即,单一的“闪”)到目标部分C上。然后将所述衬底台WT沿X和/或Y方向移动,使得可以用所述束PB辐射不同的目标部分C;
-在扫描模式中,基本上使用相同的情形,除了给定目标部分C不在单一“闪”中曝光,而是掩模台MT在给定方向(所谓“扫描方向”,如y方向)上是可移动的,并具有速度v,以使得投影束PB扫描掩模图像;同时,衬底台WT沿相同或相反的方向以速度V=Mv同步地移动,其中M是透镜PL的放大倍数;通常,M=1/4或1/5。以这种方式,在保持系统分辨率的情况下,可以曝光相对大的目标部分C。
根据本发明的特定方面提供的系统和方法可以模拟或数学模型化任何用于对亚波长特征成像的一般性成像系统,且可以设想所述系统和方法能够有利地使用能够产生越来越小的尺寸的波长的新兴成像技术。已经使用的新兴技术包括能够采用ArF激光器产生193nm波长,甚至能够采用氟激光器产生157nm波长的EUV(极紫外)光刻术。而且,通过使用同步加速器或通过用高能电子撞击固体或等离子体材料以便产生在20nm-5nm范围内的光子,EUV光刻术能够产生20-5nm范围内的波长。因为大多数材料在这个范围内是吸收性的,通过具有钼和硅的多个叠层的反射镜,可产生照射。多个叠层反射镜可以具有40层钼和硅层对,其中每层的厚度是四分之一波长。采用X-射线光刻术甚至可以产生更小的波长。通常,同步加速器用来产生X-射线波长。因为大多数材料在X-射线波长下是吸收性的,吸收材料的薄片根据分别使用的是正的或负的抗蚀剂来限定哪里印刷特征或哪里不印刷特征。
虽然在此公开的构思可用于在衬底(如硅晶片)上成像,应当理解,所公开的构思可用于任何类型的光刻成像系统,例如那些用来在除硅晶片之外的衬底上成像的系统。
本发明的特定方面的附加的描述
本发明的特定实施例提供用于扫描器的系统水平匹配的系统和方法。这些实施例中的一些包括步骤:保持参考物模型,其识别参考扫描器的灵敏度为一系列的可调整的参数,生成目标扫描器的微分模型,所述微分模型提供参考物模型和识别目标扫描器的灵敏度的目标模型之间的绘图,以及基于微分模型和参考物模型调整目标扫描器。
在这些实施例中的一些实施例中,在模拟期间使用调整和校准信息的组合。在某些实施例中,调整和校准信息被表示成表征选择的扫描器和参考扫描器之间的成像性能的差异的微分模型,由此参考物扫描器模型化理想扫描器或典型的扫描器的性能。在一些实施例中,形成理想的扫描器。在一些实施例中,理想扫描器首先是基于扫描器的设计要求。在一些实施例中,理想扫描器在指定的名义值执行。
在一些实施例中,参考物模型变更为反映一个或更多个扫描器的真实的性能。在一些实施例中,从名义值观察到的偏差被加到模型。在一些实施例中,表征多个扫描器的扫描器操作环境、用在芯片制造中的材料的类型以及其他因素。在一些实施例中,基于归因于操作环境的偏差调整参考物模型。
虽然本发明已经参照具体的示例性实施例进行了描述,但是本领域技术人员明白,在不脱离本发明的较宽的精神和范围的情况下对这些实施例做出修改和改变。因而,本说明书和附图被看做示例性的,而不是限制性的。

Claims (15)

1.一种用于使用相应的模型调整光刻设备的方法,包括步骤:
提供光刻过程模型,所述光刻过程模型表征使用光刻设备的对于晶片的给定层的光刻过程的成像行为,所述光刻过程模型经受有关光刻设备的一系列可调整的参数的改变;
使用设计布局和光刻过程模型在给定层中生成模拟的晶片轮廓;
识别在模拟的晶片轮廓中相对于参考物的差异;
用价值函数将所述差异量化;和
对所述生成和识别步骤进行迭代以最小化价值函数并获得模拟的晶片轮廓相对于参考物的所需的收敛程度,其中在执行每一次迭代之前调整光刻设备的至少一个可调整的参数。
2.如权利要求1所述的方法,其中,所述光刻过程模型是灵敏度模型。
3.如权利要求1所述的方法,其中,所述参考物是对于设计布局中的一系列图案的由与所述光刻过程不同的参考光刻过程得到的测量的晶片轮廓。
4.如权利要求1所述的方法,其中,所述参考物是对于设计布局中的一系列图案的由与所述光刻过程模型不同的参考光刻过程模型得到的模拟的晶片轮廓。
5.如权利要求1所述的方法,其中,所述参考物包括设计布局中的一系列图案的设计目标多边形。
6.如权利要求1所述的方法,其中,模拟的晶片轮廓相对参考物的所述差异经由OPC校验工具来获得。
7.如权利要求3或4所述的方法,其中,未调整的晶片轮廓和参考物之间的差别经由微分过程模型获得。
8.如权利要求1所述的方法,其中,所述价值函数包括与调整的目标图案系列中的每个图案相关的成像量度项。
9.如权利要求1所述的方法,其中,晶片轮廓中的所述差异由所述光刻设备的光学装置的、机械装置的、控制的以及器件特定的激光的差别中的一个或更多个差别所导致。
10.如权利要求1所述的方法,其中,晶片轮廓中的所述差异由所述光刻过程的掩模的、抗蚀剂的、轨迹的以及蚀刻的差别中的一个或更多个差别所导致。
11.如权利要求6所述的方法,其中,识别所述差异的步骤包括识别热斑。
12.如权利要求11所述的方法,其中所述热斑包括在过程变化的条件下发生的限制产率的缺陷。
13.如权利要求11或12所述的方法,其中,所述热斑包括被所述光刻设备特有的特性不利地影响的设计布局中的图案。
14.如权利要求1所述的方法,还包括计算调整偏移量,以使设计布局中特定图案的临界尺寸处于预定的容限度内。
15.如权利要求14所述的方法,其中,重复生成的步骤、识别的步骤以及计算的步骤,直到消除多个热斑。
CN200980120711XA 2008-06-03 2009-05-29 基于模型的扫描器调节方法 Active CN102057330B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US5852008P 2008-06-03 2008-06-03
US5851108P 2008-06-03 2008-06-03
US61/058,520 2008-06-03
US61/058,511 2008-06-03
US14157808P 2008-12-30 2008-12-30
US61/141,578 2008-12-30
US14230509P 2009-01-02 2009-01-02
US61/142,305 2009-01-02
PCT/US2009/045729 WO2009148974A1 (en) 2008-06-03 2009-05-29 Model-based scanner tuning methods

Publications (2)

Publication Number Publication Date
CN102057330A true CN102057330A (zh) 2011-05-11
CN102057330B CN102057330B (zh) 2013-07-17

Family

ID=41198557

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009801207092A Active CN102057329B (zh) 2008-06-03 2009-05-29 基于模型的过程模拟的方法
CN200980120711XA Active CN102057330B (zh) 2008-06-03 2009-05-29 基于模型的扫描器调节方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2009801207092A Active CN102057329B (zh) 2008-06-03 2009-05-29 基于模型的过程模拟的方法

Country Status (6)

Country Link
US (6) US8806387B2 (zh)
JP (5) JP5225462B2 (zh)
KR (3) KR101928938B1 (zh)
CN (2) CN102057329B (zh)
TW (2) TWI460547B (zh)
WO (2) WO2009148972A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112331576A (zh) * 2014-10-03 2021-02-05 科磊股份有限公司 验证计量目标及其设计

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101928938B1 (ko) * 2008-06-03 2018-12-13 에이에스엠엘 네델란즈 비.브이. 모델-기반 공정 시뮬레이션 시스템들 및 방법들
NL2003719A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
JP2010211046A (ja) * 2009-03-11 2010-09-24 Toshiba Corp パターン検証方法およびパターン検証プログラム
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US8607168B2 (en) * 2010-02-16 2013-12-10 Mentor Graphics Corporation Contour alignment for model calibration
TWI395460B (zh) * 2010-06-02 2013-05-01 Avision Inc 自動存取影像處理程式之掃描設備
KR101659838B1 (ko) * 2010-06-18 2016-09-26 삼성전자주식회사 식각 근접 보정방법 및 그를 이용한 포토마스크 레이아웃의 생성방법
US9658527B2 (en) * 2010-07-12 2017-05-23 Carl Zeiss Sms Ltd. Correction of errors of a photolithographic mask using a joint optimization process
DE102011083774B4 (de) 2010-10-04 2019-06-13 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Laser korrigierenden Tool-Parametern
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
NL2010163A (en) * 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
JP6039932B2 (ja) * 2012-06-22 2016-12-07 キヤノン株式会社 露光装置、露光方法及び物品の製造方法
US9519285B2 (en) * 2013-01-23 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and associated methods for tuning processing tools
WO2015022239A1 (en) * 2013-08-13 2015-02-19 Asml Netherlands B.V. Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
CN104423142B (zh) * 2013-08-22 2020-05-05 中芯国际集成电路制造(上海)有限公司 用于光学邻近校正模型的校准数据收集方法和系统
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
EP2952964A1 (en) * 2014-06-03 2015-12-09 Aselta Nanographics Method for determining the parameters of an ic manufacturing process by a differential procedure
US10018922B2 (en) 2014-09-02 2018-07-10 Nikon Corporation Tuning of optical projection system to optimize image-edge placement
US10345715B2 (en) 2014-09-02 2019-07-09 Nikon Corporation Pattern-edge placement predictor and monitor for lithographic exposure tool
TWI701517B (zh) * 2014-12-23 2020-08-11 德商卡爾蔡司Smt有限公司 光學構件
CN104615808B (zh) * 2015-01-19 2018-07-03 北京思朗科技有限责任公司 一种待测试硬件运算部件的测试方法及参考模型装置
KR102077173B1 (ko) * 2015-03-16 2020-02-13 에이에스엠엘 네델란즈 비.브이. 레지스트 변형을 결정하는 방법들
US9928316B2 (en) 2015-03-26 2018-03-27 International Business Machines Corporation Process-metrology reproducibility bands for lithographic photomasks
CN107667315B (zh) 2015-05-29 2021-04-16 Asml荷兰有限公司 使用对源辐射的角分布的多次采样的光刻术模拟
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
EP3118682B1 (en) 2015-07-16 2022-10-19 IMEC vzw Method for designing a lithographic mask
KR102293295B1 (ko) * 2015-08-13 2021-08-25 세메스 주식회사 기판 처리 설비 시뮬레이션 시스템 및 방법
EP3153924B1 (en) * 2015-10-07 2021-11-17 Aselta Nanographics Method for determining the dose corrections to be applied to an ic manufacturing process by a matching procedure
JP6738423B2 (ja) * 2015-12-17 2020-08-12 エーエスエムエル ネザーランズ ビー.ブイ. 測定を向上させるための非対称なサブ分解能フィーチャを用いるリソグラフィプロセスの光学計測
CN108700818B (zh) 2015-12-22 2020-10-16 Asml荷兰有限公司 用于过程窗口表征的设备和方法
DE102016200043A1 (de) * 2016-01-05 2017-07-06 Eos Gmbh Electro Optical Systems Verfahren zum Kalibrieren einer Vorrichtung zum Herstellen eines dreidimensionalen Objekts
CN112255892B (zh) 2016-02-22 2023-07-18 Asml荷兰有限公司 对量测数据的贡献的分离
CN105607413B (zh) 2016-03-18 2019-11-01 京东方科技集团股份有限公司 产生掩膜图案的系统和方法以及曝光系统
JP6959698B2 (ja) 2016-04-25 2021-11-05 レニショウ パブリック リミテッド カンパニーRenishaw Public Limited Company アディティブ製造装置内の複数のスキャナの較正方法
TWI631415B (zh) * 2016-07-01 2018-08-01 美商格羅方德半導體公司 同時微影及蝕刻校正流程之方法
DE102016013260B4 (de) * 2016-11-09 2020-07-23 Carl Zeiss Smt Gmbh Verfahren zur Erzeugung eines Referenzbildes bei der Charakterisierung einer Maske für die Mikrolithographie
JP7057358B2 (ja) 2016-12-02 2022-04-19 エーエスエムエル ネザーランズ ビー.ブイ. エッチングパラメータを変更する方法及びコンピュータプログラム
EP3336608A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method and apparatus for image analysis
CN114578661A (zh) * 2016-12-28 2022-06-03 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN110140088B (zh) 2016-12-28 2021-08-31 Asml荷兰有限公司 量测图像与设计之间的模拟辅助的对准
CN114415478A (zh) * 2017-01-26 2022-04-29 Asml荷兰有限公司 微调过程模型的方法
WO2018153866A1 (en) * 2017-02-24 2018-08-30 Asml Netherlands B.V. Methods of determining process models by machine learning
WO2018224349A1 (en) * 2017-06-06 2018-12-13 Asml Netherlands B.V. Measurement method and apparatus
JP7438105B2 (ja) * 2017-09-27 2024-02-26 エーエスエムエル ネザーランズ ビー.ブイ. デバイス製造方法の制御パラメータを決定する方法、コンピュータプログラム、および、基板にデバイスを製造するためのシステム
US10495967B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN111788589A (zh) * 2018-02-23 2020-10-16 Asml荷兰有限公司 训练用于计算光刻术的机器学习模型的方法
CN111868634B (zh) * 2018-03-20 2023-06-09 Asml荷兰有限公司 用于加速抗蚀剂和蚀刻模型校准的实时调节方法
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
WO2020002143A1 (en) 2018-06-25 2020-01-02 Asml Netherlands B.V. Wavefront optimization for tuning scanner based on performance matching
EP3588191A1 (en) * 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
CN109586688B (zh) * 2018-12-07 2022-10-18 桂林电子科技大学 基于迭代计算的时变可分非下采样图滤波器组的设计方法
US11561478B2 (en) * 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
JP7171468B2 (ja) * 2019-02-20 2022-11-15 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、物品の製造方法、物品の製造システム、及び出力方法
US11568101B2 (en) 2019-08-13 2023-01-31 International Business Machines Corporation Predictive multi-stage modelling for complex process control
US11119404B2 (en) * 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks
US11836429B2 (en) * 2019-10-23 2023-12-05 Lam Research Corporation Determination of recipes for manufacturing semiconductor devices
KR20230096048A (ko) * 2020-11-24 2023-06-29 어플라이드 머티어리얼스, 인코포레이티드 계측을 위한 최적화 기반 이미지 처리
CN112987488B (zh) * 2021-02-22 2024-03-12 上海华力集成电路制造有限公司 Opc修正方法
CN114357928A (zh) * 2021-12-31 2022-04-15 东方晶源微电子科技(北京)有限公司 一种光刻模型优化方法
CN115408650B (zh) * 2022-08-03 2023-04-28 武汉宇微光学软件有限公司 光刻胶多级串连表征网络的建模、校准、仿真方法和系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002101602A1 (en) * 2001-06-13 2002-12-19 Kla-Tencor Corporation Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
CN1495533A (zh) * 2002-06-22 2004-05-12 三星电子株式会社 设计曝光装置孔径的模拟方法和系统和记录模拟法的介质
JP2006292813A (ja) * 2005-04-06 2006-10-26 Seiko Epson Corp 設計パターン補正方法、パラメータ設定方法、設計パターン補正装置、パラメータ設定装置、及びプログラム
CN1971427A (zh) * 2005-11-21 2007-05-30 株式会社东芝 光掩模的判定方法以及半导体器件的制造方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5225462A (en) 1975-08-22 1977-02-25 Okada Sangyo Kk Aeration and organism purifying device for polluted water
JP3114132B2 (ja) 1992-02-10 2000-12-04 能美防災株式会社 光電式分離型煙感知器
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO1998028665A1 (en) 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US6564112B1 (en) * 1999-11-08 2003-05-13 Eventide Inc. Method of customizing electronic systems based on user specifications
JP2002174890A (ja) * 2000-12-07 2002-06-21 Hitachi Ltd 半導体集積回路の製造方法
JP2002175969A (ja) 2000-12-07 2002-06-21 Hitachi Ltd パターン検証方法及びデータ処理システム
JP2002353104A (ja) 2001-05-24 2002-12-06 Hitachi Ltd 半導体デバイスの露光方法、その露光システム及びそのプログラム
JP2004103674A (ja) 2002-09-06 2004-04-02 Renesas Technology Corp 半導体集積回路装置の製造方法
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP4192618B2 (ja) 2003-02-17 2008-12-10 ソニー株式会社 マスクの補正方法
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7242459B2 (en) 2004-01-30 2007-07-10 Asml Masktools B.V. Method of predicting and minimizing model OPC deviation due to mix/match of exposure tools using a calibrated Eigen decomposition model
WO2005098686A2 (en) * 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US7053979B2 (en) * 2004-05-12 2006-05-30 Litel Instruments Process for amelioration of scanning synchronization error
US7116411B2 (en) * 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
US7251807B2 (en) 2005-02-24 2007-07-31 Synopsys, Inc. Method and apparatus for identifying a manufacturing problem area in a layout using a process-sensitivity model
US7475382B2 (en) * 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7743359B2 (en) * 2005-05-02 2010-06-22 Cadence Design Systems, Inc. Apparatus and method for photomask design
JP4701030B2 (ja) * 2005-07-22 2011-06-15 キヤノン株式会社 露光装置、露光パラメータを設定する設定方法、露光方法、デバイス製造方法及びプログラム
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
CN101305320B (zh) 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7425397B2 (en) 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of determining an illumination profile and device manufacturing method
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
JP2009530824A (ja) * 2006-03-16 2009-08-27 ケーエルエー−テンカー テクノロジィース コーポレイション 露光ツール群においてアライメント性能を最適化するための方法およびシステム
JP2007324342A (ja) * 2006-05-31 2007-12-13 Nikon Corp 露光方法、露光システムの管理方法、露光システム、及びデバイス製造方法
JP4866683B2 (ja) * 2006-08-25 2012-02-01 富士通セミコンダクター株式会社 半導体デバイスの製造方法、データ作成装置、データ作成方法、およびプログラム
JP4999161B2 (ja) 2007-02-19 2012-08-15 新日本無線株式会社 半導体装置の製造方法
JP4989279B2 (ja) * 2007-04-05 2012-08-01 株式会社東芝 パラメータ値調整方法、半導体装置製造方法およびプログラム
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US8037428B2 (en) * 2008-05-29 2011-10-11 Synopsys, Inc. Method and system for post-routing lithography-hotspot correction of a layout
KR101928938B1 (ko) 2008-06-03 2018-12-13 에이에스엠엘 네델란즈 비.브이. 모델-기반 공정 시뮬레이션 시스템들 및 방법들

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002101602A1 (en) * 2001-06-13 2002-12-19 Kla-Tencor Corporation Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
CN1495533A (zh) * 2002-06-22 2004-05-12 三星电子株式会社 设计曝光装置孔径的模拟方法和系统和记录模拟法的介质
JP2006292813A (ja) * 2005-04-06 2006-10-26 Seiko Epson Corp 設計パターン補正方法、パラメータ設定方法、設計パターン補正装置、パラメータ設定装置、及びプログラム
CN1971427A (zh) * 2005-11-21 2007-05-30 株式会社东芝 光掩模的判定方法以及半导体器件的制造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112331576A (zh) * 2014-10-03 2021-02-05 科磊股份有限公司 验证计量目标及其设计
US11874605B2 (en) 2014-10-03 2024-01-16 Kla Corporation Verification metrology targets and their design

Also Published As

Publication number Publication date
US20150045935A1 (en) 2015-02-12
US10569469B2 (en) 2020-02-25
US20140046646A1 (en) 2014-02-13
JP2013012773A (ja) 2013-01-17
JP6735794B2 (ja) 2020-08-05
TWI460547B (zh) 2014-11-11
TW201011473A (en) 2010-03-16
WO2009148974A1 (en) 2009-12-10
US20100010784A1 (en) 2010-01-14
US10137643B2 (en) 2018-11-27
JP2011522440A (ja) 2011-07-28
JP2016066091A (ja) 2016-04-28
CN102057330B (zh) 2013-07-17
CN102057329B (zh) 2013-08-21
JP6468993B2 (ja) 2019-02-13
KR101928938B1 (ko) 2018-12-13
KR101749987B1 (ko) 2017-06-22
WO2009148972A1 (en) 2009-12-10
TWI460546B (zh) 2014-11-11
KR20170060186A (ko) 2017-05-31
CN102057329A (zh) 2011-05-11
JP2011522439A (ja) 2011-07-28
US20140351773A1 (en) 2014-11-27
US20090300573A1 (en) 2009-12-03
JP2018197876A (ja) 2018-12-13
US8571845B2 (en) 2013-10-29
US20200189192A1 (en) 2020-06-18
KR20110015652A (ko) 2011-02-16
TW201011474A (en) 2010-03-16
US8806387B2 (en) 2014-08-12
US8874423B2 (en) 2014-10-28
JP5750417B2 (ja) 2015-07-22
JP5913979B2 (ja) 2016-05-11
KR20110021998A (ko) 2011-03-04
JP5225462B2 (ja) 2013-07-03
KR101610734B1 (ko) 2016-04-08

Similar Documents

Publication Publication Date Title
CN102057330B (zh) 基于模型的扫描器调节方法
US10169522B2 (en) Methods and system for model-based generic matching and tuning
CN101738872B (zh) 用于光刻校准的方法和系统
CN101738871B (zh) 用于光刻术模型校准的图案选择
CN102466984B (zh) 源、掩模和投影光学装置的优化
CN102540754B (zh) 源、掩模和投影光学装置的优化流程
CN101751502B (zh) 用于光刻过程窗口最大化光学邻近效应校正的方法和系统
CN102841510B (zh) 用以确保源和图像稳定性的系统和方法
US9588439B1 (en) Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US9946166B2 (en) Reduction of hotspots of dense features

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant