CN102057329A - 基于模型的过程模拟的方法 - Google Patents

基于模型的过程模拟的方法 Download PDF

Info

Publication number
CN102057329A
CN102057329A CN2009801207092A CN200980120709A CN102057329A CN 102057329 A CN102057329 A CN 102057329A CN 2009801207092 A CN2009801207092 A CN 2009801207092A CN 200980120709 A CN200980120709 A CN 200980120709A CN 102057329 A CN102057329 A CN 102057329A
Authority
CN
China
Prior art keywords
model
scanner
difference
simulation
profile
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801207092A
Other languages
English (en)
Other versions
CN102057329B (zh
Inventor
叶军
曹宇
罗纳德·古森斯
邵文晋
吉姆·库梅恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN102057329A publication Critical patent/CN102057329A/zh
Application granted granted Critical
Publication of CN102057329B publication Critical patent/CN102057329B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C64/00Additive manufacturing, i.e. manufacturing of three-dimensional [3D] objects by additive deposition, additive agglomeration or additive layering, e.g. by 3D printing, stereolithography or selective laser sintering
    • B29C64/30Auxiliary operations or equipment
    • B29C64/386Data acquisition or data processing for additive manufacturing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70458Mix-and-match, i.e. multiple exposures of the same area using a similar type of exposure apparatus, e.g. multiple exposures using a UV apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

光刻模拟使用了微分模型。微分模型描述了关于可调整的和不可调整的扫描器设定的两个扫描器的成像特性上的差别。用于两个扫描器中的一个的模型通过使用另一个扫描器的模型和微分模型来获得。类似地,灵敏度模型表示了关于不同的扫描器设定的一个扫描器的成像特性上的差别。另外地,提供用于通过与印刷结果比较来校准微分模型和灵敏度模型的方法。

Description

基于模型的过程模拟的方法
相关申请的交叉引用
本申请要求于2008年12月30日申请的美国临时专利申请No.61/141,578、于2009年1月2日申请的美国临时专利申请No.61/142,305、于2008年6月3日申请的美国临时专利申请61/058,511和于2008年6月3日申请的美国临时专利申请No.61/058,520的优先权,通过引用将上述申请的全文明确地并入本文中。
技术领域
本申请主要涉及执行基于模型的扫描器调整和优化的系统和方法,尤其涉及对多光刻系统的性能的优化。
背景技术
可以将光刻设备用在集成电路(IC)的制造中。掩模包含对应于所述IC的单层的电路图案,并且可以将该图案成像到已经覆盖有辐射敏感抗蚀剂材料层的硅晶片衬底上的包括一个或多个管芯的目标部分上。通常,单独的晶片将包含相邻目标部分的网络,所述相邻目标部分通过投影系统一次一个地被连续辐射。在一种类型的光刻投影设备(通常被称为晶片步进机)中,通过将全部掩模图案一次曝光到所述目标部分上来辐射每一目标部分。在步进-扫描设备中,通过沿给定的参考方向或“扫描”方向在投影束下面逐步扫描掩模图案的同时,沿与该方向平行或反向平行的方向同步地扫描所述衬底台来辐射每一目标部分。在具有放大率因子(magnification factor)M(通常M<1)的投影系统中,衬底台扫描的速度V将是掩模台扫描的速度的M倍。这里所述的更多有关光刻装置的信息可以从例如US专利No.6,046,792中得到,在这里以参考的方式将其内容并入本文中。
在使用光刻投影设备的制造过程中,掩模图案被成像到至少部分地由辐射敏感抗蚀剂材料层所覆盖的衬底上。在该成像步骤之前,衬底可以经过多种工序,例如涂底料、抗蚀剂涂覆和软烘烤。在曝光之后,衬底可以经过其它工序,例如曝光后烘烤(PEB)、显影、硬烘烤和成像特征的测量/检验。这一系列的工序被用作对器件(例如IC)的单层进行图案化的基础。然后,这样的图案化层可以经过多种工艺,例如蚀刻、离子注入或掺杂、金属化、氧化、化学-机械抛光等,用于完成一个单层。如果需要几个层,则对于每个新的层必须重复整个工序或其变体。最后,在衬底晶片上将形成器件的阵列。然后,这些器件通过例如切片(dicing)或切割的技术彼此分离开,然后独立的器件可以安装到连接到插脚等的载体上。
投影系统(下文称为透镜)包括各种类型的投影系统,包括例如折射式光学装置、反射式光学装置和反射折射式系统,且可以包括一个或更多的透镜。所述透镜还可以包括用于引导、成形或控制投影辐射束的辐射系统的部件。并且光刻设备可以是具有两个或更多的衬底台和/或两个或更多的掩模台的类型。在这种“多平台”的装置中,附加的台可以并行地使用,和/或者可以在特定台上执行预备步骤的同时将其它台用于曝光。例如,在US专利No.5,969,441中描述了双平台光刻设备,在这里以引用的方式将其内容并入本文中。
上面提及的光刻掩模包括对应于将要被集成到硅晶片上的电路部件的几何图案。用来形成这种掩模的图案使用CAD(计算机辅助设计)程序来生成,这种过程通常被称为EDA(电子设计自动化)。大多数CAD程序遵循一系列预定的设计规则以便产生功能化掩模。这些规则通过加工和设计限制来设定。例如,设计规则限定电路器件(例如栅极、电容等)或互连线之间的空间容许量,使得确保电路器件或线不会彼此以不希望的方式相互作用。设计规则限制被称为“临界尺寸”(CD)。电路的临界尺寸可以被定义成线或孔的最小宽度或两条线或两个孔之间的最小间隔。因此,CD决定所设计的电路的总体尺寸和密度。当然,集成电路制造中的目标之一是通过掩模在晶片上忠实地复制原始电路设计。
通常,可以从用不同类型的光刻系统(例如扫描器)将对给定图案进行成像的共同过程来获得优点,而不必花费相当大量的时间和资源来确定每一个光刻系统的必须的设定,以实现优化的/可接受的成像性质。在最初建立用于特定扫描器的过程时,设计者和工程师可能花费相当大量的时间和资金来确定光刻系统的优化的设置,所述设置包括数值孔径(“NA”)、σin,σout等,以获得满足预定设计要求的图像。通常,采用反复试验的过程,其中选择了扫描器设定,期望的图案被成像,之后被测量以确定是否输出图像落入到规定的公差内。如果输出图像在公差之外,那么扫描器设定被调整,图案被再次成像且被测量。这一过程被重复,直到所获得的图像在规定的公差内为止。
然而,成像到衬底上的实际图案可能由于在使图案成像时由不同的扫描器显示的不同的光学临近效应(“OPE”)在扫描器间变化,甚至在扫描器是同一型号时也有可能出现。例如,与特定扫描器相关联的不同的OPE可能通过节距引入显著的CD变化。因此,通常不可能在扫描器之间切换,和获得相同的成像图案。因此,在预期获得满足设计要求的最终获得的图像的情况下使用新的或不同的扫描器来印刷图案时,工程师必须优化或调整新的扫描器。当前,通常使用昂贵的耗时的反复试验过程,来调整过程和扫描器。
发明内容
本发明的特定实施例包括用于类型、单元或设定之间的扫描器差别的模拟的系统和方法。在一个实施例中,所述方法包括校准扫描器模型,所述扫描器模型对于一组可调整的参数限定了灵敏度。在另一实施例中,微分模型被校准,其中微分模型表示目标扫描器与参考物、与扫描器内的测量装置和/或晶片量测器的偏差。
在一些实施例中,基于模型的过程模拟包括:相对于参考扫描器的性能限定一类相关的扫描器的性能。所述一类扫描器可能包括被单个厂商制造的且属于同一型号的扫描器。所述一类扫描器可以包括由不同的厂商制造的扫描器,其中所述扫描器包括至少一些功能上类似的元件。
本发明的特定实施例通过使用针对物理扫描器的调整模型改善了对整个芯片的模拟。一些实施例维持了用于识别扫描器的灵敏度与一组可调整的参数的模型,且使用所述模型以响应于扫描器设定变化来模拟临界尺寸的变化。获得一组模拟的晶片轮廓,其可以被分析以提供预定的临界尺寸的虚拟测量。在一些实施例中,在模拟的芯片中识别的临界尺寸违背(violation)可以通过以对参考扫描器的物理调整进行仿真的方式调整参考模型来解决。虚拟测量和调整的模拟、计算的迭代可以被执行,直到虚拟测量充分地收敛到一组期望的或预期的测量上为止。收敛可以通过考虑临界尺寸的违背、公差的违背以及由芯片设计者设定的优先级来指示。
在一些实施例中,包括虚拟测量的模拟结果可以被提供至设计者和/或设计系统(诸如掩模布局系统)。模拟结果可以识别不能完全消除的芯片设计中的热斑。新的芯片设计之后可以通过将虚拟测量认为是由物理扫描器获得的实际测量来产生。模拟结果可以进一步地在被配置成产生模拟结果时识别扫描器的调整限制,这些限制可能进一步影响芯片的再设计。
在特定实施例中,芯片设计可以针对于在多个扫描器上的可制造性而被进行模拟。对应于其它扫描器的微分模型可以提供对参考扫描器和其它扫描器之间的差别进行分类的校准和灵敏度信息。芯片设计可以被模拟和改变以确保多个扫描器中的任一个可以被调整以在制造期间获得期望的产率。
本发明的方面允许分离模型校准和调整,和提供微分模型校准的方法。在模拟期间所识别的设计中的热斑可以被包含在调整量的计算中。整体应用-特定调整和验证可以被定义,包括基于OPC验证的灵敏度(阈值)设定方法。
本发明自身以及另外的目的和优点,可以通过参考随后的详细描述和示意性的附图被更好地理解。
附图说明
图1示出了根据本发明的特定方面的光刻模型。
图2示出了根据本发明的特定方面的用于校准光刻模型的整体程序。
图3示出了根据本发明的特定方面的用于产生、调整以及优化微分光刻模型的过程。
图4示出了根据本发明的特定实施例的根据由扫描器量测器所补充的扫描器模型来模拟和预测光学参数的过程的例子。
图5示出了根据本发明的特定方面的灵敏度建模。
图6示出了根据本发明的特定方面的用于校准多个扫描器的微分模型的过程。
图7图示出本发明的特定实施例中的基础模型参数和衍生模型参数之间的关系。
图8示出了根据本发明的特定方面的来自微分模型的模拟轮廓的产生。
图9是示出根据本发明的特定方面的计算机系统的方块图。
图10示意性地示出了根据本发明的特定方面的光刻投影设备。
具体实施方式
现在参考附图,将详细地描述本发明的实施例,附图被提供用作说明性的例子,以便使得本领域的技术人员能够实施本发明。注意到,在下文中附图和例子不是要将本发明的范围限制成单个实施例,而是通过相互交换描述的或示出的元件中的一些或全部的方式,其它的实施例也是可以的。在便利的情况下,在整个附图中将使用相同的参考标记,以表示相同的或类似的部件。在这些实施例中的特定元件可以通过使用已知的部件来部分地或完全地实施的情况下,将仅描述对理解本发明所必须的这样的已知部件的这些部分,并将省略对这样的已知部件的其它部分的详细描述,以便不混淆本发明。在本发明的说明书中,显示单个部件的实施例不应当被认为是限制性的,相反,本发明是要包含包括多个同样的部件的其它实施例,反之亦然,除非在此处另外地具有明确地描述。此外,申请人不是要将说明书或权利要求中的任何术语规定成不常见的或特定的意思,除非同样地明确地进行了阐述。另外,本发明包括对通过图示的方式在本文中表示的部件的当前的和未来的已知的等同物。
在本发明的特定实施例中,采用全芯片晶片模拟和验证作为对扫描器调整的全芯片晶片测量的替代或补充。在模拟期间使用的模型可能包括灵敏度模型和微分模型。灵敏度模型描述了响应于调整输入的扫描器的成像行为的变化(即当调节旋钮时)。在已知设定的情况下微分模型描述了光刻过程的行为的差别且对光刻过程的行为的差别进行参数化。微分模型的校准使用扫描器传感器数据(诸如琼斯光瞳、照射器映射等)以及晶片量测器数据。
图1示出了根据本发明的特定方面的光刻模型10。光刻模型包括掩模模型100、光学模型102和抗蚀剂模型104。在一些实施例中,光刻模型还包括蚀刻模型,为了简明,其没有在附图中示出。掩模模型可以反映多个掩模参数120中的变化所引入的改变,光学模型102可以受光学参数122的变化的影响,抗蚀剂模型104可以受抗蚀剂参数124的设定的控制。可以将模型10用于预测抗蚀剂轮廓164,或如果包含了蚀刻模型分量,那么将由掩模设计140产生蚀刻后轮廓。由掩模参数120配置成的掩模模型100产生了预测的掩模图像160,所述预测的掩模图像160在被提供至光学模型102时基于光学参数122产生了模拟的光学图像162。由抗蚀剂参数124配置成的抗蚀剂模型104可以用于从模拟的光学图像162预测抗蚀剂轮廓164。如果由蚀刻参数配置成的蚀刻模型被包含的话,则所述蚀刻模型可以用于从抗蚀剂轮廓164预测蚀刻后轮廓。
光学参数122包括可调整的和不可调整的参数,其中“可调整的参数”表示可以在扫描器上进行调整的旋钮(knob)(诸如NA,数值孔径),而“不可调整的参数”表示不能被调整的扫描器参数(诸如用于典型的扫描器设计的琼斯光瞳)。本发明的方法不依赖于哪些参数在扫描器上是可调整的或是不可调整的。为了模型校准的目的,可以调节不可调整的和可调整的参数,直到由所述模型产生的图像与由参考扫描器产生的实际成像结果匹配为止。在模型校准中对参数的调整受这些参数的知识的程度支配,而不是可调整性。例如,如果照射光瞳的精确测量可以经由扫描器量测器来实现,那么可以在模型校准中直接使用这样的测量,而不进行进一步的调整。另一方面,在没有经由扫描器量测器进行直接测量的情况下,参数被优化,用于拟合晶片数据。可以通过使用集成透镜干涉仪,来执行扫描器量测器测量。在实施例中,集成透镜干涉仪是波前传感器,且用于测量每一场点的透镜像差。波前传感器基于切变干涉术的原理,且包括源模块和传感器模块。源模块具有铬图案化层,其被放置在投影系统的物平面上和具有设置在铬层上方的额外的光学装置。所述组合为投影系统的整个光瞳提供辐射波前。传感器模块具有放置在投影系统的像平面上的铬图案化层和放置在所述铬层后面一定距离处的照相机。传感器模块上的铬图案化层将辐射衍射成多个衍射级,所述衍射级相互干涉从而产生了干涉图。干涉图由照相机来测量。投影透镜中的像差可以基于测量的干涉图由软件来确定。
图2示出了校准光刻模型222的整个程序。一个或更多的掩模设计200可以被用于校准。尽管其它实施例通过利用用于生产使用而产生的掩模设计进行校准,但是可能在一些实施例中专门地产生用于校准的掩模设计200。在光刻模型222中使用的模型化的掩模、光学和抗蚀剂参数220被选择用于反映在光刻过程242中使用的掩模、光学和抗蚀剂效应240。最终获得的模拟的抗蚀剂轮廓224和测量的抗蚀剂轮廓244可以被比较和分析,参数220可以被优化,以最小化模拟的和测量的轮廓之间的差别。可以利用价值函数260进行分析,其将在下文中被更加详细地描述。
在特定实施例中,考虑且平衡所有测量和它们各自的不确定性,包括晶片量测器(CD-SEM测量和轮廓、散射术等)和扫描器数据(被设计的或被测量的),模型校准过程被公式化为最大似然问题。在特定实施例中,校准过程是可迭代的,由此模型参数被可重复地调整以获得提供由模型所产生的成像结果的校准,所述成像结果被确定以足够接近实际晶片数据。可以建立预定义的误差标准,和/或可以定义或量化“最佳匹配可能”标准。在特定的实施例中,可以使用模拟扫描器的成像性能的任何适合的模型,包括例如由美国专利No.7,003,758的系统和方法所提供的模型。
绝对精度与微分精度比对
对于传统的基于模型的OPC应用,重点很大程度上放在在名义曝光条件下典型地对CD-SEM测量的绝对预测精度上。随着在过程窗口上的OPC验证和过程-窗口-感知的OPC的出现,重点被扩展以覆盖过程窗口上的预测精度(美国专利申请No.11/461,994,“System and Method For Creating a Focus-Exposure Model of a Lithographic Process(用于产生光刻过程的焦点曝光模型的系统和方法”)。然而,品质因数(figure of merit)保持了测量的和预测的CD之间的差别。
对于包括匹配和性能优化的基于模型的扫描器调整来说重点需要有所不同。感兴趣的量包括由扫描器设定变化引起的CD差别、扫描器间的差别和/或过程间的差别。所述量典型地在几纳米或更小的量级上是可测量的,其与典型的OPC模型的绝对精度是可比的。建模、模拟和预测这样的差别,与OPC建模的要求相比,对模型精度施加了不同的要求。本发明的特定实施例采用了新的算法,其解决了且满足了这些不同的要求。
图3示出了用于产生、调整和优化微分光刻模型322的过程。掩模设计300被提交,用于通过多个扫描器342进行处理和通过在一组过程条件340下利用扫描器的模型322进行模拟。模拟的抗蚀剂轮廓324可以相对于物理上产生的抗蚀剂轮廓344进行分析。价值函数360(下文所讨论的)可以用于调整模型参数320,用于获得可以精确地表征与多个扫描器相关联的微分模型的模型。
在抗蚀剂显影之后或在蚀刻之后,精确微分模型仍然在形式上模拟晶片上的图案轮廓。然而,这样的模型的目标不一定是绝对CD精度,而是预测在一个或更多的模型参数被扰动时CD变化或轮廓变化情况下的精度变化,用于考虑扫描器之间的差别或用于模拟主动扫描器调整的效果。同理,模拟可能需要两遍,一遍没有参数扰动,一遍具有参数扰动。对于给定的图案i感兴趣的量是:
ΔCDi=CD(图案_i,扰动的_模型)-CD(图案_i,未被扰动的_模型).
衍生模型的产生
假定具有足够的微分精度(“微分模型”)的模型是可以利用的,本发明的特定方面便于基于微分模型和基础模型产生衍生模型。在特定实施例中,基础模型与扰动前模型相同,在该情形中衍生模型将与扰动后模型相同。在这些实施例中,衍生模型仅需要利用扰动模型的一个成像模拟。在其它实施例中,基础模型不同于扰动前模型,在该情形中衍生模型需要三个成像模拟,每一个利用基础模型、未扰动的模型以及扰动的模型。在这些之后的实施例中的一个例子中,基础模型可以是OPC模型。
灵敏度建模
图4示出了经由扫描器模型402和扫描器量测器404的在光学参数420上的旋钮设定400的效果。特定的光学参数不会受在可利用的或所采用的扫描器旋钮中的变化的影响,因此可以通过扫描器量测器完全地固定。这些情况中的例子包括用于与没有带宽控制的激光器相匹配的扫描器的激光器光谱。在其它情形中,光学参数受旋钮变化的影响,且可以由扫描器模型402和扫描器量测器404的组合导出。例如,照射光瞳受NA和西格玛变化以及在特定类型的扫描器上的其它变化(包括椭圆率设定)的影响。同理,照射光瞳可以利用与扫描器模型结合的光瞳测量进行预测。
图5示出根据本发明的基本方面,其包括:响应于一个扫描器上的设定变化来预测任意图案的成像变化(即临界尺寸变化和轮廓变化),同时保持光刻过程的所有其它方面是未变化的。在显示出的例子中,一系列的N个模拟被执行,其中每一模拟(分别)产生对应于测量的轮廓560-562的模拟的轮廓540-542,其是可以获得的或在模拟的条件下被产生。每个模拟可以通过由扫描器模型510所使用的不同组的旋钮设定500-502来区分。扫描器模型510产生了光学参数520-522,其可以可选地通过利用来自扫描器量测器512的输入来产生,光学参数520-522被用于产生各自的模拟轮廓540-542。模拟的轮廓540-542和测量的轮廓560-562可以被分析,以产生、校准和优化模型参数572。在一个例子中,模拟的和测量的轮廓可以通过使用价值函数570在数学上进行处理。
用符号表示,灵敏度建模的目标是预测响应于旋钮变化Δkj的图案i的CD变化ΔCDi。对于典型的扫描器调整应用,尽管本发明绝不是被限制成线性模型情形的假定,但是线性模型可以具有相当好的效果,这是因为调整量很小。因此,在线性模型是可应用的情况下,
Δ CD i = Σ j ∂ CD i ∂ k j Δ k j ,
灵敏度的模型的目的是在给定掩模图案i的情况下计算偏导数
Figure BPA00001266059400101
通过导数的链式法则:
Figure BPA00001266059400102
其中pm表示扫描器模型中的物理参数。因此,应当理解,第一因子
Figure BPA00001266059400103
涉及光刻成像模型,而第二因子
Figure BPA00001266059400104
涉及扫描器模型。
在更加通用、非线性的情形中,物理学和模型可以表示为:
CDi(kj)=f(kj)=flitho(pm)=flitho(fscanner(kj))
抗蚀剂、光学以及扫描器物理学可以表示成独立的建模分量。灵敏度模型的精度依赖于光刻模型(光学和抗蚀剂)和扫描器模型510的精度。
抗蚀剂模型可以是经验性的或可以是基于抗蚀剂过程的物理和化学原理。光学模型通常是物理模型且基于基本原理,具有通过掩模近似处理诸如EM辐射的3D散射的特定作用的可能性,用于减少模拟时间。其它的近似也是可行的,包括例如光学相互作用范围的截尾(truncation,也被称为有限界限(finite ambit))或在Hopkins近似中的TCC本征级数(eigen series)的截尾。扫描器模型510可能是基于扫描器的物理考虑和设计知识。对于扫描器模型还可能存在不同的精确度水平。例如,基于射线追踪(ray tracing)的模型可能产生非常精确的光瞳预测,但是趋于需要非常昂贵的计算。可以通过对精确模型或测量进行校准来构造近似且更加经验性的模型。
灵敏度模型精度的概念与模型的独立性密切相关,两者都不得不与不同的扫描器设定的成像预测相关。例如,参见美国专利申请No.11/461,929和11/530,402。对于OPC类型的应用,对于过程窗口上的预测精度和减少当改变曝光设定时的模型校准周转时间,独立的模型(典型地聚焦和曝光)是期望的。光刻模型典型地包括光学模型、抗蚀剂模型,以及有时包括蚀刻模型,重点在不同的模型步骤之间具有独立性。
为了扫描器调整的目的,灵敏度模型的一个区别因素(differentiating factor)是预测扫描器模型的并入,其需要对扫描器设计的详细知识。扫描器模型510的示例性的分量是照射器预测器模型,其模拟了照射光学装置和预测了掩模版平面处的照射。在灵敏度建模的情形下,这一模型预测在诸如NA、西格玛和PUPICOM设定的曝光设定变化时照射器的变化。
在抗蚀剂过程对于多个扫描器是相同的或充分接近时,且在经过校准的抗蚀剂模型是对使用一个扫描器的光刻过程的精确校准的灵敏度模型的一部分的情况下,模型形式的独立性还允许在多个扫描器之间移接(port)的精确校准的抗蚀剂模型。由于抗蚀剂模型比光学和扫描器模型更加倾向于是经验性的并因此需要来自基于晶片的校准的更多的限制,所以这一灵活性在实践中可能是重要的。因此,输入/输出抗蚀剂模型允许有效使用晶片量测器。扫描器模型510和光学模型是更加基于基本原理和已知物理学,较少地依赖于晶片测量。
在其它实施例中,光刻过程在抗蚀剂部分中实质上是不同的。例如,一个过程采用浸没光刻术,另一过程则不采用;两个过程典型地使用完全不同的抗蚀剂材料和薄膜堆叠。在该例子中,抗蚀剂模型在这两个过程之间是不可移接的,需要独立地建立灵敏度模型,这是因为抗蚀剂作用实际上是不同的。
对于灵敏度模型的校准,一些实施例包括详细的扫描器数据(诸如琼斯光瞳)、平台振动、由于色差和激光光谱等造成的聚焦模糊等。在特定实施例中,校准灵敏度模型需要在多个扫描器设定下获取晶片量测数据或扰动条件(kj+Δkj)与名义条件kj之和。一个或更多的旋钮可能对于每一扰动条件而被改变。灵敏度模型校准的价值函数是:
Σ n Σ i = 1 max _ i ( n ) w absolute ( n , i ) | CD Model ( n , i ) - CD Wafer ( n , i ) | 2
+ Σ n ∈ perturbed Σ i = 1 max _ i ( n ) w sensitivity | ( CD Model ( n , i ) - CD Model ( no min al , i ) ) - ( CD Wafer ( n , i ) - CD Wafer ( no min al , i ) ) | 2
其中,第一项经由模型和晶片的加权的RMS(均方根)差来量化绝对精度,第二项通过比较模型的预测的CD变化与晶片的测量的CD变化来量化灵敏度精度。可以调整绝对精度和灵敏度精度的相对权重。还可以使用其它的度量替代RMS,诸如范围(max-min)或LP-范数。之后,该校准可以被计算成优化问题,经常经受限制。
校准的灵敏度模型可以被应用至全芯片水平,以预测在芯片设计中产生的全部图案的成像差别。
注意到,灵敏度模型可以与在OPC或甚至在OPC验证中使用的光刻模型相同或不同。在特定实施例中,灵敏度模型采用比与掩模、扫描器光学装置以及抗蚀剂相关的OPC模型更多的光刻过程的知识。例如,在特定实施例中,OPC模型仅使用名义的或理想的光学装置,其具有薄掩模或掩模衍射的基尔霍夫(Kirchhoff)边界条件、小的光学相互作用范围和/或来自TCC本征级数展开的少量项。这些建模方法可能对于灵敏度建模的精度要求是不够的。因此,在特定实施例中,灵敏度模型采用扫描器光学装置的更加精确的信息、3D掩模衍射、较大的光学相互作用范围和/或较大量的TCC项。用于灵敏度模型的校准的测试图案可能与OPC或OPC验证模型所使用的相同或不同。
在特定实施例中,灵敏度模型可以与不同的基础模型(例如OPC模型)相结合,以形成新的衍生模型。这一新的衍生模型可以通过将来自微分模型的轮廓边缘位置或ΔCD施加至来自基础模型的轮廓边缘位置或模拟的CD来形成,尽管它可以通过将所述Δ施加至模型参数、模拟的空间图像或模拟的抗蚀剂图像来形成。仅在基础模型包括将被扰动的参数且以精确的方式利用这样的参数的情况下,将Δ施加至模型参数是可行的。在特定实施例中,基础模型是被校准的模型且具有不同形式、或不同的建模软件厂商、或不同公式化的模型分量,其将导致直接应用参数Δ的困难。具体地,基础模型可能已经使用顶帽(top-hat)照射形状,在该情形中应用Δσ值至顶帽照射将不能给出精确的结果。基础OPC模型中的抗蚀剂模型还可能在微分精度方面上是不够的。在这样的情况下,易于在模拟的CD或轮廓水平上结合基础OPC模型和灵敏度模型。
至少从结合灵敏度模型与基础OPC模型获得两个优点。第一,OPC模型典型地被用大组图案校准,且用于保证绝对的CD预测精度到达特定的要求。因此,结合灵敏度模型与OPC模型可以在扫描器旋钮或参数变化存在的情况下给出绝对CD的精确预测。第二,由OPC模型来完成OPC校正,其意味着来自OPC模型的模拟轮廓被期望是非常接近预先的OPC目标图案。因此结合灵敏度模型与OPC模型能够在扫描器旋钮或参数变化的情况下对预先的OPC目标进行基于模拟的验证。
微分建模
在一些实施例中,系统级模拟包括相对于参考扫描器的性能限定一类相关的扫描器的性能。所述一类扫描器可能包括由单个厂商制造的扫描器,且可能属于同一型号。所述一类扫描器可能包括由不同的厂商制造的扫描器,其中扫描器包括至少一些功能上类似的元件。通过共同的基础模型加上附加的微分模型对一类扫描器进行建模,以保持适应于来自共同的基础模型的单个类成员的变化的校准信息。
图6示出了根据本发明的特定方面的多个扫描器的微分模型的校准过程。在所显示的例子中,一组N个扫描器600-602被模拟。扫描器模型610通过利用来自扫描器量测器612的输入为每一扫描器600-602产生光学参数620-622。光学参数620-622用于产生各自的模拟的轮廓640-642,其之后可能被测量的轮廓660-662处理,以校准和优化模型参数672。可以通过使用价值函数670在数学上处理模拟的和测量的轮廓。
为了微分模型校准的目的,可以调节不可调整的和可调整的扫描器参数,直到由模型产生的模拟差别匹配实际的晶片差别为止。对微分模型校准中的参数的调整经受对这些参数的知识的程度,而不是可调整性。例如,如果对照射光瞳的精确测量经由对多个扫描器600-602的扫描器量测器612是可以用的,则这样的测量可以直接用在模型校准中,而没有进一步的调整。另一方面,在没有经由扫描器量测器612直接测量的情况下,参数被优化,用于对晶片数据进行拟合。在特定实施例中,模型校准过程被表达为最大似然问题,考虑且平衡所有测量和它们各自的不确定性,包括晶片量测器(CD-SEM测量和轮廓、散射测量术等)和扫描器数据(被设计的或被测量的)。
在一些实施例中,微分建模应用至多个不同的光刻过程,且包括除扫描器之外的光刻步骤中的差别,包括例如掩模差别(空间偏差分布、由于掩模制造、角部圆化造成的邻近效应)、抗蚀剂材料差别(骤冷集中(quencher concentration)、扩散)、轨迹差别(焙烤温度)和蚀刻差别。
就不同的过程参数对于所选择的一组校准图案的成像的影响而言,与微分模型校准相关的一个重要问题是,在不同的过程参数之间的可能的简并度。这意味着由于校准,校准图案上的成像差别可能会错误地导致与真实差别相差很大的参数差别,这是因为特定参数可能对次优化的选择的一组校准图案的成像具有相关联的或简并的作用。例如,曝光剂量差别可能与掩模偏差是简并的,两者导致特征CD在一个方向上变化(变大或变小)。这一问题被晶片测量中存在的随机噪声所加剧。为此,一些实施例以“正交的”方式选择对参数差别灵敏的图案。另外地,错误地校准的参数差别可能导致对成像差别的错误预测,尤其是对于未被校准组所覆盖的图案。
模拟可以用于预测物理结果的差别,所述物理结果由物理目标扫描器和物理参考扫描器
Figure BPA00001266059400142
来获得,且被表示成:
( CD DEV Wafer - CD REF Wafer ) .
模型化的目标扫描器
Figure BPA00001266059400144
和模型化的参考扫描器的结果上的微分模型识别差别可以表示成:
( CD DEV Model - CD REF Model ) .
因此可以将微分模型的精度表示成:
( CD DEV Wafer - CD REF Wafer ) - ( CD DEV Model - CD REF Model ) .
基于上述量对于一组测试图案所计算的RMS或其它量度(范围、LP-范数等)被用作微分模型的校准的价值函数。
在晶片数据对于当前的过程条件和调整目标过程条件是可利用的时,特定的实施例采用将被使用的校准程序。例如,在同样的抗蚀剂过程下对两个物理扫描器进行建模时,通过利用当前的扫描器和目标扫描器条件对晶片数据进行联合校准(joint calibration)。这典型地引起执行联合的模型校准过程,其允许抗蚀剂模型参数变化但迫使它们在当前的扫描器条件和目标扫描器条件下是相同的,且允许扫描器参数在上述两者的条件下独立地变化。在联合校准之后,同时获得灵敏度模型和微分模型。
为了利用微分校准的结果,由基础模型和校准参数差别形成新的模型。在该衍生模型和基础模型之间的模拟的CD差别被看做是由晶片测量对实际差别的预测。图7图示出基础模型参数70和衍生模型参数72之间的关系:衍生模型72中的掩模参数720可以通过使用基础模型70的掩模参数700和差别710进行计算,衍生模型72中的光学参数722可以通过使用基础模型70的光学参数702和差别712进行计算,衍生模型72中的抗蚀剂参数724可以通过使用基础模型70的抗蚀剂参数704和差别714进行计算。
在特定的实施例中,微分模型可以与不同的基础模型(例如OPC模型)结合,以形成新的衍生模型。这一新的衍生模型可以优化地通过施加来自微分模型的轮廓边缘位置或ΔCD至来自基础模型的轮廓边缘位置或模拟CD来形成,尽管它可以通过施加Δ至模型参数、模拟的空间图像或模拟的抗蚀剂图像来形成。仅当基础模型包含被扰动的参数且以精确的方式利用这样的参数时,施加Δ至模型参数是可行的。在特定实施例中,基础模型是具有不同形式、或不同厂商的建模软件或不同的公式化的模型分量的校准模型,其将导致直接应用参数Δ的困难。具体地,基础模型可能已经使用顶帽照射形状,在该情形中施加Δσ值至顶帽照射将不能给出精确的结果。基础OPC模型中的抗蚀剂模型在微分精度方面也可能是不够有效的。在这样的情形下,可以在模拟的CD或轮廓水平上结合基础OPC模型和微分模型。
如图8所示,掩模设计800用作光刻模拟的输入。模拟的轮廓A 840由光刻模型A 820(基础模型)产生。依据该微分模型,由模型821和822产生模拟的轮廓841和842。轮廓821和822之间的Δ被添加至轮廓840,以形成最终的模拟的轮廓880。在一些实施例中,算法操作(加和减)以沿轮廓的名义方向的边缘移动的意义被应用。
至少从结合微分模型与基础OPC模型获得两个优点。第一,OPC模型典型地被用大组图案校准,且用于保证绝对的CD预测精度达到特定的要求。因此,结合微分模型与OPC模型可以在包括扫描器差别的光刻过程差别存在的情况下给出绝对CD的精确预测。第二,由OPC模型来实现OPC校正,其意味着来自OPC模型的模拟的轮廓被期望是非常接近预先的OPC目标图案。因此结合微分模型与OPC模型能够在光刻过程差别存在的情况下对预先的OPC目标进行基于模拟的验证。
使用调整模型的扫描器调整和模拟
对于扫描器匹配和性能优化,调整的模型基于灵敏度模型和基础模型加上旋钮偏移量来产生。这包括:使用灵敏度模型的抗蚀剂模型部分、改变用于表示扫描器旋钮的参数以包括旋钮偏移量以及与基础模型进行结合。
在本发明的特定实施例中,全芯片晶片模拟和验证被用作为对扫描器调整的全芯片晶片测量的替代。期望的轮廓目标和实际轮廓(测量的或模拟的)之间的差别可以用于驱动对需要的旋钮偏移量的计算,使得印刷的轮廓在可接受的公差内与目标匹配。
本发明的方面可以允许扫描器被调整至已知的模型或已知的晶片轮廓或其它的目标图案。根据本发明的方面设置的过程允许光刻过程漂移校正、对于给定的OPC过程的扫描器优化、对于特定的器件掩模用以优化CDU的扫描器优化以及对于已知掩模误差的扫描器优化。
在期望的情况下,可以通过使用OPC验证工具来分析对图案的调整的效果,这是因为所述模型可以量化地分析与调整相关的变化对全芯片图案上的模型的影响。在根据本发明的特定方面的一个例子中,适合的方法可以包括:在调整之前和之后利用模型使用OPC验证工具来模拟全芯片的晶片上轮廓;和比较两个轮廓之间的差别以分析两个模型之间的差别的步骤。
现在转至图9,计算机系统900可以被部署以帮助本发明的特定实施例的基于模型的过程模拟方法。计算机系统900可以包括:总线902或用于通信信息的其它通信机制;和与总线902耦合的用于处理信息的处理器904。计算机系统900还可以包括主存储器906(诸如随机存取存储器(RAM))或耦合至总线902的用于存储由处理器904执行的信息和指令的任何其它的适合的动态存储装置。主存储器906还可以用于储存在执行由处理器904执行的指令期间的临时变量或其它中间信息。计算机系统900还包括只读存储器(ROM)908或耦合至总线902的用于存储用于处理器904的静态信息和指令的其它静态存储装置。诸如磁盘或光盘的存储装置910被提供且被耦合至总线902,用于存储信息和指令。
计算机系统900可以经由总线902或其它的连接耦合至显示系统912(诸如阴极射线管(CRT)、平板显示器或触摸面板显示器),所述显示系统912被配置且适合于给计算系统900的用户显示信息。包括字母数字键和其它的键的输入装置914耦合至总线902,用于将信息和命令选择与处理器904通信。可以使用另一类型的用户输入装置,包括光标控制器916(诸如鼠标、轨迹球)或光标方向键,用于将方向信息和命令选择通信至处理器904和用于控制显示器912上的光标移动。这一输入装置典型地在两个轴线上具有两个自由度,从而允许装置指定平面内的位置。触摸面板显示器还可以用作为输入装置。可以通过使用网络远程地(有线的或无线的)提供用户输入和输出。
根据本发明的一个实施例,扫描器调整过程的部分(例如模拟操作)可以由计算机系统900响应于用于执行包含在主存储器906中的一个或更多的指令中的一个或更多的序列的处理器904来执行。这样的指令可以从另一计算机可读介质(诸如储存装置910)被读取到主存储器906中。执行被包含在主存储器906中的指令的序列使得处理器904执行在此处描述的过程步骤。在多处理布置中的一个或更多的处理器还可以被采用以执行包含在主存储器906中的指令的序列。在可替代的实施例中,硬线电路可以用于替代或结合软件指令,以实施本发明。因此,本发明的实施例不限于硬件电路和软件的任何特定的组合。
如在此处使用的术语“计算机可读介质”表示参与提供指令至处理器904用于执行的任何介质。这样的介质可以采用任何形式,包括但不限于非易失性的介质、易失性的介质和传输介质。非易失性介质包括例如光盘或磁盘(诸如存储装置910),且可以相对处理器904本地设置或通过网络被远程地连接。非易失性存储装置可以从计算系统904移除,如在蓝光、DVD或CD存储或存储卡或棒的例子中,其可以容易地通过使用标准接口(包括USB等)与计算机连接或断开。
非易失性介质包括动态存储器,诸如主存储器906。传输介质包括同轴电缆、铜线和光纤光学装置,包含包括总线902的电线。传输介质也可以采用声波或光波的形式,诸如在射频(RF)和红外(IR)数据通信期间所产生的声波或光波。计算机可读介质的通常形式包括例如软盘、软碟、硬盘、磁带、任何其它磁性介质、CD-ROM、DVD、蓝光光盘、任何其它的光学介质、穿孔卡、纸带、任何其它的具有孔的图案的物理介质、RAM、PROM和EPROM、FLASH-EPROM、任何其它的存储器芯片或盒、如下文所描述的载波、或任何其它的计算机可以读取的介质。
计算机可读取的介质的各种形式可能涉及将一个或更多的指令中的一个或更多的序列携带至处理器904,用于执行。例如,所述指令可能最初承载到远程计算机的磁盘上。远程计算机可以将指令加载到其动态存储器中,且通过使用调制解调器在电话线上发送指令。本地连接至计算机系统900的调制解调器可以接收电话线上的数据,且使用红外发送器以将数据转换成红外信号。耦合至总线902的红外探测器可以接收在红外信号中携带的数据且将数据放置到总线902上。总线902将数据携带至主存储器906,处理器904从主存储器906获取和执行所述指令。通过主存储器906接收的指令可以可选地在处理器904执行之前或之后被储存在存储装置910上。
计算机系统900还优选地包括耦合至总线902的通信接口918。通信接口918提供耦合至网络链路920的双路数据通信,网络链路920连接至本地网络922。例如,通信接口918可以是综合服务数字网(ISDN)卡或调制解调器,用于提供数据通信连接至对应类型的电话线。作为另一例子,通信接口918可以是局域网(LAN)卡,以提供数据通信连接至可兼容的LAN。无线链路还可以被实施。在任何这样的实施方式中,通信接口918发送和接收电学的、电磁的或光学信号,其携带表示各种类型的信息的数字数据流。
网络链路920典型地通过一个或更多的网络提供数据通信至其它的数据装置。例如,网络链路920可以通过本地网络922提供至主计算机924或由网络服务提供商(ISP)926操作的数据设备的连接。ISP 926又通过全球分组数据通信网络提供数据通信服务,现在通常被称为“因特网”928。本地网络922和因特网928都使用携带数字数据流的电子的、电磁的或光学的信号。通过各种网络的信号和在网络链路920上和通过通信接口918的信号,携带数字数据至计算机系统900和从计算机系统900传输数字数据,是传输信息的载波的示例性形式。
计算机系统900可以通过网络、网络链路920和通信接口918发送信息和接收数据(包括程序编码)。在因特网的例子中,服务器930可以通过因特网928、ISP926、本地网络922和通信接口918发送应用程序的请求码。根据本发明,例如,一个这样的下载的应用提供对实施例的扫描器模拟。接收码可以由处理器904来执行,因为它被接收和/或存储在储存装置910或其它的非易失性储存装置中,用于随后的执行。以这种方式,计算机系统900可以获得载波形式的应用码。
图10示意性地示出了光刻投影设备的一个例子,其可以从通过根据本发明的特定方面提供的过程进行调整获得益处。所述设备包括:
-辐射系统Ex、IL,用于供给投影辐射束PB。在例子中,辐射系统还包括辐射源LA;
-第一物体台-或掩模台MT,设置有用于保持掩模MA(诸如掩模版)的掩模保持器且被连接至用于相对于投影系统PL精确地定位掩模的第一定位装置;
-第二物体台或衬底台WT,设置有用于保持衬底W(诸如涂覆抗蚀剂的硅晶片)的衬底保持器,且被连接至用于相对于投影系统PL精确地定位衬底的第二定位装置;
-投影系统或“透镜”PL(诸如折射式、反射式或反射折射式光学系统)用于将掩模MA的辐射部分成像到目标部分C上,所述目标部分C例如包括衬底W的一个或更多的管芯。
如在例子中显示的,所述设备是透射型,具有透射掩模。所述设备也可以是反射型,例如具有反射掩模。可替代地,所述设备可以采用另一类型的图案形成装置,作为使用掩模的替代;例子包括可编程反射镜阵列或LCD矩阵。
源LA可以例如是汞灯或准分子激光器或产生辐射束的其它装置。这一束可以直接地或在调节之后被馈送到照射系统或照射器(IL)中,例如已经穿过调节装置(诸如扩束器EX)。照射器IL可以包括调节装置“AM”,用于设定辐射束中的强度分布的外部和/或内部径向范围(分别为σ-外部和/或σ-内部)。照射器IL还可以包括各种其它部件,诸如积分器IN和聚光器CO,最终获得的束PB可能被使得照射到掩模MA上,且在其横截面中具有期望的均匀性和强度分布。
对于图10,源LA可以设置在光刻投影设备的壳体内,尤其是例如源LA包括汞灯时。源LA还可以设置成相对于光刻投影设备远程设置,在适合的引导反射镜和/或透镜等的帮助下,它产生的辐射束通过光导体被引导到设备中。在一个例子中,包括基于KrF,ArF或F2激光的准分子激光器的源LA,例如可以设置成距离投影设备一定距离。
在所显示的例子中,辐射束PB可以随后拦截掩模MA,其被保持在掩模台MT上。在已经穿过掩模MA之后,辐射束PB穿过透镜PL,所述透镜PL将束PB聚焦到衬底W的目标部分C上。在第二定位装置和/或干涉仪测量装置IF的帮助下,可以精确地移动衬底台WT,用于在束的路径PB上定位不同的目标部分C。类似地,典型地在从掩模库机械获取掩模MA之后或在扫描期间,第一定位装置可以用于相对于束路径PB精确地定位掩模MA。通常,可以通过长行程模块或粗定位系统和短行程模块或精定位系统的帮助下来实现物体台MT、WT的移动,其未在图10中示出。然而,在晶片步进机的情形中,掩模台MT可以仅连接至短行程致动器或可以是固定的。
例子中示出的系统可以用于不同的模式中:
-在步进模式中,在将掩模台MT保持为基本静止的同时,将整个掩模图像在一个步骤(即单个闪光)中投影到目标部分C上。衬底台WT之后可以在x和/或y方向上移位,使得不同的目标部分C可以被束PB辐射;
-在扫描模式中,除了在单个闪光中没有曝光的给定的目标部分C之外,实质上应用了同样的假定,但是掩模台MT在给定的所谓的扫描方向(例如y方向)上是以速度v移动的,使得投影束PB被导致在掩模图像上扫描;衬底台WT可以被使得在同一或相反的方向上以速度V=Mv同时移动,其中M是透镜PL的放大率;典型地,M=1/4或1/5。这样,可以曝光相对大的目标部分C,同时保持系统的分辨率。
根据本发明的特定方面提供的系统和方法可以模拟或在数学上对于任何通用的用于使次波长特征成像的成像系统进行建模,设想系统和方法可能有利于与能够产生不断变得更小的尺寸的波长的成像技术一起使用。已经在使用中出现的技术包括极紫外(EUV)光刻术,其能够使用ArF激光器产生的193nm的波长,甚至使用氟激光器产生的157nm的波长。此外,EUV光刻术能够通过使用同步加速器或通过用高能电子撞击固体或等离子体材料来产生在20-5nm范围内的波长,用于产生在这一范围内的光子。因为大多数材料在这一范围内是吸收性的,所以可以通过具有钼和硅的多层堆叠的反射镜来产生照射。多层堆叠反射镜可以具有40层对的钼和硅,其中每一层的厚度为四分之一波长。可以用X射线光刻术产生甚至更小的波长。典型地,同步加速器用于产生X射线波长。因为大多数材料在x射线波长下是吸收性的,所以吸收材料的薄片根据是否分别使用了正或负的抗蚀剂限定了在哪里印刷或不印刷特征。
虽然在此处公开的概念可以用于在诸如硅晶片的衬底上成像,但是应当理解,所公开的构思可以与任何类型的光刻成像系统一起使用,例如用于在除硅晶片之外的衬底上成像的光刻成像系统。
对本发明的特定方面的额外描述
本发明的特定实施例提供了用于过程模拟的系统和方法。在一些实施例中,所述系统和方法包括以下系统和方法,其提供用于识别扫描器对一组可调整的参数的灵敏度的参考模型;通过使用所述参考模型来模拟扫描器来由芯片设计模拟芯片制造以获得模拟的芯片,其中芯片设计被表示成一个或更多的掩模;识别模拟的芯片中的临界尺寸违背和选择性地执行模拟和识别步骤的迭代,以获得模拟的芯片与期望芯片的收敛,其中所述过程模型的至少一个可调整的参数在执行每一迭代之前被调整。在一些实施例中,每一模拟步骤包括产生一组描述模拟芯片的结果。在一些实施例中,每一组结果包括在模拟步骤中计算的对临界尺寸的虚拟测量。在一些实施例中,所述系统和方法包括提供一组结果给设计者和从设计者接收经过更新的芯片设计,所述经过更新的芯片设计包括响应于一个或更多的虚拟测量的所进行的至少一次修改。
在一些实施例中,调整后模型用于在全芯片模拟中表征器件。在一些实施例中,模拟包括由调整后模型提供的信息。在一些实施例中,所述系统和方法适应于由于光学装置、机械装置、控制和器件特定的激光漂移的差别、由不同的装置产生的不同的结果。在一些实施例中,基础模型与微分模型一起使用,来表征多个扫描器中的每一个。在一些实施例中,所述系统和方法预测由在特定应用中使用的扫描器获得的期望结果。
在一些实施例中,采用了方法,所述方法包括:使用现有的模型来模拟芯片以获得虚拟的结果。在一些实施例中,所述方法包括:比较结果与预期结果。在一些实施例中,如果虚拟结果是不能接受的,那么过程模型被重新调整且再次执行模拟。在一些实施例中,所述方法包括:在模拟芯片的所选择的部分上调整重复的图案或部分图案,用于获得可应用于全部重复和部分图案的优化和校正。在一些实施例中,识别了热斑。在一些实施例中,热斑包括CD由于机械、光学和其它系统的特性而受到负面影响的芯片区域。在一些实施例中,通过再次调整参考模型来消除热斑。在一些实施例中,再次调整被计算,以使得热斑中的CD位于可接受的公差和误差极限内。
在一些实施例中,调整程序包括确定是否发生了收敛。在一些实施例中,在消除多个热斑时发生了收敛。在一些实施例中,在芯片上的多个CD落入到可接受的误差极限和公差内时发生收敛。在一些实施例中,一个或更多的步骤被选择性重复以获得收敛。
虽然参考特定的示例性实施例描述了本发明,但是本领域普通技术人员将明白可以在不背离本发明的更加宽泛的精神和范围的情况下对这些实施例进行各种修改和变化。因此,说明书和附图被认为是说明性的意义而不是限制性的意义。

Claims (21)

1.一种光刻过程模拟的方法,包括:
提供描述可归因于光刻过程参数中的差别的成像结果中的差别的微分模型;和
使用所述微分模型产生模拟的晶片轮廓。
2.根据权利要求1所述的方法,其中,光刻过程参数中的差别对应于掩模差别、抗蚀剂差别、轨迹差别、蚀刻差别和扫描器差别中的一个或更多个。
3.根据权利要求6所述的方法,其中所述扫描器差别包括与光学装置、机械装置、控制和器件特有的激光器漂移中的一个或更多个相关联的差别。
4.根据权利要求1所述的方法,其中所述模拟的晶片轮廓对应于抗蚀剂中的晶片轮廓。
5.根据权利要求1所述的方法,其中所述模拟的晶片轮廓对应于蚀刻之后的晶片轮廓。
6.根据权利要求1所述的方法,其中所述模拟的晶片轮廓包括能够由通过至少一个不同的光刻过程参数相互区别的光刻过程产生的轮廓。
7.根据权利要求1所述的方法,其中所述微分模型表征包括两个扫描器的两个光刻过程中的差别。
8.根据权利要求1所述的方法,其中所述微分模型所描述的差别包括可调整的和不可调整的参数。
9.根据权利要求7所述的方法,还包括通过使用所述微分模型和所述两个扫描器中的一个扫描器的模型来导出所述两个扫描器中的另一个扫描器的模型的步骤。
10.根据权利要求9所述的方法,其中所述一个扫描器的模型是OPC模型和OPC验证模型中的一个。
11.一种用于光刻过程模拟的方法,包括:
提供描述可归因于用于一个扫描器的扫描器设定中的差别的成像结果中的差别的灵敏度模型;和
使用所述灵敏度模型产生模拟的晶片轮廓。
12.根据权利要求11所述的方法,其中所述模拟的晶片轮廓对应于抗蚀剂中的晶片轮廓。
13.根据权利要求11所述的方法,其中所述模拟的晶片轮廓对应于蚀刻之后的晶片轮廓。
14.根据权利要求11所述的方法,其中所述模拟的晶片轮廓包括能够由通过至少一个不同的扫描器设定参数相互区别的光刻过程产生的轮廓。
15.根据权利要求11所述的方法,还包括步骤:在另一设定下通过使用所述灵敏度模型和所述扫描器的基础模型导出在特定设定下的扫描器的模型。
16.根据权利要求15所述的方法,其中所述扫描器的基础模型是OPC模型和OPC验证模型中的一个。
17.根据权利要求11或15所述的方法,其中所述扫描器设定涉及所述一个扫描器的照射系统、投影系统、激光源和晶片台中的一个或更多个。
18.一种用于校准光刻模型的方法,包括步骤:
执行光刻过程的多个模拟,其中对于每一模拟,改变过程模型的可调整的设定;
比较由所述模拟产生的模拟的轮廓与在所述光刻过程中的对应变化下产生的测量的轮廓,以识别所述模拟的轮廓和所述测量的轮廓之间的差别;和
基于所识别的差别通过使用价值函数来校准所述过程模型的参数。
19.根据权利要求18所述的方法,其中所述过程模型是灵敏度模型,其描述了可归因于光刻设备的设备设定中的差别的成像结果中的差别。
20.一种用于校准光刻模型的方法,包括步骤:
执行用于多个扫描器的光刻过程的模拟,其中微分模型表征了所述光刻过程中的与扫描器相关的差别;
识别由所述模拟产生的模拟的轮廓和在所述模拟的条件下由所述多个扫描器获得的对应的测量的轮廓之间的差别;和
基于所述识别的差别来优化所述微分模型的参数。
21.根据权利要求20所述的方法,其中所述优化步骤包括步骤:调节可调整的和不可调整的扫描器参数,直到所述模拟的轮廓中的差别与所述测量的轮廓中的对应差别匹配。
CN2009801207092A 2008-06-03 2009-05-29 基于模型的过程模拟的方法 Active CN102057329B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US5852008P 2008-06-03 2008-06-03
US5851108P 2008-06-03 2008-06-03
US61/058,520 2008-06-03
US61/058,511 2008-06-03
US14157808P 2008-12-30 2008-12-30
US61/141,578 2008-12-30
US14230509P 2009-01-02 2009-01-02
US61/142,305 2009-01-02
PCT/US2009/045726 WO2009148972A1 (en) 2008-06-03 2009-05-29 Methods for model-based process simulation

Publications (2)

Publication Number Publication Date
CN102057329A true CN102057329A (zh) 2011-05-11
CN102057329B CN102057329B (zh) 2013-08-21

Family

ID=41198557

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200980120711XA Active CN102057330B (zh) 2008-06-03 2009-05-29 基于模型的扫描器调节方法
CN2009801207092A Active CN102057329B (zh) 2008-06-03 2009-05-29 基于模型的过程模拟的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200980120711XA Active CN102057330B (zh) 2008-06-03 2009-05-29 基于模型的扫描器调节方法

Country Status (6)

Country Link
US (6) US8806387B2 (zh)
JP (5) JP5913979B2 (zh)
KR (3) KR101610734B1 (zh)
CN (2) CN102057330B (zh)
TW (2) TWI460547B (zh)
WO (2) WO2009148974A1 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105452963A (zh) * 2013-08-13 2016-03-30 Asml荷兰有限公司 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
WO2017156842A1 (zh) * 2016-03-18 2017-09-21 京东方科技集团股份有限公司 产生掩膜图案的系统和方法以及曝光系统
CN109586688A (zh) * 2018-12-07 2019-04-05 桂林电子科技大学 基于迭代计算的时变可分非下采样图滤波器组的设计方法
TWI664490B (zh) * 2016-11-09 2019-07-01 德商卡爾蔡司Smt有限公司 用於在微影圖罩的特性分析中產生參考影像的方法和裝置
CN110088687A (zh) * 2016-12-16 2019-08-02 Asml荷兰有限公司 用于图像分析的方法和设备
CN110121681A (zh) * 2016-12-28 2019-08-13 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN110325921A (zh) * 2017-01-26 2019-10-11 Asml荷兰有限公司 微调过程模型的方法
CN110709779A (zh) * 2017-06-06 2020-01-17 Asml荷兰有限公司 测量方法和设备
US10948831B2 (en) 2017-02-24 2021-03-16 Asml Netherlands B.V. Methods of determining process models by machine learning
CN115408650A (zh) * 2022-08-03 2022-11-29 武汉宇微光学软件有限公司 光刻胶多级串连表征网络的建模、校准、仿真方法和系统

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8806387B2 (en) 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
JP2010211046A (ja) * 2009-03-11 2010-09-24 Toshiba Corp パターン検証方法およびパターン検証プログラム
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US8607168B2 (en) * 2010-02-16 2013-12-10 Mentor Graphics Corporation Contour alignment for model calibration
TWI395460B (zh) * 2010-06-02 2013-05-01 Avision Inc 自動存取影像處理程式之掃描設備
KR101659838B1 (ko) * 2010-06-18 2016-09-26 삼성전자주식회사 식각 근접 보정방법 및 그를 이용한 포토마스크 레이아웃의 생성방법
DE102011078927B4 (de) * 2010-07-12 2019-01-31 Carl Zeiss Sms Ltd. Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske
DE102011083774B4 (de) 2010-10-04 2019-06-13 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Laser korrigierenden Tool-Parametern
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
NL2010163A (en) * 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
JP6039932B2 (ja) * 2012-06-22 2016-12-07 キヤノン株式会社 露光装置、露光方法及び物品の製造方法
US9519285B2 (en) * 2013-01-23 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and associated methods for tuning processing tools
CN104423142B (zh) * 2013-08-22 2020-05-05 中芯国际集成电路制造(上海)有限公司 用于光学邻近校正模型的校准数据收集方法和系统
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
EP2952964A1 (en) * 2014-06-03 2015-12-09 Aselta Nanographics Method for determining the parameters of an ic manufacturing process by a differential procedure
US10345715B2 (en) 2014-09-02 2019-07-09 Nikon Corporation Pattern-edge placement predictor and monitor for lithographic exposure tool
US10018922B2 (en) 2014-09-02 2018-07-10 Nikon Corporation Tuning of optical projection system to optimize image-edge placement
CN112331576A (zh) * 2014-10-03 2021-02-05 科磊股份有限公司 验证计量目标及其设计
TWI701517B (zh) * 2014-12-23 2020-08-11 德商卡爾蔡司Smt有限公司 光學構件
CN104615808B (zh) * 2015-01-19 2018-07-03 北京思朗科技有限责任公司 一种待测试硬件运算部件的测试方法及参考模型装置
CN107430351B (zh) * 2015-03-16 2019-06-11 Asml荷兰有限公司 用于确定抗蚀剂变形的方法
US9928316B2 (en) 2015-03-26 2018-03-27 International Business Machines Corporation Process-metrology reproducibility bands for lithographic photomasks
US11506984B2 (en) 2015-05-29 2022-11-22 Asml Netherlands B.V. Simulation of lithography using multiple-sampling of angular distribution of source radiation
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
EP3118682B1 (en) 2015-07-16 2022-10-19 IMEC vzw Method for designing a lithographic mask
KR102293295B1 (ko) * 2015-08-13 2021-08-25 세메스 주식회사 기판 처리 설비 시뮬레이션 시스템 및 방법
EP3153924B1 (en) * 2015-10-07 2021-11-17 Aselta Nanographics Method for determining the dose corrections to be applied to an ic manufacturing process by a matching procedure
JP6738423B2 (ja) * 2015-12-17 2020-08-12 エーエスエムエル ネザーランズ ビー.ブイ. 測定を向上させるための非対称なサブ分解能フィーチャを用いるリソグラフィプロセスの光学計測
CN112198762B (zh) 2015-12-22 2023-09-19 Asml荷兰有限公司 用于过程窗口表征的设备和方法
DE102016200043A1 (de) * 2016-01-05 2017-07-06 Eos Gmbh Electro Optical Systems Verfahren zum Kalibrieren einer Vorrichtung zum Herstellen eines dreidimensionalen Objekts
KR20180115299A (ko) 2016-02-22 2018-10-22 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
US11731365B2 (en) 2016-04-25 2023-08-22 Renishaw Plc Calibration method of plurality of scanners in an additive manufacturing apparatus
TWI631415B (zh) * 2016-07-01 2018-08-01 美商格羅方德半導體公司 同時微影及蝕刻校正流程之方法
KR102434050B1 (ko) * 2016-12-02 2022-08-19 에이에스엠엘 네델란즈 비.브이. 에치 파라미터를 변화시키는 방법
CN110140088B (zh) * 2016-12-28 2021-08-31 Asml荷兰有限公司 量测图像与设计之间的模拟辅助的对准
EP3688529B1 (en) * 2017-09-27 2023-12-13 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
US10495967B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN111788589A (zh) * 2018-02-23 2020-10-16 Asml荷兰有限公司 训练用于计算光刻术的机器学习模型的方法
WO2019179782A1 (en) * 2018-03-20 2019-09-26 Asml Netherlands B.V. Instant tuning method for accelerating resist and etch model calibration
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
KR102529085B1 (ko) 2018-06-25 2023-05-08 에이에스엠엘 네델란즈 비.브이. 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
EP3588191A1 (en) * 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3906442A1 (en) * 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
JP7171468B2 (ja) * 2019-02-20 2022-11-15 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、物品の製造方法、物品の製造システム、及び出力方法
US11568101B2 (en) 2019-08-13 2023-01-31 International Business Machines Corporation Predictive multi-stage modelling for complex process control
US11119404B2 (en) * 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks
JP2022552845A (ja) * 2019-10-23 2022-12-20 ラム リサーチ コーポレーション 半導体を製造するためのレシピの決定
WO2022109767A1 (en) * 2020-11-24 2022-06-02 Applied Materials, Inc. Optimization-based image processing for metrology
CN112987488B (zh) * 2021-02-22 2024-03-12 上海华力集成电路制造有限公司 Opc修正方法
CN114357928A (zh) * 2021-12-31 2022-04-15 东方晶源微电子科技(北京)有限公司 一种光刻模型优化方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1696269A2 (en) * 2005-02-24 2006-08-30 Synopsys, Inc. Identifying a problem area in a layout using a process-sensitivity model
US20070031745A1 (en) * 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5225462A (en) 1975-08-22 1977-02-25 Okada Sangyo Kk Aeration and organism purifying device for polluted water
JP3114132B2 (ja) 1992-02-10 2000-12-04 能美防災株式会社 光電式分離型煙感知器
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US6564112B1 (en) * 1999-11-08 2003-05-13 Eventide Inc. Method of customizing electronic systems based on user specifications
JP2002175969A (ja) 2000-12-07 2002-06-21 Hitachi Ltd パターン検証方法及びデータ処理システム
JP2002174890A (ja) 2000-12-07 2002-06-21 Hitachi Ltd 半導体集積回路の製造方法
JP2002353104A (ja) 2001-05-24 2002-12-06 Hitachi Ltd 半導体デバイスの露光方法、その露光システム及びそのプログラム
US6581193B1 (en) * 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
KR100468741B1 (ko) 2002-06-22 2005-01-29 삼성전자주식회사 노광 장치의 어퍼처 설계를 위한 시뮬레이션 방법 및장치, 그리고 시뮬레이션 방법을 기록한 기록매체
JP2004103674A (ja) 2002-09-06 2004-04-02 Renesas Technology Corp 半導体集積回路装置の製造方法
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP4192618B2 (ja) * 2003-02-17 2008-12-10 ソニー株式会社 マスクの補正方法
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
KR100824031B1 (ko) * 2004-01-30 2008-04-21 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
JP2007536564A (ja) * 2004-04-02 2007-12-13 クリア・シェイプ・テクノロジーズ・インコーポレーテッド 集積回路の製造における超解像プロセスのモデル化
US7053979B2 (en) * 2004-05-12 2006-05-30 Litel Instruments Process for amelioration of scanning synchronization error
US7116411B2 (en) 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
JP2006292813A (ja) * 2005-04-06 2006-10-26 Seiko Epson Corp 設計パターン補正方法、パラメータ設定方法、設計パターン補正装置、パラメータ設定装置、及びプログラム
US7743359B2 (en) * 2005-05-02 2010-06-22 Cadence Design Systems, Inc. Apparatus and method for photomask design
JP4701030B2 (ja) * 2005-07-22 2011-06-15 キヤノン株式会社 露光装置、露光パラメータを設定する設定方法、露光方法、デバイス製造方法及びプログラム
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7425397B2 (en) 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of determining an illumination profile and device manufacturing method
JP2007142275A (ja) * 2005-11-21 2007-06-07 Toshiba Corp フォトマスクの判定方法、半導体装置の製造方法及びプログラム
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7679069B2 (en) * 2006-03-16 2010-03-16 Kla-Tencor Technologies Corporation Method and system for optimizing alignment performance in a fleet of exposure tools
JP2007324342A (ja) * 2006-05-31 2007-12-13 Nikon Corp 露光方法、露光システムの管理方法、露光システム、及びデバイス製造方法
JP4866683B2 (ja) 2006-08-25 2012-02-01 富士通セミコンダクター株式会社 半導体デバイスの製造方法、データ作成装置、データ作成方法、およびプログラム
JP4999161B2 (ja) 2007-02-19 2012-08-15 新日本無線株式会社 半導体装置の製造方法
JP4989279B2 (ja) * 2007-04-05 2012-08-01 株式会社東芝 パラメータ値調整方法、半導体装置製造方法およびプログラム
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US8037428B2 (en) * 2008-05-29 2011-10-11 Synopsys, Inc. Method and system for post-routing lithography-hotspot correction of a layout
US8806387B2 (en) 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1696269A2 (en) * 2005-02-24 2006-08-30 Synopsys, Inc. Identifying a problem area in a layout using a process-sensitivity model
US20070031745A1 (en) * 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105452963B (zh) * 2013-08-13 2017-08-22 Asml荷兰有限公司 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
US9760018B2 (en) 2013-08-13 2017-09-12 Asml Netherlands B.V. Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
CN105452963A (zh) * 2013-08-13 2016-03-30 Asml荷兰有限公司 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
WO2017156842A1 (zh) * 2016-03-18 2017-09-21 京东方科技集团股份有限公司 产生掩膜图案的系统和方法以及曝光系统
US10324371B2 (en) 2016-03-18 2019-06-18 Boe Technology Group Co., Ltd. System and method for generating mask pattern and exposure system
TWI664490B (zh) * 2016-11-09 2019-07-01 德商卡爾蔡司Smt有限公司 用於在微影圖罩的特性分析中產生參考影像的方法和裝置
US10429731B2 (en) 2016-11-09 2019-10-01 Carl Zeiss Smt Gmbh Method and device for generating a reference image in the characterization of a mask for microlithography
CN110088687B (zh) * 2016-12-16 2021-11-30 Asml荷兰有限公司 用于图像分析的方法和设备
CN110088687A (zh) * 2016-12-16 2019-08-02 Asml荷兰有限公司 用于图像分析的方法和设备
US11183434B2 (en) 2016-12-28 2021-11-23 Asml Netherlands B.V. Methods of guiding process models and inspection in a manufacturing process
CN110121681A (zh) * 2016-12-28 2019-08-13 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN110325921A (zh) * 2017-01-26 2019-10-11 Asml荷兰有限公司 微调过程模型的方法
CN110325921B (zh) * 2017-01-26 2022-02-18 Asml荷兰有限公司 微调过程模型的方法
US11614690B2 (en) 2017-01-26 2023-03-28 Asml Netherlands B.V. Methods of tuning process models
US10948831B2 (en) 2017-02-24 2021-03-16 Asml Netherlands B.V. Methods of determining process models by machine learning
CN110709779A (zh) * 2017-06-06 2020-01-17 Asml荷兰有限公司 测量方法和设备
US11243473B2 (en) 2017-06-06 2022-02-08 Asml Netherlands B.V. Measurement method and apparatus
CN110709779B (zh) * 2017-06-06 2022-02-22 Asml荷兰有限公司 测量方法和设备
CN109586688A (zh) * 2018-12-07 2019-04-05 桂林电子科技大学 基于迭代计算的时变可分非下采样图滤波器组的设计方法
CN109586688B (zh) * 2018-12-07 2022-10-18 桂林电子科技大学 基于迭代计算的时变可分非下采样图滤波器组的设计方法
CN115408650A (zh) * 2022-08-03 2022-11-29 武汉宇微光学软件有限公司 光刻胶多级串连表征网络的建模、校准、仿真方法和系统

Also Published As

Publication number Publication date
JP2011522439A (ja) 2011-07-28
US20140351773A1 (en) 2014-11-27
US8874423B2 (en) 2014-10-28
CN102057329B (zh) 2013-08-21
JP2011522440A (ja) 2011-07-28
JP5913979B2 (ja) 2016-05-11
JP2018197876A (ja) 2018-12-13
TWI460547B (zh) 2014-11-11
CN102057330A (zh) 2011-05-11
CN102057330B (zh) 2013-07-17
WO2009148972A1 (en) 2009-12-10
US8806387B2 (en) 2014-08-12
US20200189192A1 (en) 2020-06-18
KR101749987B1 (ko) 2017-06-22
TWI460546B (zh) 2014-11-11
US20090300573A1 (en) 2009-12-03
US20140046646A1 (en) 2014-02-13
JP6735794B2 (ja) 2020-08-05
US20150045935A1 (en) 2015-02-12
JP5750417B2 (ja) 2015-07-22
US10569469B2 (en) 2020-02-25
TW201011473A (en) 2010-03-16
US10137643B2 (en) 2018-11-27
KR101928938B1 (ko) 2018-12-13
KR20110021998A (ko) 2011-03-04
WO2009148974A1 (en) 2009-12-10
KR20170060186A (ko) 2017-05-31
KR20110015652A (ko) 2011-02-16
US8571845B2 (en) 2013-10-29
JP2016066091A (ja) 2016-04-28
TW201011474A (en) 2010-03-16
JP2013012773A (ja) 2013-01-17
US20100010784A1 (en) 2010-01-14
KR101610734B1 (ko) 2016-04-08
JP5225462B2 (ja) 2013-07-03
JP6468993B2 (ja) 2019-02-13

Similar Documents

Publication Publication Date Title
CN102057329B (zh) 基于模型的过程模拟的方法
CN102799075B (zh) 控制光刻过程的方法
CN102063022B (zh) 用于实现基于模型的扫描器调整方法
CN101738871B (zh) 用于光刻术模型校准的图案选择
CN102466984B (zh) 源、掩模和投影光学装置的优化
CN102466985B (zh) 包括通过投影光学装置的光操纵的独立于图案和混合型匹配/调节
CN102540754B (zh) 源、掩模和投影光学装置的优化流程
CN101738872A (zh) 用于光刻校准的方法和系统
CN105008997A (zh) 离散源掩模优化
KR20160062141A (ko) 프로파일 인식 소스-마스크 최적화
US7818151B2 (en) Method, program product and apparatus for obtaining short-range flare model parameters for lithography simulation tool

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant