JP2013012773A - モデルベースのスキャナ調整方法 - Google Patents

モデルベースのスキャナ調整方法 Download PDF

Info

Publication number
JP2013012773A
JP2013012773A JP2012204119A JP2012204119A JP2013012773A JP 2013012773 A JP2013012773 A JP 2013012773A JP 2012204119 A JP2012204119 A JP 2012204119A JP 2012204119 A JP2012204119 A JP 2012204119A JP 2013012773 A JP2013012773 A JP 2013012773A
Authority
JP
Japan
Prior art keywords
model
scanner
difference
wafer
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012204119A
Other languages
English (en)
Other versions
JP5750417B2 (ja
Inventor
Jun Ye
イエ,ジュン
Yu Cao
カオ,ユ
Goossens Ronaldus
グーセンス,ロナルド
Wenjin Shao
シャオ,ウェンジン
Koonmen Jim
コーンメン,ジム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2013012773A publication Critical patent/JP2013012773A/ja
Application granted granted Critical
Publication of JP5750417B2 publication Critical patent/JP5750417B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C64/00Additive manufacturing, i.e. manufacturing of three-dimensional [3D] objects by additive deposition, additive agglomeration or additive layering, e.g. by 3D printing, stereolithography or selective laser sintering
    • B29C64/30Auxiliary operations or equipment
    • B29C64/386Data acquisition or data processing for additive manufacturing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70458Mix-and-match, i.e. multiple exposures of the same area using a similar type of exposure apparatus, e.g. multiple exposures using a UV apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【課題】モデルベースによる複数のリソグラフィシステムの調整および性能の最適化を行うシステムおよび方法を提供する。
【解決手段】ターゲットスキャナのモデルは、一組の調整可能パラメータを基準にしてターゲットスキャナの感度を画定して維持される。差分モデルは基準に対するターゲットスキャナの偏差を表す。ターゲットスキャナは、基準スキャナおよび差分モデルの設定に基づいて調整され得る。関連スキャナのファミリーの性能は、基準スキャナの性能に対して特徴付けられ得る。差分モデルは、結像挙動における差をシミュレートするために使用されてよいパラメトリックオフセットおよび他の差などの情報を含み得る。
【選択図】図11

Description

関連出願への相互参照
[0001] 本願は、2008年12月30日に出願した米国仮特許出願第61/141,578号、2009年1月2日に出願した米国仮特許出願第61/142,305号、2008年6月3日に出願した米国仮特許出願第61/058,511号、および2008年6月3日に出願した米国仮特許出願第61/058,520号の優先権を主張し、その全体を本願に参考として明示的に組み込む。
[0002] 本発明は、一般に、モデルベースのスキャナ調整および最適化を行うシステムおよび方法に関し、より詳細には、複数のリソグラフィシステムの性能の最適化に関する。
[0003] リソグラフィ装置は、集積回路(IC)の製造に用いることができる。マスクは、ICの個々の層に対応する回路パターンを含み、このパターンを、放射感応性レジスト材料の層で塗布されているシリコンウェーハからなる基板上の1つ以上のダイを含むターゲット部分上に結像する。一般に、単一のウェーハは、投影システムを介して1回に1つずつ連続的に照射される隣接したターゲット部分のネットワークを含んでいる。1つのタイプのリソグラフィ投影装置では、マスクパターン全体をターゲット部分上に1回で露光することによって各ターゲット部分が照射され、このような装置は、通常、ウェーハステッパと呼ばれる。ステップアンドスキャン装置では、マスクパターンをある特定の基準または「スキャン方向」に投影ビームの下で漸進的にスキャンすると同時に、この方向に平行または逆平行に基板をスキャンすることにより各ターゲット部分を照射する。倍率係数M(通常、<1)を有する投影システムでは、基板テーブルをスキャンする速度Vは、Mにマスクテーブルをスキャンする速度を掛けた係数である。本明細書で説明するようなリソグラフィデバイスに関するさらなる情報は、例えば、参照により本明細書に組み込まれる米国特許第6,046,792号から入手することができる。
[0004] リソグラフィ投影装置を用いた製造プロセスでは、放射感応性レジスト材料の層によって少なくとも部分的に覆われた基板上にマスクパターンを結像する。この結像ステップの前に、基板は、プライミング、レジストコート、およびソフトベークなどの種々の手順を経ることができる。露光後、基板は、結像したフィーチャの露光後ベーク(PEB)、現像、ハードベークおよび測定/検査などの他の手順を行うことができる。この一連の手順は、ICなどのデバイスの個々の層にパターン付けを行うためのベースとして使用される。このようなパターン付き層は、次にエッチング、イオン注入またはドーピング、メタライゼーション、酸化、化学機械的研磨などのような種々のプロセスを経ることができ、その後個々の層の仕上げを行う。いくつかの層が必要な場合は、全手順、またはその変形を新しい層ごとに繰り返さなければならない。最終的に、デバイスアレイが基板ウェーハ上に供される。次に、これらのデバイスを、ダイシングまたはソーイングなどの技術により相互から分離し、個々のデバイスのキャリアへの実装、ピンへの接続などが可能になる。
[0005] 投影システム(以下、「レンズ」)は、例えば、屈折光学系、反射光学系、および反射屈折系を含むさまざまなタイプの投影システムを包含し、1つ以上のレンズを含んでよい。レンズは、放射投影ビームの誘導、整形、または制御を行うために使用される放射システムのコンポーネントを含むこともできる。さらに、リソグラフィ装置は、2つ以上の基板テーブルおよび/または2つ以上のマスクテーブルを有するタイプのものであってもよい。そのようなマルチステージデバイスにおいては、追加のテーブルは並行して使うことができ、および/または予備工程を特定のテーブル上で実行しつつ、別のテーブルを露光用に使うこともできる。ツインステージリソグラフィ装置は、例えば、参照により本明細書に組み込まれる米国特許第5,969,441号に記載される。
[0006] 上述したフォトリソグラフィマスクは、シリコンウェーハに集積される回路コンポーネントに対応する幾何学的パターンを含む。このようなマスクの生成に使用されるパターンは、コンピュータ援用設計(「CAD」)プログラムを利用して生成され、このプロセスは電子設計自動化(「EDA」)と呼ばれることが多い。大半のCADプログラムは、一組の所定の設計ルールに従って機能マスクを生成する。こういったルールは、処理制約および設計制約により設定される。例えば、設計ルールは、確実に回路デバイスまたは線が望ましくない方法で相互作用しないように、ゲート、コンデンサなどの回路デバイスまたは相互接続線の間の空間公差を画定する。設計ルールの限界を、通常は、クリティカルディメンジョン(「CD」)と呼ぶ。回路のCDは、線または穴の最小幅、または2本の線または2つの穴の間の最小空間と定義することができる。それ故、CDは設計される回路の全体のサイズおよび密度を決定する。もちろん、集積回路製作の目的の1つは、マスクを介してウェーハ上の元の回路設計を忠実に再現することである。
[0007] 一般には、最適/許容可能な結像性能を達成するために各リソグラフィシステムの必要な設定を決定するのに多大な時間および資源を費やす必要なく、異なるタイプのリソグラフィシステム(例えば、スキャナ)で所与のパターンを結像するために共通のプロセスを利用することにより利益が生れ得る。設計者および技術者は、予め定義された設計条件を満たす像を得るように特定のスキャナのためのプロセスを最初に設定する場合、開口数(「NA」)、σin、σoutなどを含むリソグラフィシステムの最適設定を決定するのに多大な時間および費用を費やしている。多くの場合、試行錯誤のプロセスが採用され、ここではスキャナの設定を選択し、所望のパターンを結像してその後測定して、出力像が規定の公差内であるか否かを判定する。出力像が公差内でない場合は、スキャナの設定を調節し、パターンを再び結像して測定する。結果の像が規定の公差内になるまでこのプロセスを繰り返す。
[0008] しかしながら、スキャナが同一のモデルタイプであっても、基板に結像される実際のパターンは、パターンの結像時に異なるスキャナによって提示される異なる光近接効果(「OPE」)により、スキャナごとに異なり得る。例えば、特定のスキャナに関連する異なるOPEは、ピッチを通してかなりのCD変動を導入することがある。結果的に、スキャナを交換して同一の結像パターンを得ることが不可能であることが多い。したがって、スキャナが新しいまたは異なる場合、かつ設計条件を満たす結果の像を得るという期待をもってパターンをプリントするために使用される場合、技術者は、スキャナを最適化または調整する必要がある。現在、この高価で時間のかかる試行錯誤プロセスは、プロセッサおよびスキャナを調整するために使用される。
[0009] 現在の最先端技術では、スキャナ調整の一般的な形態は近接整合である。目的は、調整可能スキャナと基準スキャナとの間で一組の事前定義されたパターンに対するプリントされるウェーハCDを整合することである。一般的には、ピッチを通る一次元パターン(「1Dパターン」)が注目されている。なぜなら、そのパターンに対するクリティカルディメンジョン均一性が半導体デバイス性能にとって最も重大であるからである。事前定義されたパターンは、基準スキャナおよび調整可能スキャナを用いてウェーハ上に露光され、ウェーハCD値は測定される。CDにおける差は、基準スキャナからのものに調整した後にCD値を整合するために調整可能スキャナ上の調整オフセットを推進するために使用される。調整オフセットに対するCD値の線形依存性を仮定して、最適化は線形様式で行われる。線形依存性は、ノブオフセットに対するCD値の偏導関数として定義される感度によって特徴付けられる。感度は、米国特許第7,003,758号によって提供されるもののようなリソグラフィモデルから測定またはシミュレートされてよい。
[0010] 既存の方法には本発明が克服しようとしている欠点がいくつかある。第一に、整合されるあらゆるパターンは測定が必要であり、これは製造において最も効率的なウェーハメトロロジ時間の使用ではない(通常、高需要である)。逆に、測定されるパターン以外のパターンに対する整合または結像挙動のレベルに対して要求はない。これは、一組の1Dパターンは十分に良く整合しているが、いくつかの二次元(「2D」)リアルデバイスパターンは調整後のウェーハ結像に明白な不整合結果を有するといったように生成環境において問題を発生させることで知られている。「Accurate Model Base Verification Scheme To Eliminate Hotspots And Manage Warmspots」、Proc.SPIE、Vol.6925,69250Z(2008)および「Scanner Fleet Management Utilizing Programmed Hotspot Patterns」、Proc.SPIE、Vol.7028,70280W(2008)を参照されたい。
[0011] 本発明のある実施形態は、フォトリソグラフィプロセスを調整するシステムおよび方法を含む。スキャナ調整は、スキャナ整合、プロセス整合のためのスキャナ調整および性能最適化のためのスキャナ調整に分類することができる。以下、調整される調整可能スキャナをターゲットスキャナと呼び、調整運動の所望の結果を基準と呼ぶ。ある実施形態では、調整基準は、測定されたウェーハ輪郭またはCD、シミュレートされたウェーハ輪郭またはCD、あるいは設計ターゲットポリゴンであってよい。
[0012] 本発明のある実施形態では、ターゲットスキャナのモデルは維持され、このモデルはターゲットスキャナの感度およびターゲットスキャナのコンポーネントを一組の調整可能パラメータに画定する。差分モデルは、基準に対するターゲットスキャナの偏差を表すように生成されてよい。ターゲットスキャナは、基準スキャナおよび差分モデルの設定に基づいて調整されてよい。
[0013] ある実施形態は、基準スキャナの性能に対する関連スキャナのファミリーの性能を特徴付けるシステムおよび方法を提供する。スキャナのファミリーは、1つの業者によって製造されたスキャナを含んでよく、ファミリー内のスキャナは同じモデルタイプまたは異なるモデルタイプに属してもよい。スキャナのファミリーは、少なくともいくつかの機能的に類似する要素を含む、異なる業者によって製造されるスキャナを含んでよい。例えば、特定の波長レーザを用いるスキャナは、共通のベースモデルによってモデル化されてよい。スキャナのファミリーが共通のベースモデルによってモデル化されるところでは、追加の差分モデルを用いて共通のベースモデルに対する個々のファミリーメンバーの変化を収容する較正情報および特定の調整情報を維持することができる。差分モデルは、結像挙動における差をシミュレートするために使用されてよいパラメトリックオフセットおよび他の差などの情報を含んでよい。
[0014] 本発明のある実施形態は、達成されたウェーハ輪郭と基準との偏差を判定するためにモデルベースのシミュレーションをフルチップレベルまで含む。そのようなシミュレートされた偏差を測定された偏差と組み合わせてターゲットスキャナ設定の最適化を推進する。ある実施形態では、この最適化は1回以上の反復を含む。
[0015] ある実施形態では、スキャナノブの変化の結果によるクリティカルディメンジョン(CD)またはウェーハ輪郭における変化は、ターゲットスキャナのための感度モデルを介してシミュレートされる。
[0016] 本発明自体は、さらなる目的および利点とともに、以下の詳細な説明および添付の略図を参照することによって、よりよく理解することができるだろう。
[0017] 図1は、本発明のある態様によるリソグラフィモデルを示す。 [0018] 図2は、本発明のある態様によるリソグラフィモデルを較正するための一般的な手順を示す。 [0019] 図3は、本発明のある態様による差分リソグラフィモデルを生成、調節および最適化するためのプロセスを示す。 [0020] 図4は、本発明のある実施形態による、スキャナメトロロジによって補完されたスキャナモデルから光学パラメータをシミュレートおよび予測するためのプロセスの一例を示す。 [0021] 図5は、本発明のある態様による感度モデリングを示す。 [0022] 図6は、本発明のある態様による複数のスキャナのための差分モデルの較正のためのプロセスを示す。 [0023] 図7は、本発明のある実施形態におけるベースモデルパラメータと導出モデルとの関係を図示する。 [0024] 図8は、本発明のある態様による、差分モデルからのシミュレートされた輪郭の生成を示す。 [0025] 図9は、本発明のある態様によるコンピュータシステムを示すブロック図である。 [0026] 図10は、本発明のある態様によるリソグラフィ投影装置を概略的に示す。 [0027] 図11は、本発明のある態様による、フルチップシミュレーションを採用した調整方法を示すフローチャートである。 [0028] 図12は、本発明のある態様による、ミニレイアウト手法を採用した調整プロセスを示すフローチャートである。
[0029] 本発明の実施形態を、図面を参照して以下に詳細に説明する。図面は、当業者が本発明を実施できるように図示例として提供する。特に、以下の図および例は本発明の範囲を単一の実施形態に限定するためのものではなく、説明または例示された要素の一部または全部を交換することにより他の実施形態が可能になる。都合の良いあらゆる箇所においては、同じ参照番号を図面を通じて使用して同じまたは同等の部分を示す。これらの実施形態の特定の要素が既知のコンポーネントを使用して部分的にまたは完全に実現することができる場合、このような既知のコンポーネントのうち本発明の理解に必要な部分についてのみ説明し、本発明を曖昧にしないためにこのような既知のコンポーネントの他の部分に関する詳細な説明は省略する。本明細書では、単数形のコンポーネントを示す一実施形態は限定的であると見なすべきではなく、むしろ本発明は、本明細書に別段の明確な表明がない限り、複数の同じコンポーネントを含む他の実施形態を包含するものであり、逆もまた同様である。その上、本出願人は、このような明確な規定がない限り、本明細書または特許請求の範囲内の任意の用語に珍しい意味または特殊な意味を割り当てないものとする。さらに、本発明は、例示により本明細書で参照されるコンポーネントに対する現在および将来的に知られる同等物を包含する。
[0030] 本発明のある実施形態では、フルチップウェーハシミュレーションおよび検証を、スキャナ調整のためのフルチップウェーハ測定に対する代替物または相補物として採用する。シミュレーション中に使用するモデルは、感度モデルおよび差分モデルを含んでよい。感度モデルは、調整入力に応答する(すなわち、ノブが回されたとき)スキャナの結像挙動における変化を記載する。差分モデルは、公知の設定下でのリソグラフィプロセスの挙動における差を記載およびパラメータで表示する。差分モデルの較正は、ジョーンズ瞳(Jones pupil)、イルミネータマップなどのスキャナセンサデータ並びにウェーハメトロロジデータを使用する。
[0031] 図1は、本発明のある態様によるリソグラフィモデル10を示す。リソグラフィモデルは、マスクモデル100、光学モデル102およびレジストモデル104を含む。ある実施形態では、リソグラフィモデルはエッチモデルも含んでおり、簡潔のために図面に示していない。マスクモデルは、複数のマスクパラメータ120における変化によって導入される変動性を反映してよい。光学モデル102は光学パラメータ122における変化によって影響され、レジストモデル104はレジストパラメータ124の設定によって制御されてよい。モデル10はレジスト輪郭164を予測するために使用されてもよく、またはエッチモデルコンポーネントが含まれる場合、マスク設計140から生成されるエッチ後の輪郭を予測するために使用されてもよい。マスクパラメータ120によって構成されるマスクモデル100は、予測されたマスク像160を生成し、このマスク像160が光学モデル102に提供された場合、光学パラメータ122に基づいてシミュレートされた光学像162を生成する。レジストパラメータ124によって構成されるレジストモデル104は、シミュレートされた光学像162からレジスト輪郭164を予測するために使用されてよい。エッチングパラメータによって構成されるエッチモデルが含まれる場合、そのエッチモデルはレジスト輪郭164からエッチ後の輪郭を予測するために使用されてよい。
[0032] 光学パラメータ122は、調整可能および非調整可能なパラメータを含む。ここで、「調整可能パラメータ」とは、NA(開口数)などのスキャナ上で調整することができるノブ(knob)を指し、「非調整可能パラメータ」とは、一般的なスキャナ設計用のジョーンズ瞳などの調整できないスキャナパラメータを指す。本発明の方法は、スキャナ上でどのパラメータが調整可能または非調整可能であるかに依存しない。モデル較正の目的のために、非調整可能および調整可能パラメータの両方は、モデルによって生成される像が基準スキャナによって生成される実際の結像結果と一致するまで調整されてよい。モデル較正におけるパラメータの調整は、調整性ではなくこのパラメータの知識の度合いによる。例えば、照明瞳の正確な測定がスキャナメトロロジを介して可能である場合、そのような測定は、さらなる調整を伴わずにモデル較正において直接使用されてよい。その一方、スキャナメトロロジを介した直接測定を伴わないパラメータは、ウェーハデータに適合するために最適化される。スキャナメトロロジ測定は、一体化したレンズ干渉計を用いて行われてよい。一実施形態では、一体化したレンズ干渉計は波面センサであり、フィールドポイントごとにレンズ収差を測定するために使用される。波面センサは、剪断干渉法の原理に基づいており、かつソースモジュールおよびセンサモジュールを含む。ソースモジュールは、投影システムの対物平面に配置されたパターン形成されたクロム層を有し、かかるクロム層上に追加の光学系が設けられている。この組み合わせは、放射の波面を投影システムの瞳全体に提供する。センサモジュールは、投影システムの像面に配置されたパターン形成されたクロム層およびかかるクロム層からある距離を隔てて後方に配置されたカメラを有する。センサモジュール上のパターン形成されたクロム層は、お互いを干渉してインターフェログラムを上昇させるいくつかの回折次数に放射を回折する。インターフェログラムはカメラによって測定される。投影レンズにおける収差は、測定されたインターフェログラムに基づいてソフトウェアによって決定されてよい。
[0033] 図2は、リソグラフィモデル222の較正のための一般的な手順を示す。1つ以上のマスク設計200が較正のために使用されてよい。ある実施形態ではマスク設計200は特に較正用に生成されることもあるが、他の実施形態では生産使用のために生成されたマスク設計を用いて較正される。リソグラフィモデル222に使用されるモデル化されたマスク、光学およびレジストパラメータ220は、リソグラフィプロセス242に用いられるマスク、光学およびレジスト効果240を反映するように選択される。結果として生じるシミュレートされたレジスト輪郭224および測定されたレジスト輪郭244は、比較および分析されてよく、パラメータ220は、シミュレートされた輪郭と測定された輪郭との差を最小化するために最適化されてよい。コスト関数260を用いて分析を行うことができ、これを以下により詳細に説明する。
[0034] ある実施形態では、モデル較正プロセスは、ウェーハメトロロジ(CD−SEM測定および輪郭、スキャトロメトリなど)およびスキャナデータ(設計または測定されたもののいずれか)の両方を含む全ての測定値およびそれぞれの不確かさを考慮しかつ平衡にして最大尤度問題として公式化される。ある実施形態では、較正プロセスは反復性であり、モデルパラメータは繰り返し調節されて実際のウェーハデータに十分に近くなるように測定されたモデルによって生成される結像結果を提供する較正を得る。事前定義された誤差基準を確立することができ、および/または「可能な最良な一致」のための基準を定義または定量化することができる。ある実施形態では、スキャナの結像性能をシミュレートするあらゆる適切なモデルを使用することができ、これは米国特許第7,003,758号のシステムおよび方法によって提供される。
絶対精度対差分精度
[0035] 従来のモデルベースのOPC適用に対して、公称露光条件における、一般的にはCD−SEM測定に対する絶対予測精度に大きく重点が置かれてきた。プロセスウィンドウにわたるOPC検証およびプロセスウィンドウ感知OPCの出現によって、プロセスウィンドウにわたる予測精度も包含するようにその重要さが拡大した(米国特許出願第11/461,994号、「System and Method For Creating a Focus−Exposure Model of a Lithography Process」)。しかしながら、性能指数は測定されたCDと予測されたCDとの差のままである。
[0036] 整合および性能最適化を含むモデルベースのスキャナ調整に対して重要性は必然的に異なる。関心の量は、スキャナ設定変化、スキャナ間の差および/またはプロセス間の差によって生じる。量は、一般的には約数ナノメートル以下で測定可能であり、これは一般的なOPCモデルの絶対精度と同等である。そのような差をモデル化、シミュレートおよび予測することは、OPCモデリングに必要とされるものと比較してモデル精度に対して異なる条件を課する。本発明のある実施形態は、これらの異なる条件を扱って満たす新しいアルゴリズムを採用する。
[0037] 図3は、差分リソグラフィモデル322を生成、調節および最適化するプロセスを示す。マスク設計300は、一組のプロセス条件340下において複数のスキャナ342による処理およびスキャナ322のモデルを用いてシミュレーションを受ける。シミュレートされたレジスト輪郭324は、物理的に生成されるレジスト輪郭344に対して分析されてよい。複数のスキャナに関連する1つまたは複数の差分モデルを正確に特徴付けることができるモデルを得るために、コスト関数360(以下に説明する)を使用してモデルパラメータを調節することができる。
[0038] 正確な差分モデルは、レジスト現像後またはエッチ後のいずれかにウェーハ上でパターン輪郭をまだ形式的にシミュレートする。しかしながら、そのようなモデルの目的は必ずしも絶対CD精度ではなく、1つ以上のモデルパラメータが摂動された(perturbed)際のCD変化または輪郭変化に対する予測の精度である。それによって、スキャナ間の差を説明するか、または能動スキャナ調整の影響をシミュレートする。したがって、シミュレーションは2つのパスを要求することができ、1つはパラメータ摂動を伴い、1つはパラメータ摂動を伴わない。所定のパターンiに対する関心の量は以下の通りである。
導出モデルの生成
[0039] 十分な差分精度を有するモデル(「差分モデル」)が利用可能であることを仮定して、本発明のある態様は、差分モデルおよびベースモデルに基づいて導出モデルの生成を容易にする。ある実施形態では、ベースモデルは摂動前モデルと同じであり、この場合、導出モデルは摂動後モデルと同じになる。これらの実施形態では、導出モデルは、摂動モデルを用いた結像シミュレーションを1つだけ必要とする。他の実施形態では、ベースモデルは摂動前モデルと異なり、この場合、導出モデルは3つの結像シミュレーションを必要とし、各結像シミュレーションは、ベースモデル、非摂動モデルおよび摂動モデルを用いる。後の実施形態の一例では、ベースモデルはOPCモデルであってもよい。
感度モデリング
[0040] 図4は、スキャナモデル402およびスキャナメトロロジ404を介する光学パラメータに対するノブ設定400の影響を示す。特定の光学パラメータは、利用可能または利用中のスキャナノブにおける変化によって影響されず、よってスキャナメトロロジによって完全に固定される。この例は、帯域幅制御を有さないレーザによって適合されるスキャナ用のレーザスペクトルを含む。他の場合、光学パラメータは、ノブ変化に影響され、スキャナモデル402とスキャナメトロロジ404との組み合わせから導かれ得る。例えば、照明瞳は、特定の種類のスキャナに対するNAおよびシグマ変化によって、並びに楕円率設定を含む他の変化によって影響される。したがって、照明瞳は、スキャナモデルと組み合わされた瞳測定を用いて予測することができる。
[0041] 図5は、1つのスキャナに対する設定変化に応答して任意のパターンに対する結像変化(すなわち、クリティカルディメンジョン変化および輪郭変化)を予測する一方、リソグラフィプロセスの全ての他の態様を変化しないまま保つことを含む、本発明の基本的な態様を示す。図示した例では、一連のN個のシミュレーションが行われ、ここでは各シミュレーションは、シミュレートされた状況下で利用可能であるかまたはその状況下で生成される測定された輪郭560〜562(それぞれ)に対応するシミュレートされた輪郭540〜542を生成する。各シミュレーションは、スキャナモデル510に使用された異なる組のノブ設定500〜502によって区別されてよい。スキャナモデル510は、スキャナメトロロジ512からの入力を用いて任意に生成され得る光学パラメータ520〜522を生成し、光学パラメータ520〜522は、それぞれ、シミュレートされた輪郭540〜542を生成するために使用される。シミュレートされた輪郭540〜542および測定された輪郭560〜562は、モデルパラメータ572を生成、較正および最適化するために分析されてよい。一例では、シミュレートおよび測定された輪郭は、コスト関数570を用いて数学的に処理されてもよい。
[0042] 象徴的に、感度モデリングの目的は、ノブ変化Δkに応答するパターンiに対するCD変化ΔCDを予測することである。典型的なスキャナ調整適用に対しては、調整量が少量であるため線形モデルは適度に良く作動することができるが、本発明は、線形モデルのシナリオに限定されない。したがって、線形モデルを適用できるところでは、
であり、感度モデルの目的は、偏導関数
を計算することであり、ここでマスクパターンをiとする。導関数の連鎖法則によると、
であり、ここでpはスキャナモデルにおける物理パラメータを指す。したがって、第1因数
はリソグラフィ結像モデルに関係する一方、第2因数
はスキャナモデルに関係する。
[0043] より一般的な非線形の例では、物理的性質およびモデルを以下の通り表すことができる。
レジスト、光学およびスキャナ物理的性質を、別々のモデリングコンポーネントとして表すことができる。感度モデルの精度は、リソモデル(光学およびレジスト)およびスキャナモデル510の両方の精度による。
[0044] レジストモデルは、経験的であっても、またはレジストプロセスの物理的性質および化学的性質に基づいてもよい。光学モデルは通常物理モデルであり、かつ第1原理に基づいており、シミュレーション時間を減少するためにマスクによるEM放射の3D散乱などのある影響の近似処理の可能性を有する。他の近似法、例えば、光学相互作用範囲の打切り(有限領域としても知られている)またはホプキンズ法におけるTCC固有系列の打切りも可能である。スキャナモデル510は、スキャナの物理的考察および設計知識に基づいてよい。異なるレベルの厳密さがスキャナモデルに対して存在してもよい。例えば、レイトレーシングに基づくモデルは、瞳の非常に正確な予測を生成することができるが、計算コストが非常に高く傾向がある。厳密なモデルまたは測定を較正することによって、近似およびより経験的なモデルが構成されてもよい。
[0045] 感度モデル精度の概念は、モデル分離可能性の概念と密接に関連しており、その両方は異なるスキャナ設定に対する結像予測に関連する。例えば、US特許出願第11/461,929および11/530,402を参照。OPC型用途では、分離可能モデルはプロセスウィンドウにわたる予測制度(一般的にはフォーカスおよび露光)、および露光設定が変化した場合のモデル較正反転時間の減少のために望ましい。リソモデルは、一般的には、光学モデル、レジストモデル、および時々エッチモデルを含み、異なるモデルステップの間で分離可能性が強調される。
[0046] スキャナ調整の目的に対する感度モデルの一差分要素は、スキャナ設計の詳細な知識を必要とする予測スキャナモデルの組み込みである。スキャナモデル510の例示的コンポーネントは、照明光学系をシミュレートしてレチクル面での照明を予測するイルミネータ予測モデルである。感度モデリングに関して、このモデルは、NA、シグマおよびPUPICOM設定などの露光設定における変化の下のイルミネータにおける変化を予測する。
[0047] レジストプロセスが複数のスキャナに対して同じまたは十分に近く、かつ較正されたレジストモデルが1つのスキャナを用いたリソグラフィプロセスからの正確に較正された感度モデルの一部であった場合、モデル形態の分離可能性は、正確に較正されたレジストモデルが複数のスキャナ間で移動できるようにもする。レジストモデルは光学モデルおよびスキャナモデルより経験的である傾向があり、よってウェーハベースの較正からより多くの制約が必要とされるので、この柔軟性は実践では重要になり得る。したがって、レジストモデルの移動は、ウェーハメトロロジの効率的な使用を可能にする。スキャナモデル510および光学モデルは、第1原理および公知の物理により基づいており、ウェーハ測定にあまり依存しない。
[0048] 他の実施形態では、リソグラフィプロセスはレジスト部分でかなり異なる。例えば、1つのプロセスは液浸リソグラフィを採用し、別のプロセスは採用しない。2つのプロセスは、一般的には、完全に異なるレジスト材料およびフィルムスタックを使用する。例では、レジストモデルは2つのプロセス間で移動できず、レジスト効果はかなり異なるため、感度モデルは別々に作る必要がある。
[0049] 感度モデルの較正に対して、ある実施形態は、ジョーンズ瞳、ステージ振動、色収差およびレーザスペクトルによる焦点のぼけ等の詳細なスキャナデータを含む。ある実施形態では、感度モデルを較正することは、メトロロジデータを複数のスキャナ設定、または摂動状態(k+Δk)足す公称状態kでとることを必要とする。1つ以上のノブが各摂動状態に対して変化してもよい。感度モデル較正に対するコスト関数は以下の通りである。
第1の項はモデルおよびウェーハの重み付けされたRMS差を介した絶対精度を定量化し、第2の項は、モデル予測CD変化をウェーハ測定されたものと比較して感度精度を定量化する。絶対および感度精度の相対的な重み付けを調整することができる。更に、範囲(最大から最小)またはLPノルムなどといったようにRMSの代わりに他の計量を使用することもできる。その後、較正は、制約を受けることが多い最適化問題に入ることができr区。
[0050] 較正された感度モデルは、チップ設計で発生する全てのパターンに対する結像差を予測するためにフルチップレベルに適用されてよい。
[0051] 感度モデルは、OPCまたはOPC検証にも使用されるリソグラフィモデルと同じまたはそれと異なってもよいことに留意されたい。ある実施形態では、感度モデルは、マスク、スキャナ光学系およびレジストに関連するOPCモデルより多くのリソグラフィプロセスの知識を使用する。例えば、ある実施形態では、OPCモデルは、マスク回折に対して薄いマスクまたはキルヒホフの境界条件、小さい光学相互作用範囲および/またはTCC固有級数展開(eigen series expansion)からの少数の項を有する、公称または理想光学系のみを使用する。これらのモデリング法は、感度モデリングの精度条件に対して不十分である場合がある。したがって、ある実施形態では、感度モデルは、スキャナ光学系、3Dマスク回折、より大きい光学相互作用範囲、および/またはより多くのTCC項を使用する。感度モデルの較正に使用されるテストパターンは、OPCまたはOPC検証モデルに使用されるものと同じまたはそれと異なってもよい。
[0052] ある実施形態では、感度モデルは、例えばOPCモデルなどの異なるベースモデルと組み合わされて新しい導出モデルを形成してもよい。この新しい導出モデルは、デルタをモデルパラメータ、シミュレートされた空間像またはシミュレートされたレジスト像に適用することによって形成され得るが、差分モデルからのデルタCDまたは輪郭エッジ位置をベースモデルからのシミュレートされたCDまたは輪郭エッジ位置に適用することによって形成されてもよい。デルタをモデルパラメータに適用することは、ベースモデルが摂動されるパラメータを含み、かつそのようなパラメータを正確な方法で使用した場合にのみ実行できる。ある実施形態では、ベースモデルは、異なる形態、モデリングソフトウェアの異なる業者またはモデルコンポーネントの異なる組み合わせを有する較正モデルであり、これはパラメータデルタを直接適用することに対して困難をもたらす。特に、ベースモデルは、頂冠照明(top-hat illumination)形状を使用したかもしれず、この場合、デルタシグマ値を頂冠照明に適用することは正確な結果を与えない。ベースOPCモデルにおけるレジストモデルも、差分精度に関して不十分である傾向がある。そのような状況下においては、ベースOPCモデルおよび感度モデルをシミュレートされたCDまたは輪郭レベルで組み合わせることは可能である。
[0053] 感度モデルをベースOPCモデルと組み合わせることは、少なくとも2つの利点をもたらす。第一に、OPCモデルは、典型的には大きいパターンセットによって較正されてある条件に対する絶対CD予測精度を確実にする機能を果たす。したがって、感度モデルをOPCモデルと組み合わせることは、スキャナノブまたはパラメータ変化の存在下における絶対CDの正確な予測を与えることができる。第二に、OPC補正はOPCモデルによって行われ、これは、OPCモデルからのシミュレートされた輪郭がOPC前ターゲットパターンと非常に近いことが予想される。したがって、感度モデルをOPCモデルと組み合わせることは、スキャナノブまたはパラメータ変化の存在下で、OPC前ターゲットに対してシミュレーションベースの検証を可能にする。
差分モデリング
[0054] ある実施形態では、システムレベルシミュレーションは、基準スキャナの性能に対する関連スキャナのファミリーの性能を定義することを含む。スキャナのファミリーは、1つの業者によって製造され、かつ同じモデルタイプに属し得るスキャナを含んでよい。スキャナのファミリーは、スキャナが少なくともいくつかの機能的に類似する要素を含む、異なる業者によって製造されるスキャナを含んでよい。スキャナのファミリーは、共通のベースモデルに加えて追加の差分モデルによってモデル化されて共通のベースモデルとの個々のファミリーメンバーの差異を収容する較正情報を維持する。
[0055] 図6は、本発明のある態様による、複数のスキャナに対する差分モデルの較正のためのプロセスを示す。図示した例では、一組のN個のスキャナ600〜602がシミュレートされる。スキャナモデル610は、スキャナメトロロジ612からの入力を用いてスキャナ600〜602の各々に対する光学パラメータ620〜622を生成する。光学パラメータ620〜622をそれぞれ用いてシミュレートされた輪郭640〜642を生成し、これは次いで測定された輪郭660〜662とともに処理されてモデルパラメータ672を較正および最適化する。シミュレートおよび測定された輪郭は、コスト関数670を用いて数学的に処理されてよい。
[0056] 差分モデル較正の目的のために、非調整可能および調整可能スキャナパラメータの両方は、モデルによって生成されるシミュレートされた差が実際のウェーハ差と一致するまで調整されてよい。差分モデルにおけるパラメータの調整は、調整性ではなくこのパラメータの認識度による。例えば、照明瞳の正確な測定値が複数のスキャナ600〜602に対してスキャナメトロロジ612を介して利用可能である場合、そのような測定値は、更なる調整を伴わずにモデル較正において直接使用されてよい。その一方、スキャナメトロロジ612を介した直接測定を伴わないパラメータは、ウェーハデータに適合するために最適化される。ある実施形態では、モデル較正プロセスは、ウェーハメトロロジ(CD−SEM測定値および輪郭、スキャトロメトリなど)およびスキャナデータ(設計または測定されたもののいずれか)の両方を含む全ての測定値およびそれぞれの不確かさを考慮しかつ平衡にして最大尤度問題として公式化される。
[0057] ある実施形態では、差分モデリングは、複数の異なるリソグラフィプロセスに適用され、例えば、マスク差(空間バイアス分布、マスク形成による近接効果、角の丸み付け)、レジスト材料差(消光剤濃度、拡散)、トラック差(ベーキング温度)およびエッチ差を含むスキャナを除くリソグラフィステップにおける差を含む。
[0058] 差分モデル較正に関連する1つの重要な課題は、選択される一組の較正パターンに対する結像への影響に関する、異なるプロセスパラメータ間の縮退の可能性である。これは、特定のパラメータが準最適に選択された一組の較正パターンの結像に対して相関または縮退影響を有し得るため、較正パターンに対する結像差が較正の結果によって本当の差とかなりずれているパラメータ差に誤って起因することを意味する。例えば、露光量の差はマスクバイアスとともに縮退することがあり、この両方はフィーチャCDが一方向(より大きいまたは小さい)で変化することをもたらす。この問題は、ウェーハ測定における乱雑音の存在によって悪化する。このため、ある実施形態は、「直交」式にパラメータ差に敏感であるパターンを選択する。そうでない場合、誤って較正されたパラメータ差は、特に較正セットによってカバーされていないパターンに対して結像差の誤った予測という結果となり得る。
[0059] 物理ターゲットスキャナ
および物理基準スキャナ
から得られる物理結果における差を予測するためにシミュレーションを使用することができ、これを以下の通りに表す。
モデル化されたターゲットスキャナ
およびモデル化された基準スキャナ
の結果における差を識別する差分モデルを以下の通りに表すことができる。
したがって、差分モデルの精度を以下の通りに表すことができる。
[0060] 上記の量に基づいて一組のテストパターンのために計算されたRMSまたは他の計量(範囲、LP−ノルムなど)は、差分モデルの較正のためのコスト関数として使用される。
[0061] ある実施形態は、現行のプロセス条件および調整ターゲットプロセス条件の両方にウェーハデータが利用可能であった場合に使用される較正手順を使用する。例えば、2つの物理スキャナが同じレジストプロセス下でモデル化される場合、現行のスキャナおよびターゲットスキャナの両方の条件を利用して複合較正がウェーハデータ対して行われてよい。これは、一般的には、レジストモデルパラメータは変化するが現行スキャナ条件およびターゲットスキャナ条件の両方において同じであるように強要し、スキャナパラメータは両条件下で独立して変化することを可能にする複合モデル較正プロセスの実行を必要とする。複合較正の後、感度モデルおよび差分モデルは同時に得られる。
[0062] 差分較正の結果を利用するためには、ベースモデルおよび較正されたパラメータ差から新しいモデルが形成される。この導出モデルとベースモデルとのシミュレートされたCD差は、ウェーハ測定値からの実際の差の予測としてとられる。図7は、ベースモデルパラメータ70と導出モデルパラメータ72と間の関係を図示する。導出モデル72におけるマスクパラメータ720は、ベースモデル70のマスクパラメータ720および差710を用いて計算することができる。導出モデル72における光学パラメータ722は、ベースモデル70の光学パラメータ702および差712を用いて計算することができる。導出モデル72にけるレジストパラメータ724は、ベースモデル70のレジストパラメータ704および差714を用いて計算することができる。
[0063] ある実施形態では、差分モデルは、例えばOPCモデルなどの異なるベースモデルと組み合わされて新しい導出モデルを形成してもよい。この新しい導出モデルは、デルタをモデルパラメータ、シミュレートされた空間像またはシミュレートされたレジスト像に適用することによって形成され得るが、差分モデルからのデルタCDまたは輪郭線位置をベースモデルからのシミュレートされたCDまたは輪郭線位置に適用することによって任意に形成されてもよい。デルタをモデルパラメータに適用することは、ベースモデルが摂動されるパラメータを含み、かつそのようなパラメータを正確な方法で使用した場合にのみ実行できる。ある実施形態では、ベースモデルは、異なる形態、モデリングソフトウェアの異なる業者またはモデルコンポーネントの異なる組み合わせを有する較正モデルであり、これはパラメータデルタを直接適用することに対して困難をもたらす。特に、ベースモデルは、頂冠照明形状を使用したかもしれず、この場合、デルタシグマ値を頂冠照明に適用することは正確な結果を与えない。ベースOPCモデルにおけるレジストモデルも、差分精度に関して不十分である傾向がある。そのような状況下においては、ベースOPCモデルおよび差分モデルをシミュレートされたCDまたは輪郭レベルで組み合わせることは可能である。
[0064] 図8に示すように、マスク設計800はリソグラフィシミュレーションのための入力として使用される。シミュレートされた輪郭A 840はリソグラフィモデルA 820(ベースモデル)から生成される。差分モデルから、シミュレートされた輪郭841および842はモデル821および822から生成される。輪郭821と輪郭822との間のデルタは輪郭840に追加されて最終のシミュレートされた輪郭880を形成する。ある実施形態では、算術演算(+および−)は、輪郭の垂直方向に沿ったエッジ運動の意味で適用される。
[0065] 差分モデルをベースOPCモデルと組み合わせることは、少なくとも2つの利点をもたらす。第一に、OPCモデルは、典型的には大きいパターンセットによって較正されてある条件に対する絶対CD予測精度を確実にする機能を果たす。したがって、差分モデルをOPCモデルと組み合わせることは、スキャナ差を含むリソグラフィプロセス差の存在下における絶対CDの正確な予測を与えることができる。第二に、OPC補正はOPCモデルによって行われ、これは、OPCモデルからのシミュレートされた輪郭がOPC前ターゲットパターンと非常に近いことが予想される。したがって、差分モデルをOPCモデルと組み合わせることは、リソグラフィプロセス差の存在下で、OPC前ターゲットに対してシミュレーションベースの検証を可能にする。
スキャナ調整および調整モデルを用いたシミュレーション
[0066] スキャナ整合および性能最適化に対して、調整モデルは、感度モデルおよびベースモデル、更にノブオフセットに基づいて生成される。これは、感度モデルのレジストモデル部分を使用することと、ノブオフセットを含むようにスキャナノブを表すパラメータを変更することと、ベースモデルと組み合わせることとを含む。
[0067] 本発明のある実施形態では、フルチップウェーハシミュレーションおよび検証は、スキャナ調整に対するフルチップウェーハ測定の代替として採用される。所望の輪郭ターゲットと実際の輪郭(測定またはシミュレートされた)との差は、必要なノブオフセットの計算を推進するために使用されてよく、それによってプリントされた輪郭は許容差内でターゲットと整合する。オフセット生成、シミュレーションおよび検証を調整する方法に関する詳細を以下に説明する。
[0068] 本発明の態様は、スキャナが公知のモデルまたは公知のウェーハ輪郭または他のターゲットパターンに調整されることを可能にすることができる。本発明の態様によって提供されるプロセスは、リソグラフィプロセスドリフト補正、所定のOPCプロセスに対するスキャナ最適化、CDUを最適化するための特定のデバイスマスクに対するスキャナ最適化および既知のマスクエラーに対するスキャナ最適化を可能にする。
[0069] 望ましい場合、モデルはフルチップパターン上のモデルに対する調整関連変更の影響を定量分析できるため、パターンに対する調整効果は、OPC検証ツールを用いて分析することができる。本発明のある態様による一例では、適した方法として、調整前および調整後のモデルを用いてフルチップのオンウェーハ輪郭をシミュレートするためにOPC検証ツールを使用するステップと、2つの輪郭間の違いを比較して2つのモデル間の違いを分析するステップが挙げられる。
リソグラフィ装置およびプロセス調整
[0070] 本発明のさらなる態様によるオフセット生成、シミュレーションおよび検証を調整する本発明の方法を以下に説明する。
[0071] 一実施形態では、調整基準は測定されたウェーハ輪郭を含む。代替の実施形態では、調整基準は、CD、シミュレートされたウェーハ輪郭またはCD、設計ターゲットポリゴン、または前述したあらゆるタイプの調整基準の組み合わせを含む。一実施形態では、異なるタイプの基準(例えば、ウェーハ測定、ウェーハシミュレーションおよび設計ポリゴン)が、チップ上の全てのパターンのサブセットに適用される。測定されたおよび/またはシミュレートされたウェーハ輪郭は、2つ以上のスキャナの性能を整合させて製造プロセスにおける変動性を減少させるために調整基準として使用されてよい。設計ターゲットポリゴンは、例えばCD均一性を含むウェーハ上のパターン忠実度を改善するために調整基準として使用されてよい。スキャナ調整の最終目的は、集積回路チップを生成するときに収率を高めること、または本発明によって調整されるリソグラフィ装置によって生成される作業集積回路チップの電気的性能を高めることであることが理解されるであろう。
[0072] スキャナ調整は、プロセス差または補償されるべき欠点の種類に基づいて、スキャナ整合、プロセス整合のためのスキャナ調整および性能最適化のためのスキャナ調整に分類することができる。最も単純な場合、マスク、レジストまたはエッチの差がない場合にはスキャナ整合は、スキャナ間の差を補償し、複数のスキャナの結像性能を整合するために採用される。さらに、プロセス差は、マスク、レジストまたはエッチ(潜在的なスキャナ差に加えて)に存在してよく、スキャナは、プロセス整合を得るために全ての差を補償するために調整されてよい。別の例では、スキャナ調整は、OPC補正および/またはマスク形成プロセスにおける欠点から結果として生ずる不連続的事象または収率制限欠点を補償することができる。調整は、デバイス層のCD均一性を改善するために使用されてもよい。
[0073] ある実施形態では、調整を伴うスキャナは、同じ製造者によって提供されて同じ種類であってもよく(例えば、両方ASML XT:1900iスキャナ)、同じ製造者であるが異なる種類(例えば、1つはASML XT:1900iスキャナ、1つはASML XT:1700iスキャナ)、あるいはスキャナは異なる製造者によって製造されてもよい。
モデル生成およびシミュレーション
[0074] 本発明のある実施形態は、スキャナ調整の結果として、フルチップを含む大きいパターンセットに対する結像の影響を評価および最適化する。ウェーハメトロロジに対する現在の技術は、この目的を達成する経済的な方法を提供していない。一実施形態では、感度モデルは、一組の所望のパラメータ値(ノブオフセット)を導くために使用され、所望のパラメータ値(ノブオフセット)は、シミュレートされたウェーハ輪郭を得るために使用される。さらなる実施形態では、差分モデルは、基準スキャナとターゲットスキャナとの間の輪郭および/またはCD差を予測するために使用される。モデル生成およびシミュレーションについての詳細は上記に提供した。像性能をシミュレートするための適切なモデルとしては、例えば、米国特許第7,003,758号に記載されたシステムおよび方法が挙げられる。
調整フロー
[0075] 本発明のある実施形態は、フォトリソグラフィプロセスを調整するシステムおよび方法を含む。本発明のある態様によると、スキャナの調整可能および非調整可能特性は、調整を推進するためにモデル化されて使用されてよい。ターゲットスキャナは、ターゲットスキャナの感度モデルを用いて基準に向かって調整されてよく、ここで感度モデルは、一組の調整可能パラメータに対するターゲットスキャナの結像感度を画定する。ターゲットスキャナ差分モデルは、結合性能に関して基準に対するターゲットスキャナの偏差を表すために生成されてよい。差分モデルは、スキャナ間における性能特性の非調整可能な差を含んでよく、これは一部の場合、他の調整可能パラメータの調整によって適応され得る。
[0076] ある実施形態では、調整方法は、1回以上の反復を含み、各反復法においてフルチップシミュレーションデータを用いたフルチップシミュレーションおよび検証を必要とする。一実施形態(図11)では、トライアル調整レシピ(すなわち、パラメータ値のトライアルセット)は、調整ターゲットパターンの限定された組に基づいて最初に生成される(例えば、ピッチを通る1Dパターン)。トライアル調整レシピは、ノブオフセット(パラメータ値)の組み合わせを解決し、所望の基準に対する偏差を定量化するコスト関数を最小化する、線形または非線形最適化手順を用いて生成される。トライアルレシピを用いて、図1〜図8に関連して上述した手順によって新しいリソグラフィモデルを生成することができ、この新しいリソグラフィモデルは、調整レシピが適用されるフルチップレイアウト1100を用いてフルチップシミュレーションステップ1120へと提供される。検証ステップ1160は、シミュレーション1120によって生成されるシミュレートされた輪郭122を、同じフルチップレイアウト1100上で基準のためにモデルを適用するシミュレーションステップによって別々に生成される基準輪郭1142と比較して、特定のユーザ定義ルールおよび公差によってホットスポットを検出する。1つ以上のホットスポットがステップ1162で識別された場合、ステップ1180でホットスポットは調整ターゲットパターンのセットに追加されて新しいラウンドの最適化1182を推進し、そこから更新された調整リソグラフィモデル1184が生成される。更新されたモデル1184はシミュレーションステップ1120に戻り、新しい反復を始める。収束では、最適の調整レシピ1164が得られ、これはフルチップレイアウト上にある全てのパターンに対する結像性能を妥協および平衡にする。
[0077] ある実施形態では、調整プロセスは、1つ以上の反復を含んでおり、各反復においてシミュレーションおよび検証は減少したパターンセット上で行われ、これを以下「ミニレイアウト」と称する。図12を参照すると、ミニレイアウト1206は、シミュレーションおよび検証ステップ1202を介して実際のフルチップレイアウト1200から選択された「ワームスポット」のセットを含み、ステップ1202は、ミニレイアウト1206に包含するためにステップ1204で選択され得るレイアウトにおける重大領域および虚弱領域を識別できる。ワームスポットの選択1204は、典型的には、パターン重要度および光学変化に対する感度の考慮に基づいている。選択基準は、公称または摂動状態において下限を超える輪郭CD(ブリッジングまたはネッキングのリスクを示す)、公称または摂動状態において上限を超えるターゲットCDと輪郭CDとの差、上限を超える公称状態と摂動状態との間の輪郭CDにおける差(パターンを示すことは光学変動に対して高感度すぎる)、上限を超えるバイアスマスクパターンと公称マスクパターンとの間の輪郭CDにおける差(マスクエラーに対して高い感度を示す)、および下限を超える空間像またはレジスト像傾斜(露光量および他のプロセス影響に対して高い感度を示す)を含んでよい。
[0078] 調整によって最適化されるコスト関数は、調整の目的および基準を反映する。一実施形態では、コスト関数は、複数のパターンに対応する複数の項を含んでおり、各項は1つ以上のパターン上の基準輪郭に対する達成された輪郭の偏差を定量化する。ある実施形態では、項は事前定義された重さと合計されてコスト関数全体を計算する。ある実施形態では、コスト関数項は、達成された輪郭と基準輪郭との間の二乗誤差または他の適切に定義された誤差ノルムの形態をとる。ある実施形態では、コスト関数項は、異なるパターンタイプに対して異なる形態からなり、ピッチを通る1Dパターンなどの特定のパターンタイプに対して最小から最大範囲などの計量を含む。ある実施形態では、コスト関数項は、基準CDの周りの正および負の誤差に非対称である。例えば、パターンがブリッジングまたはネッキングの潜在的リスクを示した場合、小さい方より大きい方にエラーを起こすことは調整されたCDに対してあまり不利益ではない。したがって、コスト関数は、より小さいCDを大きいCDより一層不利にするべきである。ある実施形態では、特定のパターンに対する偏差に制約が加えられ、そのようなパターンの結像性能にユーザの強調を表す。
[0079] スキャナ調整のためのコスト関数は以下の通りである。
ここで、Δkはターゲットスキャナ上のノブオフセットを示し、下付き文字jは調整可能ノブを指し示し、
は、達成された輪郭と基準輪郭との間の特定の結像計量の偏差を示し、下付き文字iは調整ターゲットセットの中の異なるパターンを指し示し、αは関連パターンに対するその計量に関するコスト項の重さを示す。「CD」という用語は、クリティカルディメンジョン、エッジ配置、オーバレイ差、フォーカスおよび露光緯度を含むプロセスウィンドウなどの1つ以上の結像計量を象徴的に示し、結像計量の選択は、パターンによって異なってもよい。ノルムは、平方和、すなわちユークリッド、LPノルム、最小から最大範囲等の1つ以上を含んでよい。ノルムは、結像計量における正および負の差に対して非対称であり得る。基準は、測定されたウェーハ輪郭、シミュレートされたウェーハ輪郭または設計ターゲットポリゴンとして選択されてよい。スキャナ調整の目的は、ノブオフセットの選択によりこのコスト関数を最小化することである。
[0080] 最小二乗法、二次計画、Gauss−Newton、Levenberg−MarquardtおよびBFGSアルゴリズムなどの勾配法、並びに単体法を含む、様々な線形および非線形最適化技術およびアルゴリズムがノブオフセットの計算のために使用されてよい。一般的には、スキャナノブは、最適化基準に組込まれ得る機械制約を受ける。
[0081] 概念を示すために、線形の事例が例として以下に使用される。この場合、上記のコスト関数を以下の通り記載することができる。
ここで、CD対ノブの偏導関数は感度モデルから生成される。ある実施形態では、ノルムはユークリッドであり、ノブオフセットは最小二乗法によって解くことができる。さらに、基準が基準スキャナまたは基準プロセスからの輪郭であった場合のスキャナ整合またはプロセス整合に対しては、上記のコスト関数を以下の通り記載することができる。
ここで、
は、差分モデルによって予測されたように、調整されていないスキャナと基準との間のCD差である。
[0082] モデルベースのスキャナ調整は、従来の方法より多数の利点を提供する。本発明のある態様は、共通のターゲットパターンを結像するために使用されるスキャナを含む種々のリソグラフィシステム間の結像性能の最適化およびOPE整合に対する系統的および費用効率の良い方法を提供する。
[0083] 必要に応じて、調整がパターンに及ぼす影響は、Brion社のTachyonリソグラフィ製造可能性チェック(「LMC」)などのOPC検証ツールを使用して分析できる。何故なら、モデルは、モデルの調整関連の変更がフルチップパターンに及ぼす影響を定量分析できるからである。本発明のある態様による一例では、適切な方法としては、LMCを使用し、調整前後のモデルを使用してフルチップのオンウェーハ輪郭をシミュレートするステップと、2つの輪郭の違いを比較して、2つモデル間の違いを分析する。
[0084] 図9を参照すると、コンピュータシステム900は、本発明のある実施形態によるモデルベースのプロセスシミュレーション方法を補助するように配置されてよい。コンピュータシステム900は、情報通信のためのバス902または他の通信機構と、情報処理のためのバス902に結合されたプロセッサ904を含んでよい。コンピュータシステム900は、情報およびプロセッサ902によって実行される命令を記憶するバス902に結合されたランダムアクセスメモリ(「RAM」)または他の適切な動的記憶デバイスなどのメインメモリ906を含んでよい。メインメモリ906を用いて、プロセッサ904によって実行される命令の実行中に一時的変数または他の中間情報を記憶することもできる。コンピュータシステム900は、プロセッサ904のための静的情報および命令を記憶するバス902に結合されたリードオンリーメモリ(「ROM」)908または他の静的記憶デバイスをさらに含む。磁気ディスクまたは光ディスクなどの記憶デバイス901は、情報および命令を記憶するために提供されてバス902に結合される。
[0085] コンピュータシステム900は、コンピューティングシステム900のユーザに情報を表示するように構成および適合された陰極線管(「CRT」)、フラットパネルディスプレイまたはタッチパネルディスプレイなどのディスプレイシステム912にバス902または他の接続を介して結合されてよい。英数字および他のキーを含む入力デバイス914は、情報およびコマンド選択をプロセッサ904に伝達するためにバス902に結合される。別のタイプのユーザ入力デバイスが使用されてもよく、これは、方向情報およびコマンド選択をプロセッサ904に伝達し、ディスプレイ912上のカーソル移動を制御するためのマウス、トラックボールまたはカーソル方向キーなどのカーソル制御916を含む。この入力デバイスは、典型的には、2つの軸で2自由度を有し、これによってデバイスは平面における位置を特定する。タッチパネルディスプレイも入力デバイスとして使用することができる。ユーザ入力および出力は、有線または無線に関わらず、ネットワークを用いて遠隔に設けられてよい。
[0086] 本発明の一実施形態によると、スキャナ調整プロセスの部分、例えば、シミュレーション動作は、プロセッサ904がメインメモリ906に含まれる1つ以上の命令の1つ以上のシーケンスを実行することに応答してコンピュータシステム900によって行われてよい。そのような命令は、記憶デバイス910などの別のコンピュータ読取可能媒体からメインメモリ906内に読み込むことができる。メインメモリ906に含まれる命令のシーケンスの実行は、本明細書中に記載されるプロセスステップをプロセッサ904に実行させる。メインメモリ106に含まれる命令のシーケンスを実行するために、マルチプロセシング構成における1つ以上のプロセッサを使用することもできる。代替の実施形態では、本発明を実現するために、ハードワイヤード回路をソフトウェア命令の代わりにまたはそれと組み合わせて使用することができる。したがって、本発明の実施形態は、ハードウェア回路およびソフトウェアによる特定の組み合わせに限定されない。
[0087] 本明細書で使用する「コンピュータ読取可能媒体」という用語は、実行のためにプロセッサ904に命令を提供することに関わる任意の媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、および転送媒体などの多くの形態をとるが、これらに限定されない。不揮発性媒体は、例えば、記憶デバイス910などの光または磁気ディスクを含み、かつプロセッサ904に対してローカルに、またはネットワークによって接続されて遠隔に提供されてよい。不揮発性記憶装置は、例えば、ブルーレイ、DVDまたはCD記憶装置、あるいは、USBなどを含む標準インターフェースを用いてコンピュータに容易に接続されるか、またはそこから接続を切ることができるメモリカードまたはスティックのように、コンピューティングシステム904から取り外し可能であってよい。
[0088] 揮発性媒体は、メインメモリ906などの動的メモリを含む。転送媒体は、同軸ケーブル、銅線および光ファイバを含み、これはバス902を備える線を含む。転送媒体は、無線周波数(RF)および赤外線(IR)データ通信中に生成されるような音波または光波の形態をとることもできる。コンピュータ読取可能媒体の一般的な形態としては、例えば、フロッピーディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意の他の磁気媒体、CD−ROM、DVD、ブルーレイ、任意の他の光媒体、パンチカード、紙テープ、穴のパターンを有する任意の他の物理的媒体、RAM、PROM、およびEPROM、FLASH−EPROM、任意の他のメモリチップまたはカートリッジ、以下に説明するような搬送波、あるいはコンピュータが読み取ることができる任意の他の媒体が挙げられる。
[0089] 種々の形態のコンピュータ読取可能媒体が、実行のために1つ以上の命令の1つ以上のシーケンスをプロセッサ904に搬送することに関与することができる。例えば、命令は、最初にリモートコンピュータの磁気ディスク上に記録されていることがある。リモートコンピュータは、命令をその動的メモリにロードし、モデムを使用して電話線で命令を送信することができる。コンピュータシステム900に対してローカルなモデムが、電話線でデータを受信し、赤外線送信器を使用してデータを赤外線信号に変換することができる。バス902に結合された赤外線検出器が、赤外線信号で搬送されたデータを受信し、そのデータをバス902に配置することができる。バス902は、データをメインメモリ906に搬送し、そこからプロセッサ904が命令を取り出して実行する。メインメモリ906が受信した命令は、任意選択でプロセッサ904が実行する前またはその後に、記憶デバイス910に記憶することができる。
[0090] コンピュータシステム900は、また、バス902に結合された通信インターフェース918を含むことが好ましい。通信インターフェース918は、ローカルネットワーク922に接続されたネットワークリンク920に双方向データ通信結合を提供する。例えば、通信インターフェース918は、対応するタイプの電話線にデータ通信接続を提供する統合サービスデジタル通信網(ISDN)カードまたはモデムであってもよい。別の例として、通信インターフェース918は、互換性があるLANにデータ通信接続を提供する構内通信網(LAN)カードであってもよい。無線リンクも実現することができる。このような実施態様のいずれでも、通信インターフェース918は種々のタイプの情報を表すデジタルデータストリームを搬送する電気、電磁気または光信号を送受信する。
[0091] ネットワークリンク920は、通常、1つ以上のネットワークを通して他のデータデバイスにデータ通信を提供する。例えば、ネットワークリンク920は、ローカルネットワーク922を通してホストコンピュータ924へ、またはインターネットサービスプロバイダ(「ISP」)926によって操作されるデータ機器へと接続を提供することができる。ISP926は、現在は一般的に「インターネット」928と呼ばれている世界的なパケットデータ通信網を通してデータ通信サービスを提供する。ローカルネットワーク922およびインターネット928は両方とも、デジタルデータストリームを搬送する電気、電磁気または光信号を使用する。種々のネットワークを通る信号、およびネットワークリンク920上で通信インターフェース918を通る信号で、コンピュータシステム900との間でデジタルデータを搬送する信号は、情報を送る搬送波の例示的形態である。
[0092] コンピュータシステム900は、(1つ以上の)ネットワーク、ネットワークリンク920、および通信インターフェース918を通してメッセージを送信し、プログラムコードを含むデータを受信することができる。インターネットの例では、サーバ930がアプリケーションプログラムのために要求されたコードを、インターネット928、ISP926、ローカルネットワーク922および通信インターフェース918を通して転送することができる。本発明によると、ダウンロードされたこのようなアプリケーションの1つは、例えば、実施形態のスキャナシミュレーションを提供する。受信したコードは、受信した状態でプロセッサ904が実行する、および/または、後の実行のために記憶デバイス910または他の不揮発性記憶装置に記憶することができる。この方法で、コンピュータシステム900は、搬送波の形態でアプリケーションコードを取得することができる。
[0093] 図10は、本発明のある態様によって提供されるプロセスによる調整から利益を得ることができるリソグラフィ投影装置の一例を概略的に示す。装置は、
‐放射の投影ビームPBを供給し、この例では、放射源LAも備える放射システムEx、ILと、
‐レチクルなどのマスクMAを保持するマスクホルダが設けられ、かつアイテムPLに対してマスクを正確に位置決めする第1位置決め手段に接続された第1オブジェクトテーブルまたはマスクテーブルMTと、
‐レジストコートシリコンウェーハなどの基板Wを保持する基板ホルダが設けられ、かつアイテムPLに対して基板を正確に位置決めする第2位置決め手段に接続された第2オブジェクトテーブルまたは基板テーブルWTと、
‐マスクMAの照射部分を基板Wのターゲット部分C(例えば、1つ以上のダイを含む)に結像する屈折性、反射性または反射屈折性光学システムなどの投影システムまたは「レンズ」PLとを備える。
[0094] 例示するように、装置は透過型マスクを有する透過型のものである。また、装置は、例えば反射型マスクを有する反射型のものであってもよい。あるいは、装置は、マスクを使用する代わりに、別の種類のパターニング手段を使用することができ、その例としては、プログラマブルミラーアレイまたはLCDマトリクスが挙げられる。
[0095] 放射源LAは、例えば、水銀ランプまたはエキシマレーザ、あるいは放射ビームを生成する他のデバイスであってもよい。このビームは、直接的に、または例えば、ビームエキスパンダ「EX」などの調整手段を通り抜けた後に、照明システムまたはイルミネータ(「IL」)に供給されてよい。イルミネータILは、ビームの強度分布の外側および/または内側半径範囲(それぞれσ-outerおよびσ-innerと呼ばれる)を設定する調節手段「AM」を備えることができる。さらに、イルミネータILは、インテグレータINおよびコンデンサCOといったさまざまな他のコンポーネントを含むことができ、結果のビームPBは、その断面に所望の均一性および強度分布を有してマスクMAに入射することができる。
[0096] 図10に関して、放射源LAは、特に放射源LAが例えば水銀ランプを含む場合、リソグラフィ投影装置のハウジング内に設けられてよい。放射源LAは、リソグラフィ投影装置から離れて設けられてもよく、放射源LAが生成する放射ビームは、適切な誘導ミラーおよび/またはレンズなどを用いて光導体によって装置内に導かれてもよい。一例では、KrF、ArFまたはF2レージングに基づくエキシマレーザを含む放射源LAは、例えば、投影装置とある距離を隔てて配置されてもよい。
[0097] 図示例では、ビームPBはその後、マスクテーブルMT上に保持されたマスクMAに衝突する。ビームPBは、マスクMAを通り抜けた後、基板Wのターゲット部分CにビームPBを集光するレンズPLを通過する。第2位置決め手段および/または干渉計測定手段IFを用いて、基板テーブルWTを、例えば、ビームPBの経路において様々なターゲット部分Cに位置決めするように、正確に移動することができる。同様に、第1位置決め手段を使用して、例えば、マスクライブラリからマスクMAを機械的に取り出した後またはスキャン中に、マスクMAをビームPBの経路に対して正確に位置決めすることもできる。一般的に、オブジェクトテーブルMTおよびWTの移動は、図10には明示的に図示されていないロングストロークモジュールまたは粗動位置決めシステムおよびショートストロークモジュールまたは微動位置決めシステムにより実現することができる。しかしながら、ウェーハステッパの場合は、マスクテーブルMTは、ショートストロークアクチュエータのみに連結されてもよく、または固定されてもよい。
[0098] 例示のシステムは、異なるモードで使用できる。
‐ステップモードにおいては、マスクテーブルMTを実質的に静止状態に維持し、マスク像全体を1ステップ、すなわち単一のフラッシュでターゲット部分C上に投影する。その後、基板テーブルWTは、xおよび/またはy方向に移動され、それによって別のターゲット部分CをビームPBによって照射することができる。
‐スキャンモードにおいては、基本的に同じシナリオが当てはまるが、所与のターゲット部分Cが単一フラッシュで露光されない。代わりに、マスクテーブルMTは、投影ビームPBがマスク像をスキャンするように、所与の方向、いわゆるスキャン方向(例えば、y方向)に速度vで移動可能であり、同時に、基板テーブルWTが、同じ方向または反対方向に速度V=Mvで同時に移動し、ここでMはレンズPLの倍率である。通常、M=1/4または1/5である。この方法で、システム解像度を維持しつつ比較的大きいターゲット部分Cを露光することができる。
[0099] 本発明のある態様によって提供されるシステムおよび方法は、サブ波長フィーチャを結像する任意の一般的な結像システムをシミュレートまたは数学的にモデル化することができ、ますます縮小するサイズの波長を生成することができる新生の結像技術を用いてかかるシステムおよび方法を有利に使用できると考えられる。既に使用されている新生技術は、ArFレーザを使用して193nmの波長を生成することができ、さらにはフッ素レーザを使用して157nmの波長を生成することができる極端紫外線(「EUV」)リソグラフィを含む。さらに、EUVリソグラフィは、20nm〜5nmの範囲内の光子を生成するために、シンクロトロンを使用するか、または高エネルギー電子を固体またはプラズマ材料に衝突させることによって、この範囲内の波長を生成することができる。大半の材料はこの範囲内で吸収性を有するので、モリブデンおよびシリコンのマルチスタックを有する反射ミラーで照明を生成することができる。マルチスタックミラーは、40層のモリブデンとシリコンの対を有し、各層の厚さは1/4波長である。x線リソグラフィでは、さらに小さい波長を生成することができる。通常、x線波長を生成するにはシンクロトロンを使用する。大半の材料はx線の波長で吸収性を有するので、吸収材料の薄片が、ポジ型レジストまたはネガ型レジストのそれぞれが使用されている否かによってフィーチャがプリントされる箇所またはプリントされない箇所を画定する。
[00100] 本明細書で開示する概念は、シリコンウェーハなどの基板上に結像するために使用することができるが、開示された概念は、例えば、シリコンウェーハ以外の基板上に結像するために使用するものなど、任意のタイプのリソグラフィ結像システムで使用することができることを理解されたい。
本発明のある態様の追加説明
[00101] 本発明のある実施形態は、スキャナのシステムレベル整合のためのシステムおよび方法を提供する。これらの実施形態のいくつかは、基準スキャナの感度を識別する基準モデルを一組の調整可能パラメータで維持するステップと、ターゲットスキャナのための差分モデルを生成するステップであって、当該差分モデルは、基準モデルとターゲットスキャナの感度を識別するターゲットモデルとの間のマッピングを提供する、ステップと、差分モデルおよび基準モデルに基づいてターゲットスキャナを調整するステップとを含む。
[00102] ある実施形態では、シミュレーション中に調整および較正情報の組み合わせが使用される。ある実施形態では、調整および較正情報は、選択されたスキャナと基準スキャナとの間の結像性能における違いを特徴付ける差分モデルとして示され、基準スキャナは、理想スキャナまたは典型的なスキャナの性能をモデル化する。ある実施形態では、理想スキャナが生成される。ある実施形態では、理想スキャナは、最初にスキャナの設計条件に基づく。ある実施形態では、理想スキャナは、特定された公称値で実行される。
[00103] ある実施形態では、基準モデルは、1つ以上のスキャナの実際の性能を反映するように変更される。ある実施形態では、公称値に対する観測された偏差はモデルに追加される。ある実施形態では、スキャナの動作環境、チップ製造に使用される材料の種類および他の要素が複数のスキャナに対して特徴付けられる。ある実施形態では、基準モデルは、動作環境に起因する偏差に基づいて調整される。
[00104] 本発明は特定の例示的実施形態に関して説明してきたが、本発明の広い精神および範囲から逸脱することなく様々な修正および変更がこれらの実施形態になされてもよいことが当業者に明らかになるであろう。したがって、明細書および図面は、制限的な意味より例示的なものとして考えられたい。

Claims (15)

  1. 対応するモデルを用いてリソグラフィ装置を調整する方法であって、前記方法は、
    前記リソグラフィ装置上の一組の調整可能パラメータにおける変化を受ける、前記リソグラフィ装置を用いてウェーハの所定層に対するリソグラフィプロセスの結像挙動を特徴付けるリソグラフィプロセスモデルを維持することと、
    設計レイアウトおよび前記リソグラフィプロセスモデルを用いて前記所定層にシミュレートされたウェーハ輪郭を生成することと、
    基準に対する前記シミュレートされたウェーハ輪郭における相違(discrepancy)を識別することと、
    コスト関数によって前記相違を定量化することと、
    前記コスト関数を最小化し、かつ前記基準に対する前記シミュレートされたウェーハ輪郭の所望の収束度を得るために前記生成および識別ステップの反復を行うことであって、前記リソグラフィ装置の少なくとも1つの調整可能パラメータは、各反復を行う前に調節される、ことと
    を含む、方法。
  2. 前記リソグラフィプロセスモデルは感度モデルである、請求項1に記載の方法。
  3. 前記基準は、前記設計レイアウトにおける一組のパターンに対する、前記リソグラフィプロセスと異なる基準リソグラフィプロセスからの測定されたウェーハ輪郭である、請求項1に記載の方法。
  4. 前記基準は、前記設計レイアウトにおける一組のパターンに対する、前記リソグラフィプロセスモデルと異なる基準リソグラフィプロセスモデルからのシミュレートされたウェーハ輪郭である、請求項1に記載の方法。
  5. 前記基準は、前記設計レイアウトにおける一組のパターンに対する設計ターゲットポリゴンを含む、請求項1に記載の方法。
  6. 前記基準に対する前記シミュレートされたウェーハ輪郭における前記相違は、OPC検証ツールを介して得られる、請求項1に記載の方法。
  7. 調整されていないウェーハ輪郭と前記基準との差は、差分プロセスモデルを介して得られる、請求項3または4に記載の方法。
  8. 前記コスト関数は、調整ターゲットパターンセットにおける毎パターンに関連した結像計量の項を含む、請求項1に記載の方法。
  9. 前記ウェーハ輪郭における前記相違は、前記リソグラフィ装置の光学部品、機械部品、制御およびデバイス固有のレーザの差のうちの1つ以上における差から結果として生ずる、請求項1に記載の方法。
  10. 前記ウェーハ輪郭における前記相違は、前記リソグラフィプロセスのマスク、レジスト、トラックおよびエッチの差のうちの1つ以上における差から結果として生ずる、請求項1に記載の方法。
  11. 前記相違を識別するステップは、ホットスポットを識別することを含む、請求項6に記載の方法。
  12. 前記ホットスポットは、プロセス変化の下に起きる歩留まり制限欠陥(yield-limiting defects)を含む、請求項11に記載の方法。
  13. 前記ホットスポットは、前記リソグラフィ装置に固有の特徴によって悪影響を受ける前記設計レイアウトにおけるパターンを含む、請求項11または12に記載の方法。
  14. 前記設計レイアウトにおける特定のパターンに対するクリティカルディメンジョンを事前定義された公差内に引き入れるために調整オフセットを計算することをさらに含む、請求項1に記載の方法。
  15. 前記生成するステップ、前記識別するステップおよび前記計算するステップは、複数のホットスポットが消去されるまで繰り返される、請求項14に記載の方法。
JP2012204119A 2008-06-03 2012-09-18 モデルベースのスキャナ調整方法 Active JP5750417B2 (ja)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US5852008P 2008-06-03 2008-06-03
US5851108P 2008-06-03 2008-06-03
US61/058,520 2008-06-03
US61/058,511 2008-06-03
US14157808P 2008-12-30 2008-12-30
US61/141,578 2008-12-30
US14230509P 2009-01-02 2009-01-02
US61/142,305 2009-01-02

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011512550A Division JP5225462B2 (ja) 2008-06-03 2009-05-29 モデルベースのスキャナ調整方法

Publications (2)

Publication Number Publication Date
JP2013012773A true JP2013012773A (ja) 2013-01-17
JP5750417B2 JP5750417B2 (ja) 2015-07-22

Family

ID=41198557

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2011512550A Active JP5225462B2 (ja) 2008-06-03 2009-05-29 モデルベースのスキャナ調整方法
JP2011512549A Active JP5913979B2 (ja) 2008-06-03 2009-05-29 モデルベースのプロセスシミュレーション方法
JP2012204119A Active JP5750417B2 (ja) 2008-06-03 2012-09-18 モデルベースのスキャナ調整方法
JP2015238241A Active JP6468993B2 (ja) 2008-06-03 2015-12-07 モデルベースのプロセスシミュレーション方法
JP2018155777A Active JP6735794B2 (ja) 2008-06-03 2018-08-22 モデルベースのプロセスシミュレーション方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2011512550A Active JP5225462B2 (ja) 2008-06-03 2009-05-29 モデルベースのスキャナ調整方法
JP2011512549A Active JP5913979B2 (ja) 2008-06-03 2009-05-29 モデルベースのプロセスシミュレーション方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2015238241A Active JP6468993B2 (ja) 2008-06-03 2015-12-07 モデルベースのプロセスシミュレーション方法
JP2018155777A Active JP6735794B2 (ja) 2008-06-03 2018-08-22 モデルベースのプロセスシミュレーション方法

Country Status (6)

Country Link
US (6) US8806387B2 (ja)
JP (5) JP5225462B2 (ja)
KR (3) KR101928938B1 (ja)
CN (2) CN102057329B (ja)
TW (2) TWI460547B (ja)
WO (2) WO2009148972A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019502950A (ja) * 2015-12-17 2019-01-31 エーエスエムエル ネザーランズ ビー.ブイ. 測定を向上させるための非対称なサブ分解能フィーチャを用いるリソグラフィプロセスの光学計測
JP2019508734A (ja) * 2016-02-22 2019-03-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジデータへの寄与の分離

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101928938B1 (ko) * 2008-06-03 2018-12-13 에이에스엠엘 네델란즈 비.브이. 모델-기반 공정 시뮬레이션 시스템들 및 방법들
NL2003719A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
JP2010211046A (ja) * 2009-03-11 2010-09-24 Toshiba Corp パターン検証方法およびパターン検証プログラム
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US8607168B2 (en) * 2010-02-16 2013-12-10 Mentor Graphics Corporation Contour alignment for model calibration
TWI395460B (zh) * 2010-06-02 2013-05-01 Avision Inc 自動存取影像處理程式之掃描設備
KR101659838B1 (ko) * 2010-06-18 2016-09-26 삼성전자주식회사 식각 근접 보정방법 및 그를 이용한 포토마스크 레이아웃의 생성방법
US9658527B2 (en) * 2010-07-12 2017-05-23 Carl Zeiss Sms Ltd. Correction of errors of a photolithographic mask using a joint optimization process
DE102011083774B4 (de) 2010-10-04 2019-06-13 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Laser korrigierenden Tool-Parametern
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
NL2010163A (en) * 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
JP6039932B2 (ja) * 2012-06-22 2016-12-07 キヤノン株式会社 露光装置、露光方法及び物品の製造方法
US9519285B2 (en) * 2013-01-23 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and associated methods for tuning processing tools
WO2015022239A1 (en) * 2013-08-13 2015-02-19 Asml Netherlands B.V. Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
CN104423142B (zh) * 2013-08-22 2020-05-05 中芯国际集成电路制造(上海)有限公司 用于光学邻近校正模型的校准数据收集方法和系统
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
EP2952964A1 (en) * 2014-06-03 2015-12-09 Aselta Nanographics Method for determining the parameters of an ic manufacturing process by a differential procedure
US10018922B2 (en) 2014-09-02 2018-07-10 Nikon Corporation Tuning of optical projection system to optimize image-edge placement
US10345715B2 (en) 2014-09-02 2019-07-09 Nikon Corporation Pattern-edge placement predictor and monitor for lithographic exposure tool
KR102548650B1 (ko) * 2014-10-03 2023-06-27 케이엘에이 코포레이션 검증 계측 타겟 및 그 설계
TWI701517B (zh) * 2014-12-23 2020-08-11 德商卡爾蔡司Smt有限公司 光學構件
CN104615808B (zh) * 2015-01-19 2018-07-03 北京思朗科技有限责任公司 一种待测试硬件运算部件的测试方法及参考模型装置
KR102077173B1 (ko) * 2015-03-16 2020-02-13 에이에스엠엘 네델란즈 비.브이. 레지스트 변형을 결정하는 방법들
US9928316B2 (en) 2015-03-26 2018-03-27 International Business Machines Corporation Process-metrology reproducibility bands for lithographic photomasks
CN107667315B (zh) 2015-05-29 2021-04-16 Asml荷兰有限公司 使用对源辐射的角分布的多次采样的光刻术模拟
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
EP3118682B1 (en) 2015-07-16 2022-10-19 IMEC vzw Method for designing a lithographic mask
KR102293295B1 (ko) * 2015-08-13 2021-08-25 세메스 주식회사 기판 처리 설비 시뮬레이션 시스템 및 방법
EP3153924B1 (en) * 2015-10-07 2021-11-17 Aselta Nanographics Method for determining the dose corrections to be applied to an ic manufacturing process by a matching procedure
CN108700818B (zh) 2015-12-22 2020-10-16 Asml荷兰有限公司 用于过程窗口表征的设备和方法
DE102016200043A1 (de) * 2016-01-05 2017-07-06 Eos Gmbh Electro Optical Systems Verfahren zum Kalibrieren einer Vorrichtung zum Herstellen eines dreidimensionalen Objekts
CN105607413B (zh) 2016-03-18 2019-11-01 京东方科技集团股份有限公司 产生掩膜图案的系统和方法以及曝光系统
JP6959698B2 (ja) 2016-04-25 2021-11-05 レニショウ パブリック リミテッド カンパニーRenishaw Public Limited Company アディティブ製造装置内の複数のスキャナの較正方法
TWI631415B (zh) * 2016-07-01 2018-08-01 美商格羅方德半導體公司 同時微影及蝕刻校正流程之方法
DE102016013260B4 (de) * 2016-11-09 2020-07-23 Carl Zeiss Smt Gmbh Verfahren zur Erzeugung eines Referenzbildes bei der Charakterisierung einer Maske für die Mikrolithographie
JP7057358B2 (ja) 2016-12-02 2022-04-19 エーエスエムエル ネザーランズ ビー.ブイ. エッチングパラメータを変更する方法及びコンピュータプログラム
EP3336608A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method and apparatus for image analysis
CN114578661A (zh) * 2016-12-28 2022-06-03 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN110140088B (zh) 2016-12-28 2021-08-31 Asml荷兰有限公司 量测图像与设计之间的模拟辅助的对准
CN114415478A (zh) * 2017-01-26 2022-04-29 Asml荷兰有限公司 微调过程模型的方法
WO2018153866A1 (en) * 2017-02-24 2018-08-30 Asml Netherlands B.V. Methods of determining process models by machine learning
WO2018224349A1 (en) * 2017-06-06 2018-12-13 Asml Netherlands B.V. Measurement method and apparatus
JP7438105B2 (ja) * 2017-09-27 2024-02-26 エーエスエムエル ネザーランズ ビー.ブイ. デバイス製造方法の制御パラメータを決定する方法、コンピュータプログラム、および、基板にデバイスを製造するためのシステム
US10495967B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN111788589A (zh) * 2018-02-23 2020-10-16 Asml荷兰有限公司 训练用于计算光刻术的机器学习模型的方法
CN111868634B (zh) * 2018-03-20 2023-06-09 Asml荷兰有限公司 用于加速抗蚀剂和蚀刻模型校准的实时调节方法
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
WO2020002143A1 (en) 2018-06-25 2020-01-02 Asml Netherlands B.V. Wavefront optimization for tuning scanner based on performance matching
EP3588191A1 (en) * 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
CN109586688B (zh) * 2018-12-07 2022-10-18 桂林电子科技大学 基于迭代计算的时变可分非下采样图滤波器组的设计方法
US11561478B2 (en) * 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
JP7171468B2 (ja) * 2019-02-20 2022-11-15 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、物品の製造方法、物品の製造システム、及び出力方法
US11568101B2 (en) 2019-08-13 2023-01-31 International Business Machines Corporation Predictive multi-stage modelling for complex process control
US11119404B2 (en) * 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks
US11836429B2 (en) * 2019-10-23 2023-12-05 Lam Research Corporation Determination of recipes for manufacturing semiconductor devices
KR20230096048A (ko) * 2020-11-24 2023-06-29 어플라이드 머티어리얼스, 인코포레이티드 계측을 위한 최적화 기반 이미지 처리
CN112987488B (zh) * 2021-02-22 2024-03-12 上海华力集成电路制造有限公司 Opc修正方法
CN114357928A (zh) * 2021-12-31 2022-04-15 东方晶源微电子科技(北京)有限公司 一种光刻模型优化方法
CN115408650B (zh) * 2022-08-03 2023-04-28 武汉宇微光学软件有限公司 光刻胶多级串连表征网络的建模、校准、仿真方法和系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007019269A2 (en) * 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
WO2009148974A1 (en) * 2008-06-03 2009-12-10 Brion Technologies, Inc. Model-based scanner tuning methods

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5225462A (en) 1975-08-22 1977-02-25 Okada Sangyo Kk Aeration and organism purifying device for polluted water
JP3114132B2 (ja) 1992-02-10 2000-12-04 能美防災株式会社 光電式分離型煙感知器
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO1998028665A1 (en) 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US6564112B1 (en) * 1999-11-08 2003-05-13 Eventide Inc. Method of customizing electronic systems based on user specifications
JP2002174890A (ja) * 2000-12-07 2002-06-21 Hitachi Ltd 半導体集積回路の製造方法
JP2002175969A (ja) 2000-12-07 2002-06-21 Hitachi Ltd パターン検証方法及びデータ処理システム
JP2002353104A (ja) 2001-05-24 2002-12-06 Hitachi Ltd 半導体デバイスの露光方法、その露光システム及びそのプログラム
US6581193B1 (en) * 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
KR100468741B1 (ko) * 2002-06-22 2005-01-29 삼성전자주식회사 노광 장치의 어퍼처 설계를 위한 시뮬레이션 방법 및장치, 그리고 시뮬레이션 방법을 기록한 기록매체
JP2004103674A (ja) 2002-09-06 2004-04-02 Renesas Technology Corp 半導体集積回路装置の製造方法
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP4192618B2 (ja) 2003-02-17 2008-12-10 ソニー株式会社 マスクの補正方法
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7242459B2 (en) 2004-01-30 2007-07-10 Asml Masktools B.V. Method of predicting and minimizing model OPC deviation due to mix/match of exposure tools using a calibrated Eigen decomposition model
WO2005098686A2 (en) * 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US7053979B2 (en) * 2004-05-12 2006-05-30 Litel Instruments Process for amelioration of scanning synchronization error
US7116411B2 (en) * 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
US7251807B2 (en) 2005-02-24 2007-07-31 Synopsys, Inc. Method and apparatus for identifying a manufacturing problem area in a layout using a process-sensitivity model
US7475382B2 (en) * 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
JP2006292813A (ja) * 2005-04-06 2006-10-26 Seiko Epson Corp 設計パターン補正方法、パラメータ設定方法、設計パターン補正装置、パラメータ設定装置、及びプログラム
US7743359B2 (en) * 2005-05-02 2010-06-22 Cadence Design Systems, Inc. Apparatus and method for photomask design
JP4701030B2 (ja) * 2005-07-22 2011-06-15 キヤノン株式会社 露光装置、露光パラメータを設定する設定方法、露光方法、デバイス製造方法及びプログラム
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
CN101305320B (zh) 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7425397B2 (en) 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of determining an illumination profile and device manufacturing method
JP2007142275A (ja) * 2005-11-21 2007-06-07 Toshiba Corp フォトマスクの判定方法、半導体装置の製造方法及びプログラム
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
JP2009530824A (ja) * 2006-03-16 2009-08-27 ケーエルエー−テンカー テクノロジィース コーポレイション 露光ツール群においてアライメント性能を最適化するための方法およびシステム
JP2007324342A (ja) * 2006-05-31 2007-12-13 Nikon Corp 露光方法、露光システムの管理方法、露光システム、及びデバイス製造方法
JP4866683B2 (ja) * 2006-08-25 2012-02-01 富士通セミコンダクター株式会社 半導体デバイスの製造方法、データ作成装置、データ作成方法、およびプログラム
JP4999161B2 (ja) 2007-02-19 2012-08-15 新日本無線株式会社 半導体装置の製造方法
JP4989279B2 (ja) * 2007-04-05 2012-08-01 株式会社東芝 パラメータ値調整方法、半導体装置製造方法およびプログラム
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US8037428B2 (en) * 2008-05-29 2011-10-11 Synopsys, Inc. Method and system for post-routing lithography-hotspot correction of a layout

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007019269A2 (en) * 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
WO2009148974A1 (en) * 2008-06-03 2009-12-10 Brion Technologies, Inc. Model-based scanner tuning methods
WO2009148972A1 (en) * 2008-06-03 2009-12-10 Brion Technologies, Inc. Methods for model-based process simulation
JP5225462B2 (ja) * 2008-06-03 2013-07-03 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのスキャナ調整方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019502950A (ja) * 2015-12-17 2019-01-31 エーエスエムエル ネザーランズ ビー.ブイ. 測定を向上させるための非対称なサブ分解能フィーチャを用いるリソグラフィプロセスの光学計測
US10417359B2 (en) 2015-12-17 2019-09-17 Asml Netherlands B.V. Optical metrology of lithographic processes using asymmetric sub-resolution features to enhance measurement
JP2019508734A (ja) * 2016-02-22 2019-03-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジデータへの寄与の分離
JP2020126279A (ja) * 2016-02-22 2020-08-20 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジデータへの寄与の分離
US11520239B2 (en) 2016-02-22 2022-12-06 Asml Netherlands B.V. Separation of contributions to metrology data

Also Published As

Publication number Publication date
US20150045935A1 (en) 2015-02-12
US10569469B2 (en) 2020-02-25
US20140046646A1 (en) 2014-02-13
JP6735794B2 (ja) 2020-08-05
TWI460547B (zh) 2014-11-11
TW201011473A (en) 2010-03-16
WO2009148974A1 (en) 2009-12-10
US20100010784A1 (en) 2010-01-14
US10137643B2 (en) 2018-11-27
JP2011522440A (ja) 2011-07-28
JP2016066091A (ja) 2016-04-28
CN102057330B (zh) 2013-07-17
CN102057329B (zh) 2013-08-21
JP6468993B2 (ja) 2019-02-13
KR101928938B1 (ko) 2018-12-13
KR101749987B1 (ko) 2017-06-22
WO2009148972A1 (en) 2009-12-10
TWI460546B (zh) 2014-11-11
KR20170060186A (ko) 2017-05-31
CN102057329A (zh) 2011-05-11
JP2011522439A (ja) 2011-07-28
US20140351773A1 (en) 2014-11-27
US20090300573A1 (en) 2009-12-03
JP2018197876A (ja) 2018-12-13
US8571845B2 (en) 2013-10-29
CN102057330A (zh) 2011-05-11
US20200189192A1 (en) 2020-06-18
KR20110015652A (ko) 2011-02-16
TW201011474A (en) 2010-03-16
US8806387B2 (en) 2014-08-12
US8874423B2 (en) 2014-10-28
JP5750417B2 (ja) 2015-07-22
JP5913979B2 (ja) 2016-05-11
KR20110021998A (ko) 2011-03-04
JP5225462B2 (ja) 2013-07-03
KR101610734B1 (ko) 2016-04-08

Similar Documents

Publication Publication Date Title
JP5750417B2 (ja) モデルベースのスキャナ調整方法
US9009647B2 (en) Methods and systems for lithography calibration using a mathematical model for a lithographic process
KR101043016B1 (ko) 리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들
JP5514178B2 (ja) 投影光学系による光操作を含むパターン非依存のハイブリッド整合/調整
EP1560073A2 (en) Method of predicting and minimizing model opc deviation due to mix/match of exposure tools using a calibrated eigen decomposition model
US9588439B1 (en) Information matrix creation and calibration test pattern selection based on computational lithography model parameters
KR20120050390A (ko) 투영 광학기에 의한 광 조작을 포함하는 패턴-의존적 근접성 매칭/조율

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140218

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140221

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141022

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150219

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150427

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150518

R150 Certificate of patent or registration of utility model

Ref document number: 5750417

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250