JP2019508734A - メトロロジデータへの寄与の分離 - Google Patents

メトロロジデータへの寄与の分離 Download PDF

Info

Publication number
JP2019508734A
JP2019508734A JP2018538886A JP2018538886A JP2019508734A JP 2019508734 A JP2019508734 A JP 2019508734A JP 2018538886 A JP2018538886 A JP 2018538886A JP 2018538886 A JP2018538886 A JP 2018538886A JP 2019508734 A JP2019508734 A JP 2019508734A
Authority
JP
Japan
Prior art keywords
substrate
contribution
pattern
variable
variables
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018538886A
Other languages
English (en)
Other versions
JP6964591B2 (ja
Inventor
テル,ウィン,テジッボ
スタールズ,フランク
マズロー,マルク,ジョン
アヌンシアド,ロイ
ヨチェムセン,マリヌス
クラマー,ヒューゴ,アウグスティヌス,ヨセフ
テウヴェス,トーマス
ヒンネン,パウル,クリスティアーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2019508734A publication Critical patent/JP2019508734A/ja
Priority to JP2020090952A priority Critical patent/JP7410795B2/ja
Application granted granted Critical
Publication of JP6964591B2 publication Critical patent/JP6964591B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

パターニングプロセスによって処理される基板のパターン又はパターニングプロセスによって処理される基板用のパターンの第1の変数の値を、基板に関する第1の変数のフィンガープリントと、第1の変数の特定の値とを統合することによって計算することと、第1の変数の計算値に少なくとも部分的に基づいて、パターンの第2の変数の値を決定することと、を含む方法。【選択図】図3B

Description

関連出願の相互参照
[0001] 本出願は、本明細書にその全体が援用される、2016年2月22日に出願された米国特許出願第62/298,367号、2016年9月1日に出願された米国特許出願第62/382,764号、及び2017年2月15日に出願された米国特許出願第62/459,327号の優先権を主張する。
[0002] 本開示は、デバイス製造プロセスの性能を向上させる方法に関する。本方法は、リソグラフィ装置又はメトロロジ装置に関連して使用されてもよい。
[0003] リソグラフィ装置は、所望のパターンを基板のターゲット部分に与える機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造において使用することができる。その状況では、代替的にマスク又はレチクルと呼ばれるパターニングデバイスが、ICの個々の層に対応した回路パターンを生成するために使用されてもよく、及びこのパターンは、放射感応性材料(レジスト)の層を有する基板(例えばシリコンウェーハ)上のターゲット部分(例えば、1つ又は幾つかのダイの一部を含む)に結像することができる。一般に、単一の基板は、連続して露光される隣接するターゲット部分のネットワークを含む。既知のリソグラフィ装置は、各ターゲット部分が、パターン全体を1回でターゲット部分に露光させることによって照射される、いわゆるステッパと、ビームを用いてある方向(「スキャン」方向)にパターンをスキャンすると同時に、この方向に対して平行又は逆平行に基板を同期してスキャンすることによって各ターゲット部分が照射される、いわゆるスキャナと、を含む。
[0004] パターニングデバイスから基板に回路パターンを転写する前に、基板は、プライミング、レジストコーティング、及びソフトベークなどの様々なプロシージャを経てもよい。露光後に、基板は、ポストベーク(PEB)、現像、ハードベーク、及び転写された回路パターンの測定/インスペクションなどの他のプロシージャを受けてもよい。この多数のプロシージャは、デバイス、例えばICの個々の層を作るための基礎として使用される。基板は、次に、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械研磨など(全て、デバイスの個々の層を仕上げることを意図したもの)の様々なプロセスを経てもよい。デバイスに幾つかの層が必要とされる場合、プロシージャ全体又はそれの異形が、各層に対して繰り返される。最終的に、基板上の各ターゲット部分にデバイスが存在する。これらのデバイスは、次に、ダイシング又はソーイングなどの技術によって互いに分離され、その結果として、個々のデバイスがキャリア上に取り付けられること、ピンに接続されることなどが可能である。
[0005] 従って、半導体デバイスなどの製造デバイスは、一般的に、デバイスの様々なフィーチャ及び複数の層を形成するための多数の製作プロセスを用いて、基板(例えば半導体ウェーハ)を処理することを含む。このような層及びフィーチャは、一般的に、例えば、堆積、リソグラフィ、エッチング、化学機械研磨、及びイオン注入を用いて、製造及び処理される。複数のデバイスが、基板上の複数のダイ上で製作され、その後、個々のデバイスに分離されてもよい。このデバイス製造プロセスは、パターニングプロセスと見なすことができる。パターニングプロセスは、パターニングデバイス上のパターンを基板に転写するために、リソグラフィ装置においてパターニングデバイスを用いる光及び/又はナノインプリントリソグラフィなどのパターニングステップを含み、及び一般的に(但し任意選択的に)、現像装置によるレジスト現像、ベークツールを用いた基板のベーク、エッチング装置を用いたパターンを使用するエッチングなどの1つ又は複数の関連のパターン処理ステップを含む。
[0006] 本明細書に開示されるのは、基板上のパターンのメトロロジデータに対する、モデリング可能なプロセス変数の第1の寄与を取得することと、パターンのメトロロジデータに対する、モデリングされないプロセス変数の第2の寄与を取得することと、ハードウェアコンピュータにより第1の寄与及び第2の寄与を統合することによって、メトロロジデータを取得することと、を含む方法である。
[0007] ある実施形態によれば、メトロロジデータは、クリティカルディメンジョン(CD)、クリティカルディメンジョン均一性(CDU)、側壁角、エッジ位置、オーバーレイ、焦点、及び/又はパターンシフトから選択された1つ又は複数を含む。
[0008] ある実施形態によれば、メトロロジデータは、パターングループの統計値を含む。
[0009] ある実施形態によれば、モデリングされないプロセス変数は、基板の露光の下流のプロセスの特性である。
[0010] ある実施形態によれば、プロセスは、基板上のレジスト層の現像である。
[0011] ある実施形態によれば、プロセスは、基板のエッチングである。
[0012] ある実施形態によれば、モデリングされないプロセス変数は、基板の特性である。
[0013] ある実施形態によれば、モデリングされないプロセス変数は、基板上のレジスト層の特性である。
[0014] ある実施形態によれば、モデリングされないプロセス変数の値は、未知である。
[0015] ある実施形態によれば、第1の寄与及び第2の寄与を統合することは、第1の寄与及び第2の寄与を加算すること、又は第1の寄与及び第2の寄与をコンボリューションすることを含む。
[0016] 本明細書に開示されるのは、基板上のパターンのメトロロジデータに対する第2のプロセス変数の寄与を、ハードウェアコンピュータにより、メトロロジデータに対する第1のプロセス変数の寄与を除去することによって取得することを含む方法である。
[0017] ある実施形態によれば、第1のプロセス変数は、モデリング可能である。
[0018] ある実施形態によれば、第1のプロセス変数の寄与の取得は、モデリングによるものである。
[0019] ある実施形態によれば、第1のプロセス変数の寄与は、第2のプロセス変数の非線形関数である。
[0020] ある実施形態によれば、第1のプロセス変数は、モデリングされない。
[0021] ある実施形態によれば、第1のプロセス変数の寄与は、実験的又は経験的に決定される。
[0022] 本明細書に開示されるのは、基板上の第1のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を、メトロロジデータに対する第1のグループの1つ又は複数のプロセス変数の変化の寄与をメトロロジデータから除去することによって取得することと、第1のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与に基づいて、ハードウェアコンピュータにより、基板上の第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を取得することと、を含む方法である。
[0023] ある実施形態によれば、方法は、第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与に基づいて、第1のグループの1つ又は複数のプロセス変数を調整することによって、第2のパターンにおける欠陥の確率を減少させることをさらに含む。
[0024] ある実施形態によれば、第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与は、第2のパターンのメトロロジデータを取得することなく取得される。
[0025] ある実施形態によれば、第2のパターンにおける欠陥の確率は、第2のパターンに計測を行うことなく減らされる。
[0026] ある実施形態によれば、方法は、第2のパターンのメトロロジデータに対する第1のグループの1つ又は複数のプロセス変数の変化の寄与を、第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を第2のパターンのメトロロジデータから除去することによって取得することをさらに含む。
[0027] ある実施形態によれば、第2のパターンのメトロロジデータに対する第1のグループの1つ又は複数のプロセス変数の変化の寄与は、第2のパターンにおける第1のグループの1つ又は複数のプロセス変数の値を取得することなく取得される。
[0028] ある実施形態によれば、方法は、第2のパターンのメトロロジデータに対する第1のグループの1つ又は複数のプロセス変数の変化の寄与、第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与、又は両方に基づいて、第1のグループの1つ又は複数のプロセス変数の内の1つ又は複数のプロセス変数を調整することによって、第2のパターンにおける欠陥の確率を減少させることをさらに含む。
[0029] 本明細書に開示されるのは、第1のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を、第1のパターンのメトロロジデータに対する第1のグループのプロセス変数の変化の寄与を除去することによって取得することと、第1のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与に基づいて、基板上の第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を取得することと、ハードウェアコンピュータにより、第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与に基づいて、第2のパターンに関する第1のグループのプロセス変数が及ぶサブプロセスウィンドウ(サブPW)を取得することと、を含む方法である。
[0030] ある実施形態によれば、第1のグループは、モデリング可能な全てのプロセス変数を含み、及び第2のグループは、モデリングされない全てのプロセス変数を含む。
[0031] ある実施形態によれば、方法は、サブPWに基づいて、第1のグループのプロセス変数の内の1つ又は複数のプロセス変数の値を調整することによって、第2のパターンにおける欠陥の確率を減少させることをさらに含む。
[0032] 本明細書に開示されるのは、メトロロジデータに対する第1のグループの1つ又は複数のモデリング可能なプロセス変数の変化の寄与、及びメトロロジデータに対する第2のグループの1つ又は複数のモデリングされないプロセス変数の変化の寄与を統合することによって、ホットスポットのメトロロジデータの推定値を取得することと、ハードウェアコンピュータにより、メトロロジデータの推定値に基づいて、ホットスポットに欠陥があるか否かを決定することと、を含む方法である。
[0033] ある実施形態によれば、推定値は、ホットスポットに計測を行うことなく取得される。
[0034] 本明細書に開示されるのは、パターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を、第1のグループの1つ又は複数のプロセス変数の変化の寄与をメトロロジデータから除去することによって取得することと、メトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を決定するためのモデルのパラメータの値を、ハードウェアコンピュータにより、パターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与に対してパラメータをフィッティングすることによって取得することと、を含む方法である。
[0035] ある実施形態によれば、第1のグループの1つ又は複数のプロセス変数は、モデリングされない全てのプロセス変数を含む。
[0036] ある実施形態によれば、第2のグループの1つ又は複数のプロセス変数の全てのプロセス変数は、モデリング可能である。
[0037] 本明細書に開示されるのは、基板上のパターンのメトロロジデータの推定値を、メトロロジデータに対する全てのモデリング可能なプロセス変数の変化の寄与と、メトロロジデータに対する全てのモデリングされないプロセス変数の変化の寄与とを統合することによって取得することと、メトロロジデータの推定値が基準を満たすか否かを決定することと、推定値が基準を満たさない場合、ハードウェアコンピュータにより、モデリングされないプロセス変数と、メトロロジデータに対する全てのモデリングされないプロセス変数の変化の寄与との関係を調整することと、を含む方法である。
[0038] ある実施形態によれば、関係の調整は、基板上のレジストの化学組成を変更することを含む。
[0039] ある実施形態によれば、関係の調整は、基板上のレジストの現像に使用される化学薬品を変更することを含む。
[0040] ある実施形態によれば、関係の調整は、基板のエッチングに使用されるエッチング装置を変更することを含む。
[0041] 本明細書に開示されるのは、パターニングプロセスによって処理される基板のパターン、又はパターニングプロセスによって処理される基板用のパターンの第1の変数の値を、基板に関する第1の変数のフィンガープリントと、第1の変数の特定の値とを統合することによって計算することと、第1の変数の計算値に少なくとも部分的に基づいて、パターンの第2の変数の値を決定することと、を含む方法である。
[0042] ある実施形態によれば、パターンの第2の変数の値の決定は、第1の変数の計算値及び第2の変数に少なくとも部分的に基づいた再構築又はシミュレーション結果がルールに適合するまで、ハードウェアコンピュータにより、第2の変数を調整することを含む。
[0043] ある実施形態によれば、ルールは、基板上のパターンの測定結果と、再構築/シミュレーション結果との差が、閾値を超える又は閾値に合致することを示す。
[0044] ある実施形態によれば、再構築/シミュレーション結果は、計算放射分布であり、及び測定結果は、測定放射分布である。
[0045] ある実施形態によれば、第1の変数の変化が、第2の変数の同じ変化よりも、再構築又はシミュレーション結果においてより大きな差をもたらす。
[0046] ある実施形態によれば、第1の変数は、基板上のメトロロジターゲットに関係する。
[0047] ある実施形態によれば、第1の変数は、基板上のメトロロジターゲットのパターンのクリティカルディメンジョンである。
[0048] ある実施形態によれば、第2の変数は、基板上のメトロロジターゲットに関係する。
[0049] ある実施形態によれば、第2の変数は、メトロロジターゲットのパターンの側壁角、メトロロジターゲットのパターンの高さ、メトロロジターゲットの層の厚さ、現像中のメトロロジターゲットのパターンのレジスト損失、メトロロジターゲットのフッティング、メトロロジターゲットの層の屈折率、メトロロジターゲットの層の吸収、及び/又はメトロロジターゲットの層の吸光係数から選択された1つ又は複数を含む。
[0050] ある実施形態によれば、方法は、パターンの第2の変数に基づいて、基板上へのパターンの転写の下流のプロセスに続いて、欠陥があるか否かを予測することをさらに含む。
[0051] ある実施形態によれば、基板上へのパターンの転写の下流のプロセスに続く、パターンにおける欠陥の予測に応答して、基板又は別の基板に関するパターニングプロセスの変数が調整される。
[0052] ある実施形態によれば、プロセスは、基板上のレジスト層の現像を含む。
[0053] ある実施形態によれば、プロセスは、基板のエッチングを含む。
[0054] ある実施形態によれば、方法は、パターニングプロセスのリソグラフィ装置に関係する第1のグループの1つ又は複数の変数からの第1の寄与と、リソグラフィ装置におけるパターンの転写より前、又は後の1つ又は複数の製作プロセスに関係する第2のグループの1つ又は複数の変数からの第2の寄与と、パターンの転写に使用されるパターニングデバイスに関係する第3のグループの1つ又は複数の変数からの第3の寄与とを統合することによって、第1の変数のフィンガープリントを取得することをさらに含む。
[0055] ある実施形態によれば、第1のグループの変数は、リソグラフィ装置による照明、リソグラフィ装置の投影系、リソグラフィ装置の基板ステージの移動の移動標準偏差、基板ステージの移動の移動平均、焦点、ドーズ、帯域幅、露光期間、高周波レーザ帯域幅変化、高周波レーザ波長変化、及び/又は基板の平坦性の1つ又は複数の変数を含む。
[0056] ある実施形態によれば、第2のグループの変数は、スピンコーティング、ポストベーク、現像、エッチング、堆積、ドーピング、及び/又はパッケージングの1つ又は複数の変数を含む。
[0057] ある実施形態によれば、第3のグループの変数は、マスクCD、アシストパターンの形状及び/又は位置、及び/又は解像度向上技術によって適用される調整の1つ又は複数の変数を含む。
[0058] ある実施形態によれば、第1の変数のフィンガープリントと、第1の変数の特定の値との統合は、第1の変数のフィンガープリントと、第1の変数の特定の値とを加算することを含む。
[0059] ある実施形態によれば、第1の変数の特定の値は、基板に関する第1の変数の一群の測定の平均値を求めることによって取得される。
[0060] ある実施形態によれば、第1の変数の特定の値は、第1の変数の設計値である。
[0061] ある実施形態によれば、第2の変数の値の決定時に使用される第1の変数は、第1の変数の計算値を中心とした特定の範囲内に制限された値を有する。
[0062] 本明細書に開示されるのは、パターニングプロセスによって作成されるパターンに関連付けられた変数の基板全体フィンガープリントを取得することと、ハードウェアコンピュータシステムにより、フィンガープリント内の基板位置から選択された変数の値に基づいて、パターンのフィーチャのコンターを予測することと、を含む方法である。
[0063] ある実施形態によれば、予測は、変数の値を用いて、フィーチャの特定の形状の公称コンターを選択することを含む。
[0064] ある実施形態によれば、公称コンターは、シミュレーション又は数学モデルを用いた演算によって取得される。
[0065] ある実施形態によれば、公称コンターは、レジストにおいて期待されるコンターである。
[0066] ある実施形態によれば、予測は、フィーチャの公称コンターのサイズを変更することを含む。
[0067] ある実施形態によれば、サイズの変更は、パターンに関連付けられたさらなる変数の値に基づき、その値は、さらなる変数の基板全体フィンガープリントから同じ基板位置で選択される。
[0068] ある実施形態によれば、さらなる変数の基板全体フィンガープリントは、ポストエッチング状況に対応する。
[0069] ある実施形態によれば、さらなる変数は、クリティカルディメンジョンを含む。
[0070] ある実施形態によれば、変数は、焦点を含む。
[0071] ある実施形態によれば、フィーチャは、決定されたホットスポットである。
[0072] ある実施形態によれば、方法は、予測コンターを用いて、エッジ配置位置又は誤差を決定することをさらに含む。
[0073] ある実施形態によれば、方法は、フィーチャ又は別のフィーチャに欠陥のある可能性が高いか否かを決定するために、予測コンターに対するチェックを用いることをさらに含む。
[0074] ある実施形態によれば、方法は、予測コンターを用いて、数学モデルを較正することをさらに含む。
[0075] ある実施形態によれば、数学モデルは、光近接効果補正モデルを含む。
[0076] 本明細書に開示されるのは、記録された命令を有する非一時的コンピュータ可読媒体を含むコンピュータプログラムであって、命令は、コンピュータによって実行されると、本明細書の方法の何れかを実施する、コンピュータプログラムである。
[0077] ある実施形態によるリソグラフィ装置を模式的に描く。 [0078] プロセス変数のカテゴリ例を示す。 [0079] モデリング可能なプロセス変数の変化、及びモデリングされないプロセス変数の変化の両方が、メトロロジデータに対する寄与を有し得ることを模式的に示す。 [0080] 基板上のCDに対する統合寄与のモデリングの一例を模式的に示し、この寄与は、複数のモデリング可能なプロセス変数の変化のものである。 [0081] 2つの寄与を有するメトロロジデータを模式的に示し、寄与の一方は、1つ又は複数のモデリング可能なプロセス変数の変化によるものであり、寄与の他方は、モデリングされない場合、モデリング可能である場合、又はそれらの混合である場合がある、1つ又は複数の他のプロセス変数の変化によるものである。 [0082] 2つの寄与を有するメトロロジデータを模式的に示し、寄与の一方は、1つ又は複数のモデリングされないプロセス変数の変化によるものであり、寄与の他方は、モデリングされない場合、モデリング可能である場合、又はそれらの混合である場合がある、1つ又は複数の他のプロセス変数の変化によるものである。 [0083] ある実施形態による方法のフローを模式的に示す。 [0084] ある実施形態による方法のフローを模式的に示す。 [0085] サブPWに対するモデリングされないプロセス変数の影響を模式的に示す。 [0085] サブPWに対するモデリングされないプロセス変数の影響を模式的に示す。 [0086] ある実施形態による方法のフローを模式的に示す。 [0087] ある実施形態による方法のフローを模式的に示す。 [0088] ある実施形態による方法のフローを模式的に示す。 [0089] ある実施形態による方法のフローを模式的に示す。 [0090] 例示的なインスペクション装置及びメトロロジ技術を模式的に描く。 [0091] 例示的なインスペクション装置を模式的に描く。 [0092] インスペクション装置の照明スポットと、メトロロジターゲットとの関係を示す。 [0093] 測定データに基づいて、関心のある複数の変数の導出プロセスを模式的に描く。 [0094] ある実施形態による方法のフローを模式的に示す。 [0095] ある実施形態による方法のフローを模式的に示す。 [0096] 寄与/フィンガープリント例及びコンターの選択の一実施形態を模式的に描く。 [0097] 寄与/フィンガープリント例及びコンターの変更の一実施形態を模式的に描く。 [0098] 欠陥分析プロセスの一実施形態を模式的に描く。 [0098] 欠陥分析プロセスの一実施形態を模式的に描く。 [0098] 欠陥分析プロセスの一実施形態を模式的に描く。 [0099] 欠陥分析プロセスの一実施形態を模式的に描く。 [0099] 欠陥分析プロセスの一実施形態を模式的に描く。 [0099] 欠陥分析プロセスの一実施形態を模式的に描く。 [00100] コンピュータシステム例のブロック図である。
[00101] 本文において、ICの製造におけるリソグラフィ装置の使用に対して具体的な言及がなされる場合があるが、本明細書に記載のリソグラフィ装置は、集積光学システム、磁気ドメインメモリ用のガイダンス及び検出パターン、液晶ディスプレイ(LCD)、薄膜磁気ヘッドなどの製造といった他の適用例も有し得ることが理解されるものとする。当業者は、このような代替適用例の文脈において、本明細書の「ウェーハ」又は「ダイ」という用語の使用が、それぞれ、より一般的な用語である「基板」又は「ターゲット部分」と同義であると見なすことができることを認識するだろう。本明細書における基板は、露光の前又は後に、例えばトラック(一般的に、レジストの層を基板に塗布し、及び露光レジストを現像するツール)又はメトロロジ又はインスペクションツールにおいて処理されてもよい。適用可能な場合、本明細書の開示は、上記及び他の基板プロセスツールに適用されてもよい。さらに、基板は、例えば多層ICを生成するために、2回以上処理されてもよく、そのため、本明細書で使用される基板という用語は、複数の処理された層を既に含む基板も指す場合がある。
[00102] 本明細書で使用される「放射」及び「ビーム」という用語は、紫外線(UV)(例えば、365、248、193、157、又は126nmの波長を有する)及び極端紫外線(EUV)(例えば、5〜20nmの範囲の波長を有する)を含むあらゆるタイプの電磁放射、並びにイオンビーム又は電子ビームなどの粒子ビームを包含する。
[00103] 本明細書で使用される「パターニングデバイス」という用語は、例えば基板のターゲット部分にパターンを生成するために、その断面にパターンを持つ放射ビームを付与するために使用することができるデバイスを指すと広く解釈されるものとする。放射ビームに付与されるパターンは、基板のターゲット部分の所望のパターンに正確に一致しない場合があることに留意されたい。一般に、放射ビームに付与されるパターンは、集積回路などのターゲット部分に生成されるデバイス内の特定の機能層に対応する。
[00104] パターニングデバイスは、透過型又は反射型でもよい。パターニングデバイスの例は、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルを含む。マスクは、リソグラフィにおいてよく知られており、バイナリ、レベンソン型(alternating)位相シフト、及びハーフトーン型(attenuated)位相シフトなどのマスクタイプ、並びに様々なハイブリッドマスクタイプを含む。プログラマブルミラーアレイの一例は、小型ミラーのマトリックス配置を採用し、各小型ミラーは、入射する放射ビームを異なる方向に反射するように個々に傾斜させることができ、このようにして、反射されたビームがパターン形成される。
[00105] サポート構造が、パターニングデバイスを保持する。それは、パターニングデバイスの配向、リソグラフィ装置の設計、及び例えばパターニングデバイスが真空環境で保持されるか否かなどの他の条件に応じたやり方で、パターニングデバイスを保持する。サポートは、機械的クランプ、真空、又は例えば真空条件下での静電クランプといった他のクランプ技術を用いることができる。サポート構造は、例えば、必要に応じて固定されてもよく、又は移動可能であってもよく、及び例えば投影系に対して所望の位置にパターニングデバイスがあることを確実にすることができるフレーム又はテーブルでもよい。本明細書における「レチクル」又は「マスク」という用語の使用は、より一般的な用語である「パターニングデバイス」と同義であると見なすことができる。
[00106] 本明細書で使用される「投影系」という用語は、例えば、使用されている露光放射に、又は液浸液の使用又は真空の使用などの他のファクタに応じて適切に、屈折光学系、反射光学系、及び反射屈折光学系を含む様々なタイプの投影系を包含すると広く解釈されるものとする。本明細書における「投影レンズ」という用語の使用は、より一般的な用語である「投影系」と同義であると見なすことができる。
[00107] 照明系は、放射ビームの誘導、整形、又は制御用の屈折、反射、及び反射屈折光学コンポーネントを含む様々なタイプの光学コンポーネントも包含してもよく、このようなコンポーネントは、以下において、まとめて、又は単独で「レンズ」と呼ばれる場合もある。
[00108] 図1は、ある実施形態によるリソグラフィ装置を模式的に描く。この装置は、
−放射(例えば、UV放射又はDUV放射)ビームPBを調節するための照明系(イルミネータ)IL、
−パターニングデバイス(例えばマスク)MAを支持し、且つアイテムPSに対してパターニングデバイスを正確に位置決めするための第1の位置決めデバイスPMに接続されたサポート構造MT、
−基板(例えばレジストコートウェーハ)Wを保持し、且つアイテムPSに対して基板を正確に位置決めするための第2の位置決めデバイスPWに接続された基板テーブル(例えばウェーハテーブル)WT、及び
−パターニングデバイスMAによって放射ビームPBに付与されたパターンを基板Wのターゲット部分C(例えば、1つ又は複数のダイを含む)上に結像するように構成された投影系(例えば屈折投影レンズ)PS、
を含む。
[00109] ここに描かれるように、本装置は、透過型(例えば、透過型マスクを用いる)である。代替的に、本装置は、反射型(例えば、上述のタイプのプログラマブルミラーアレイを用いる)でもよい。
[00110] イルミネータILは、放射源SOから放射ビームを受ける。放射源及びリソグラフィ装置は、例えば放射源がエキシマレーザである場合に、別個のエンティティでもよい。そのような場合には、放射源は、リソグラフィ装置の一部を形成するとは見なされず、及び放射ビームは、例えば適切な誘導ミラー及び/又はビームエキスパンダを含むビームデリバリシステムBDを用いて、放射源SOからイルミネータILへと渡される。他のケースでは、放射源は、例えば放射源が水銀ランプである場合に、装置の一体化部分でもよい。放射源SO及びイルミネータILは、必要であればビームデリバリシステムBDと共に、放射システムと呼ばれる場合がある。
[00111] イルミネータILは、ビームの強度分布を変更することができる。イルミネータは、イルミネータILの瞳面内の環状領域内で強度分布が0でないように放射ビームの半径範囲を限定するように配置されてもよい。追加的又は代替的に、イルミネータILは、瞳面内の複数の等間隔セクタにおいて強度分布が0でないように、瞳面内のビームの分布を限定するように動作可能でもよい。イルミネータILの瞳面内の放射ビームの強度分布は、照明モードと呼ばれる場合がある。
[00112] イルミネータILは、ビームの強度分布を調整するように構成されたアジャスタAMを含んでもよい。一般に、少なくともイルミネータの瞳面内の強度分布の外側及び/又は内側半径範囲(通常、それぞれσ-outer及びσ-innerと呼ばれる)を調整することができる。イルミネータILは、ビームの角度分布を変化させるように動作可能でもよい。例えば、イルミネータは、強度分布が0でない瞳面内のセクタの数及び角度範囲を変更するように動作可能でもよい。イルミネータの瞳面内のビームの強度分布を調整することによって、異なる照明モードが達成されてもよい。例えば、イルミネータILの瞳面内の強度分布の半径及び角度範囲を限定することによって、強度分布は、例えば、ダイポール、四極、又は六極分布などの多極分布を有してもよい。所望の照明モードが、例えば、その照明モードを提供する光学部品をイルミネータILに挿入することによって、又は空間光変調器を用いることによって、得られてもよい。
[00113] イルミネータILは、ビームの偏光を変更するように動作可能でもよく、及びアジャスタAMを用いて偏光を調整するように動作可能でもよい。イルミネータILの瞳面にわたる放射ビームの偏光状態は、偏光モードと呼ばれる場合がある。異なる偏光モードの使用は、基板W上に形成される像において、より大きなコントラストの達成を可能にすることができる。放射ビームは、非偏光でもよい。代替的に、イルミネータは、放射ビームを直線偏光するように配置されてもよい。放射ビームの偏光方向は、イルミネータILの瞳面にわたって異なってもよい。放射の偏光方向は、イルミネータILの瞳面内の異なる領域において異なってもよい。放射の偏光状態は、照明モードに基づいて選択されてもよい。多極照明モードの場合、放射ビームの各極の偏光は、イルミネータILの瞳面内のその極の位置ベクトルに対してほぼ垂直でもよい。例えば、ダイポール照明モードの場合、放射は、ダイポールの2つの対向するセクタを二等分する線に対してほぼ垂直な方向に直線偏光されてもよい。放射ビームは、X偏光状態及びY偏光状態と呼ばれる場合がある2つの異なる直交方向の一方に偏光されてもよい。四極照明モードの場合、各極のセクタにおける放射は、そのセクタを二等分する線に対してほぼ垂直な方向に直線偏光されてもよい。この偏光モードは、XY偏光と呼ばれる場合がある。同様に、六極照明モードの場合、各極のセクタにおける放射は、そのセクタを二等分する線に対してほぼ垂直な方向に直線偏光されてもよい。この偏光モードは、TE偏光と呼ばれる場合がある。
[00114] さらに、イルミネータILは、一般に、インテグレータIN及びコンデンサCOなどの様々な他のコンポーネントを含む。イルミネータは、断面に所望の均一性及び強度分布を持つ、調節された放射ビームPBを提供する。
[00115] 放射ビームPBは、サポート構造MT上に保持されるパターニングデバイス(例えばマスク)MAに入射する。ビームPBは、パターニングデバイスMAを横断した後、ビームの焦点を基板Wのターゲット部分Cに合わせる投影系PSを通過する。第2の位置決めデバイスPW及び位置センサIF(例えば干渉デバイス)を用いて、例えば異なるターゲット部分CをビームPBのパス内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、例えばマスクライブラリの機械検索後に、又はスキャン中に、第1の位置決めデバイスPM及び別の位置センサ(図1では明確に描かれていない)を用いて、ビームPBのパスに対してパターニングデバイスMAを正確に位置決めすることができる。一般に、オブジェクトテーブルMT及びWTの移動は、位置決めデバイスPM及びPWの一部を形成するロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を用いて実現される。但しステッパの場合は(スキャナとは対照的に)、サポート構造MTは、ショートストロークアクチュエータのみに接続されてもよく、又は固定されてもよい。パターニングデバイスMA及び基板Wは、パターニングデバイスアライメントマークM1、M2及び基板アライメントマークP1、P2を用いてアライメントされてもよい。
[00116] 投影系PSは、不均一となり得る(これは、基板W上に結像されるパターンに影響を与え得る)光学伝達関数を有する。非偏光放射の場合、このような影響は、投影系PSを出射する放射の透過(アポディゼーション)及び相対位相(収差)を、それの瞳面内での位置の関数として記述する2つのスカラーマップによって、かなり良く表すことができる。透過マップ及び相対位相マップと呼ばれる場合があるこれらのスカラーマップは、基底関数の完全なセットの線形結合として表現することができる。特に便利なセットは、単位円上で定義される直交多項式のセットを形成するゼルニケ多項式である。各スカラーマップの決定は、そのような展開における係数を決定することを含んでもよい。ゼルニケ多項式は、単位円上で直交するので、ゼルニケ係数は、各ゼルニケ多項式と測定されたスカラーマップの内積を順に演算し、これを、当該ゼルニケ多項式のノルムの二乗で除算することによって決定されてもよい。
[00117] 透過マップ及び相対位相マップは、フィールド及びシステムに依存する。すなわち、一般に、各投影系PSは、各フィールド点に対して(すなわち、それの像面における各空間位置に対して)異なるゼルニケ展開を有する。瞳面内の投影系PLの相対位相は、例えば、投影系PSの対物面(すなわち、パターニングデバイスMAの面)内の点状放射源から投影系PSを通して放射を投影することによって、及びシヤリング干渉計を用いて波面(すなわち、同じ位相を持つ点の軌跡)を測定することによって決定されてもよい。シヤリング干渉計は、共通経路干渉計であり、従って、有利に、波面の測定に二次基準ビームが必要とされない。シヤリング干渉計は、投影系の像面(すなわち、基板テーブルWT)内に回折格子(例えば二次元グリッド)と、投影系PSの瞳面と共役な面内で干渉パターンを検出するように配置された検出器とを含んでもよい。干渉パターンは、シヤリング方向の瞳面内の座標に対する放射の位相の導関数に関連する。検出器は、例えば電荷結合デバイス(CCD)などのセンシング要素のアレイを含んでもよい。
[00118] 回折格子は、投影系PSの座標系の軸(x及びy)と一致してもよい、又はこれらの軸に対して45度などの角度を成していてもよい、2つの直角を成す方向に順次スキャンされてもよい。スキャンは、例えば1格子周期といった整数の格子周期にわたって行われてもよい。スキャンは、一方の方向における位相変動を平均し、他方の方向における位相変動が再構築されることを可能にする。これは、波面が両方向の関数として決定されることを可能にする。
[00119] 瞳面内の投影系PSの透過(アポディゼーション)は、例えば、投影系PSの対物面(すなわち、パターニングデバイスMAの面)内の点状放射源から投影系PSを通して放射を投影することによって、及び検出器を用いて投影系PSの瞳面と共役な面内の放射の強度を測定することによって決定されてもよい。収差を決定するための波面の測定に使用されたのと同じ検出器が使用されてもよい。投影系PSは、複数の光学(例えばレンズ)要素を含んでもよく、及び収差(フィールド全体の瞳面にわたる位相変動)を補正するために光学要素の1つ又は複数を調整するように構成された調整機構PAをさらに含んでもよい。これを達成するために、調整機構PAは、投影系PS内の1つ又は複数の光学(例えばレンズ)要素を1つ又は複数の異なるやり方で操作するように動作可能でもよい。投影系は、光軸がz方向に延びる座標系を有してもよい。調整機構PAは、以下の任意の組み合わせを行うように動作可能でもよい:1つ又は複数の光学要素を変位させること;1つ又は複数の光学要素を傾斜させること;及び/又は1つ又は複数の光学要素を変形させること。光学要素の変位は、任意の方向(x、y、z又はそれらの組み合わせ)のものでもよい。光学要素の傾斜は、一般的に、x又はy方向の軸周りを回転することによって(z軸周りの回転は、非回転対称非球面光学要素に使用されてもよい)、光軸に垂直な面から外れる。光学要素の変形は、低周波形状(例えば非点収差)及び高周波形状(例えば自由形状非球面)の両方を含んでもよい。光学要素の変形は、例えば、1つ又は複数のアクチュエータを用いて光学要素の1つ又は複数の側面に力を及ぼすことによって、及び/又は1つ又は複数の加熱要素を用いて光学要素の1つ又は複数の選択された領域を加熱することによって行われてもよい。一般に、アポディゼーション(瞳面にわたる透過変動)を補正するために投影系PSを調整することが可能ではない場合がある。リソグラフィ装置LA用のパターニングデバイス(例えばマスク)MAを設計する際に、投影系PSの透過マップが使用されてもよい。計算機リソグラフィ技術を用いて、パターニングデバイスMAは、少なくとも部分的にアポディゼーションを補正するように設計されてもよい。
[00120] パターニングプロセスの変数は、「プロセス変数」と呼ばれる。パターニングプロセスは、リソグラフィ装置におけるパターンの実際の転写の上流及び下流のプロセスを含んでもよい。図2は、プロセス変数370のカテゴリ例を示す。第1のカテゴリは、リソグラフィ装置又はリソグラフィプロセスで使用されるその他の装置の変数310でもよい。このカテゴリの例は、リソグラフィ装置の照明、投影系、基板ステージなどの変数を含む。第2のカテゴリは、パターニングプロセスで行われる1つ又は複数のプロシージャの変数320でもよい。このカテゴリの例は、焦点制御又は焦点測定、ドーズ制御又はドーズ測定、帯域幅、露光期間、現像温度、現像に使用される化学組成などを含む。第3のカテゴリは、パターニングデバイスにおける、又はパターニングデバイスを用いた、設計レイアウト及びその実施の変数330でもよい。このカテゴリの例は、アシストフィーチャの形状及び/又は位置、解像度向上技術(RET)によって適用される調整、マスクフィーチャのCDなどを含んでもよい。第4のカテゴリは、基板の変数340でもよい。例は、レジスト層の下の構造の特性、レジスト層の化学組成及び/又は物理的寸法などを含む。第5のカテゴリは、パターニングプロセスの1つ又は複数の変数の時間的変動の特性350でもよい。このカテゴリの例は、高周波ステージ移動の特性(例えば、周波数、振幅など)、高周波レーザ帯域幅変化(例えば、周波数、振幅など)、及び/又は高周波レーザ波長変化を含む。これらの高周波変化又は移動は、基本的な変数(例えばステージ位置、レーザ強度)を調整するための機構の応答時間を超えるものである。第6のカテゴリは、スピンコーティング、ポストベーク(PEB)、現像、エッチング、堆積、ドーピング、及び/又はパッケージングなどのリソグラフィ装置におけるパターン転写の上流又は下流のプロセスの特性360でもよい。
[00121] プロセス変数の一部又は全ての値は、適切な方法によって決定することができる。例えば、これらの値は、様々なメトロロジツール(例えば、基板メトロロジツール)を用いて取得されたデータから決定されてもよい。これらの値は、パターニングプロセスにおける装置の様々なセンサ又はシステム(例えば、リソグラフィ装置のレベリングセンサ又はアライメントセンサなどのセンサ、リソグラフィ装置の制御システム(例えば、基板又はパターニングデバイステーブル制御システム)、トラックツールにおけるセンサなど)から取得されてもよい。これらの値は、パターニングプロセスのオペレータからのものでもよい。
[00122] プロセス変数は、「モデリング可能な」場合、又は「モデリングされない」場合がある。プロセス変数がモデリング可能であるとは、メトロロジデータに対するプロセス変数(例えば、焦点、ドーズなど)の変化の寄与が、計算モデルによって決定可能であること、又は計算モデルによって決定されることを意味する。簡単な例では、モデリング可能なプロセス変数の変化の寄与は、その変化と、モデリング可能なプロセス変数に対するメトロロジデータの感度との積である。メトロロジデータの非限定的例は、クリティカルディメンジョン(CD)、クリティカルディメンジョン均一性(CDU)、側壁角、エッジ位置、オーバーレイ、焦点、パターンシフトなどを含み得る。メトロロジデータは、個々のパターンの特性の値、又はパターングループの特性の統計値(例えば平均)でもよい。プロセス変数がモデリングされないとは、メトロロジデータに対するプロセス変数(例えば、現像、エッチングなど)の変化の寄与が、適切な時期に、又はそれが計算モデルによって、決定することができない又は正確に決定することができないという理由で、計算的にモデリングされないことを意味する。プロセス変数は、それがメトロロジデータにどのように影響を及ぼすかの知識の欠如により、モデリングされない場合がある。例えば、第4のカテゴリの一部のプロセス変数(例えば、レジスト層の組成)、及び第6のカテゴリの一部のプロセス変数(例えば、PEB、現像、エッチング、堆積、又はドーピングの特性)は、メトロロジデータと完全に理解された関係をまだ持たない場合があり、従って、メトロロジデータに対するそれらの変化の寄与は、計算モデリングによって簡単に決定できない。プロセス変数は、その値が知られていないため、モデリングされない場合がある。プロセス変数がモデリングされないとは、計算モデリングのプロセス変数が不可能であることを必ずしも意味しない。プロセス変数は、そのプロセス変数とメトロロジデータとの間の関係がまだ理解されていないため、モデリングされない場合がある。
[00123] 図3Aは、モデリング可能なプロセス変数311の変化が、基板上のパターンのメトロロジデータ352に対する寄与312を有し得ること(例えば、メトロロジデータは、CD、オーバーレイエラー、焦点、ドーズなどを決定することができる)、及びモデリングされないプロセス変数321の変化が、メトロロジデータ352に対する寄与322を有し得ることを模式的に示す。つまり、メトロロジデータ352は、モデリング可能なプロセス変数及びモデリングされないプロセス変数の両方の変化の統合寄与を有し得る。これらの寄与312及び322は、単純に加法でもよく(重み付き加算を含んでもよい)、又は他の関数(例えばコンボリューション)によって統合されてもよい。メトロロジデータ352は、モデリング可能な場合、又はモデリングされない場合がある他の変数の変化の寄与を有してもよい。例えば、モデリング可能なプロセス変数311は、パターンの露光に使用される焦点でもよい。パターンに対する焦点の変化の影響、及び従ってパターンのメトロロジデータは、通常既知であり、モデリングによって予測することができる。モデリングされないプロセス変数321は、基板をエッチングしてパターンを形成するために使用されるエッチングチャンバにおける設定(例えばヒータのセットポイント)でもよい。パターンに対するエッチング設定の変化の影響、及び従ってパターンのメトロロジデータは、まだ完全に分かっていない場合があり、及びその影響は、モデリングによって決定されない場合がある。
[00124] 図3Bは、基板上のCDに対する統合寄与のモデリングの一例を模式的に示し、この寄与は、焦点(F)400、基板の法線方向における基板の移動の移動標準偏差(MSD)410、及び基板と平行な方向における基板の移動の移動標準偏差(MSD)420などの複数のモデリング可能なプロセス変数の変化(例えばエラー)のものである。従って、この例では、焦点(F)400のCDに対する寄与の一例は、寄与430として示され、移動標準偏差(MSD)410のCDに対する寄与の一例は、寄与440として示され、及び移動標準偏差(MSD)420のCDに対する寄与の一例は、寄与440として示される。これらの寄与の各々は、その後、統合される(460)。要素460(及び図の他の要素)がプラス記号を示すが、460での動作は、加算である必要はなく、例えば、それは、乗算、コンボリューションなどでもよい。一例では、統合寄与は、CD(x,y)=a*CD(F)(x,y)+b*CD(MSD)(x,y)+c*CD(MSD)(x,y)+…で表される。ある実施形態では、寄与430、440、450は、それぞれ、焦点(F)400、移動標準偏差(MSD)410、及び移動標準偏差(MSD)420の分布でもよく、その場合、CDモデルを用いて、それらをCD分布に統合する。さらに、ここに示されない交差項(例えばF×MSDの関数としてのCDなど)が存在してもよい。CDの絶対値を得るために、CDの公称値又はシミュレーション値を寄与と統合してもよい。a、b、cなどの係数は、モデリング可能なプロセス変数又はそれらの関数に対するメトロロジデータCDの感度である。MSDは、リソグラフィ装置におけるパターン転写中の基板の位置決めエラーの移動標準偏差(MSD)であり、従って、位置決めエラーの高周波部分を表す。この例では、寄与は、1つの基板にわたるが、ある実施形態では、寄与の1つ又は複数は、ダイ/フィールド毎でもよい(この場合、例えば各事例での適用可能な条件に応じて、基板にわたって繰り返されてもよい)。寄与(又はそれの絶対値への変換)は、それが基板/ダイ/フィールドにわたって空間的に定義可能であるので、フィンガープリントとして特性化されてもよい。
[00125] 図4Aは、メトロロジデータ550が2つの寄与512及び522を有することを模式的に示す。寄与512は、1つ又は複数のモデリング可能なプロセス変数511の変化によるものである。寄与522は、モデリングされない場合、モデリング可能である場合、又はそれらの混合である場合がある、1つ又は複数の他のプロセス変数の変化によるものである。寄与522は、メトロロジデータ550から寄与512を除去することによって取得されてもよい。定義上、寄与512は、1つ又は複数のモデリング可能なプロセス変数511をモデリングすることによって決定されてもよい。寄与512は、1つ又は複数のモデリング可能なプロセス変数511の関数として表されてもよい。この関数は、線形又は非線形でもよい。
[00126] 図4Bは、2つの寄与542及び532を有する前述のメトロロジデータ570を模式的に示す。寄与542は、1つ又は複数のモデリングされないプロセス変数541の変化によるものである。寄与532は、モデリングされない場合、モデリング可能である場合、又はそれらの混合である場合がある、1つ又は複数の他のプロセス変数の変化によるものである。寄与532は、メトロロジデータ570から寄与542を除去することによって取得されてもよい。1つ又は複数のプロセス変数541はモデリング可能ではないが、寄与542が、実験的に、又は経験的に決定されてもよい。
[00127] 1つ又は複数のモデリング可能な変数の寄与は、リソグラフィ装置に特有のものでもよく、すなわち、1つ又は複数のモデリング可能な変数の寄与は、ある特定の実際のリソグラフィ装置に特有である。ある実施形態では、1つ又は複数のモデリング可能な変数の寄与は、ある特定の基板に特有のものではない(従って、複数の基板にわたって使用することができる)。従って、1つ又は複数のモデリング可能な変数の寄与は、予め特性化され、及び後に、様々な統合プロセスのためにデータベースから取得されてもよい。この1つ又は複数のモデリング可能な変数の寄与は、それを、ある特定の基板の1つ又は複数の変数及び感度関係のデータと統合することによって、その特定の基板に適用することができる。1つ又は複数のモデリング可能な変数の寄与は、基板に特有のものでもよい。例えば、寄与は、各基板又は特定の基板グループに関して決定されてもよい。そのような変数の例は、限定されないが、照明、投影系、焦点、ドーズ、帯域幅、露光期間、高周波ステージ移動の特性(例えば、基板ステージの移動の移動標準偏差(MSD)、基板ステージの移動の移動平均など)、高周波レーザ帯域幅変化(例えば、周波数、振幅など)、高周波レーザ波長変化、及び/又は基板の平坦性を含み得る。
[00128] 1つ又は複数のモデリング可能な変数の寄与は、パターン又はパターニングデバイスに特有のものでもよく、すなわち、寄与は、ある特定の実際のパターニングデバイス又はパターニングデバイスによって提供される特定のパターンに特有である。この寄与は、さらに基板に依存していなくてもよい。従って、パターン又はパターニングデバイスに特有の寄与は、予め特性化され、及び後に、様々な統合プロセスのためにデータベースから取得されてもよい。このような変数の例は、限定されないが、マスクCD、アシストフィーチャの形状及び/又は位置、解像度向上技術(RET)によって適用される調整などを含み得る。
[00129] 1つ又は複数のモデリングされない変数の寄与は、基板に依存していなくてもよく、又は基板に依存していてもよい。ある実施形態では、1つ又は複数のモデリングされない変数の寄与は、各基板にわたって繰り返し使用されてもよい。従って、1つ又は複数のモデリングされない変数の寄与は、予め特性化され、及び様々な統合プロセスのためにデータベースから取得されてもよい。1つ又は複数のモデリングされない変数の寄与は、装置(全体として、又は装置の特定の部分(例えばエッチングチャンバ))に特有でもよい。このような変数の例は、限定されないが、スピンコーティング、PEB、現像、エッチング、堆積、ドーピング、及び/又はパッケージングなどのリソグラフィ装置におけるパターン転写の上流又は下流のプロセスの様々な特性を含み得る。
[00130] 異なるプロセス変数の変化の寄与は、基板にわたり、異なる空間均一性を有していてもよい。例えば、1つ又は複数のモデリングされないプロセス変数の変化の寄与は、基板全体にわたり基本的に均一となり得るが、1つ又は複数のモデリング可能なプロセス変数の変化の寄与は、基板全体にわたり、あまり均一ではない場合がある。この差は、プロセス変数の異なる性質に起因し得る。例えば、レジスト層、レジスト層の現像、及び/又は基板のエッチングに関連した1つ又は複数のプロセス変数の変化の寄与は、基板全体が、通常、同時に、且つ同じ条件下で、レジスト層でコーティングされ、現像され、又はエッチングされるので、基本的に均一となる傾向があり、又は多くのこれらのプロセスの間、基板の回転により、回転対称となる傾向がある。例えば、露光又はリソグラフィ装置に関連した1つ又は複数のプロセス変数の変化の寄与は、露光が、ダイ毎に行われる傾向があるので、均一性が低い傾向にあり、及び1つ又は複数のプロセス変数は、あるダイの露光と、別のダイの露光とで変化し得る。従って、基板にわたり基本的に均一でない寄与を、あるパターンのメトロロジデータから除去することができれば、基板にわたり基本的に均一な寄与を、このパターン及び基板上の他の位置のパターンのメトロロジデータから取得することができる。
[00131] 従って、ある特定の例では、レベリング情報、基板の移動の移動平均(MA)、MSDxyz、ドーズ、レーザ帯域幅、瞳形状などのデータを、ウェーハ上の露光される各点に関して、リソグラフィ装置から収集することができる。このデータは、一般的に、リソグラフィ装置において、例えば診断ファイルにおいて、既に利用可能である。このデータから、上記の寄与(これは、寄与が基板にわたり空間的に分布される仮想基板又は基板フィンガープリントとしても表現することができる)は、関心のあるフィーチャのCDモデル及びCDU感度(CDは、評価が望まれるメトロロジ変数である)を用いて生成することができる。感度は、シミュレーションから、又は実験から取得することができる。このように生成された寄与は、トラック及びレジストモデル較正が完璧であると仮定して、レジスト現像後の測定中に見られるはずのものである。例えば現像後、又はエッチング後に基板が測定されると、寄与(例えば、仮想基板又は仮想フィンガープリント)が、同等な測定(例えばCDU測定)から除去される。残りの寄与(例えばフィンガープリント)は、この時点で、プリ又はポストパターン転写プロセス(例えば、一般にフィールド間であるトラック及び/又はエッチング装置による)及びパターニングデバイス(フィールド内)による寄与である。リソグラフィ装置エラーは、測定されたデータから補正済みであるだろう。同様に、パターニングデバイスの寄与が測定され、且つその寄与が除去されて、プリ又はポストパターン転写プロセスによる寄与を残してもよい。
[00132] 従って、寄与は、プロセスフロー(例えば、使用されるパターニングパラメータ及び/又は装置の組み合わせ)毎に、又は特定の装置又はそれの一部(例えば、エッチング装置又はエッチングチャンバ)毎に除去することができる。フィールド内フィンガープリントの場合、リソグラフィ装置の外乱及び/又はそれの寄与に表されるシステマティクスから一掃されると、パターニングデバイス対基板測定のはるかに優れた相関を得ることが可能となるはずである。
[00133] さらに、例えばリソグラフィ装置の寄与の除去は、フィーチャ間デルタフィンガープリントの大幅な減少をもたらすことができる。これは、あるフィーチャに関して測定されたフィンガープリントが、別のフィーチャの欠陥予測に使用される場合に有用となり得る(例えば、これは、パターンフィーチャ自体が測定されない、又は測定されなくてもよいパターンフィーチャの欠陥を予測するために測定を使用することができるように、機能デバイスフィーチャではなくターゲットフィーチャ(例えば格子)の測定が行われる場合に有用となる)。
[00134] 従って、例えばリソグラフィ装置の寄与の除去は、測定結果のノイズを減少させ(リソグラフィ装置のばらつきが補正されるため)、非リソグラフィ装置のフィンガープリントの測定をより正確にし、及び/又は原因が解明されていないリソグラフィ装置のフィンガープリントのトラブルシューティングに対して測定をより適するようにすることができる。
[00135] 図5は、ある実施形態による方法のフローを模式的に示す。第1のグループの1つ又は複数のプロセス変数の変化の寄与612が、基板上の第1のパターンのメトロロジデータ650から除去され、その結果、メトロロジデータ650に対する第2のグループの1つ又は複数のプロセス変数の変化の寄与622を取得し、例えば、寄与622は、寄与612よりも高い空間均一性を有する。同じ又は異なる基板上の第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与642は、第2のパターンからメトロロジデータを実際に取得する必要なく、寄与622に基づいて取得することができる。例えば、寄与642は、第2のグループの1つ又は複数のプロセス変数の変化が、メトロロジデータに対する高い空間均一性を持つ寄与を生じさせるので、寄与622と同じであると推定されてもよい。任意選択的なプロシージャ660では、第2のパターンに計測を行うことなく、寄与642に基づいて、第1のグループの1つ又は複数のプロセス変数の内の1つ又は複数のプロセス変数を調整することによって、第2のパターンにおける欠陥の確率を減少させることができる。一例では、第1のパターンは、テスト目的のパターンでもよく(すなわちターゲット)、及び第1のパターンに計測を行うことは、基板上の機能パターンに影響を与えず、第2のパターンは、1つ又は複数の機能パターンであり、及び第2のパターンに計測を行うことは、従って、それに悪影響を与える場合があり、回避されるべきである。図5のこのフローは、第2のパターンに計測を行うことなく、少なくともあるプロセス変数(すなわち、ここでは第2のグループ)による第2のパターンへの影響を取得する方法を提供する。一例では、第1のグループの1つ又は複数のプロセス変数は、焦点、ドーズ、基板の位置決め、及び/又は基板の露光中のリソグラフィ装置の他の変数を含んでもよい。第1のパターン及び第2のパターンは同時に露光されない場合があるので、これらの変数(例えば、それらの値)は、第1のパターン及び第2のパターンによって異なり得る。第2のグループの1つ又は複数のプロセス変数は、基板全体にわたり実質的に均一な、PEB温度及び/又は期間、デベロッパの濃度及び/又は期間、エッチング速度などを含み得る。第2のグループの1つ又は複数のプロセス変数は、基板全体に適用されるので(例えば、基板全体が同じベーキング及び現像条件を課される)、第1のパターン及び第2のパターンに対する影響は、類似する傾向がある。
[00136] 図6は、ある実施形態による方法のフローを模式的に示す。第1のグループの1つ又は複数のプロセス変数の変化の寄与612が、基板上の第1のパターンのメトロロジデータ650から除去され、その結果、メトロロジデータ650に対する第2のグループの1つ又は複数のプロセス変数の変化の寄与622を取得し、寄与622は、例えば、寄与612よりも高い空間均一性を有する。基板上の第2のパターンのメトロロジデータ655に対する第2のグループの1つ又は複数のプロセス変数の変化の寄与642は、寄与622に基づいて取得することができる。例えば、寄与642は、プロセス変数の1つ又は複数の第2のグループの変化が、メトロロジデータに対する高い空間均一性を持つ寄与を生じさせるので、寄与622と同じであると推定されてもよい。プロセス変数の1つ又は複数の第2のグループの変化の寄与642は、次に、メトロロジデータ655(例えば、第1及び第2のグループのプロセス変数に関与するプロセス後に実際に測定された第2のパターンのデータ)から除去され、その結果、第2のパターンにおけるプロセス変数の1つ又は複数の第1のグループの値を実際に取得することなく、メトロロジデータ655に対するプロセス変数の1つ又は複数の第1のグループの変化の寄与632を取得することができる。プロシージャ670では、寄与632、寄与642、又はそれらの統合に基づいて、プロセス変数の1つ又は複数の第1のグループの変数の1つ又は複数を調整することによって、第2のパターンにおける欠陥の確率を減少させてもよい。図6のこのフローは、第2のパターンに関するメトロロジデータが利用可能である場合の寄与642の推定の別の利用である。一例では、プロセス変数の1つ又は複数の第1のグループは、焦点、ドーズ、基板の位置決め、及び/又は基板の露光中のリソグラフィ装置の他の変数を含んでもよく、プロセス変数の1つ又は複数の第2のグループは、例えば基板全体にわたり実質的に均一な、PEB温度及び/又は期間、デベロッパの濃度及び/又は期間、エッチング速度などを含んでもよい。
[00137] パターニングデバイス上の、又はパターニングデバイスによって提供される様々なパターンは、異なるプロセスウィンドウ、すなわち、仕様の範囲内でパターンが生成されるプロセス変数の空間を有していてもよい。潜在的システマティック欠陥に関連するパターン仕様の例は、ネッキング、ラインプルバック、ライン細線化、CD、エッジ配置、オーバーラッピング、レジストトップロス、レジストアンダーカット、及び/又はブリッジングを含む。パターニングデバイス又はそれの一領域上の全てのパターンのプロセスウィンドウは、各個々のパターンのプロセスウィンドウを併合(例えば、オーバーラッピング)することによって取得されてもよい。全パターンのプロセスウィンドウの境界は、個々のパターンの一部のプロセスウィンドウの境界を含む。つまり、これらの個々のパターンは、全パターンのプロセスウィンドウを限定する。これらのパターンは、本明細書では代替可能に使用される「ホットスポット」又は「プロセスウィンドウ限定パターン(PWLP)」と呼ばれる場合がある。パターニングプロセスを制御する際に、ホットスポットに注目することが可能且つ経済的である。ホットスポットに欠陥がなければ、全パターンに欠陥がない可能性が最も高い。
[00138] 数学的観点から、プロセスウィンドウは、全てのプロセス変数が及ぶベクトル空間内の領域である。あるパターニングプロセスにおいて、パターンのプロセスウィンドウは、そのパターンの仕様及びパターニングプロセスに関与する物理特性によってのみ定められる。つまり、仕様及び物理特性がパターニングプロセス中に変化しなければ、プロセスウィンドウは変化しない。
[00139] しかしながら、プロセス変数の一部は、モデリングされない場合があり、従って、1つ又は複数のパターンの仕様が定めるこれらのモデリングされないプロセス変数の値の境界は、モデリングによって得られない場合がある。従って、プロセスウィンドウとして、全プロセス変数が及ぶベクトル空間内の領域を使用することは、便利ではない場合がある。全プロセス変数(「フルPW」)が及ぶ領域の代わりに、部分空間の領域(すなわち全プロセス変数より少ないプロセス変数が及ぶ空間)(「サブPW」)が使用されてもよい。例えば、多くのプロセス変数を有するパターニングプロセスでは、焦点及びドーズが及ぶ部分空間の領域がサブPWとして使用されてもよい。部分空間の範囲に及ぶプロセス変数は、全てモデリング可能でもよい。サブPWの範囲に及ばないこれらのプロセス変数が、1つ又は複数のモデリングされないプロセス変数を含む場合、サブPWの範囲に及ぶプロセス変数の値が、1つ又は複数のモデリングされないプロセス変数のあらゆる可能性のある値において、仕様の範囲内でパターンを作る値である必要があるので、サブPWは、小さくなり得る。一例では、サブPWの範囲に及ばないプロセス変数は、レジスト層の下の構造の特性、レジスト層の化学組成及び/又は物理的寸法、及び/又はスピンコーティング、PEB、現像、エッチング、堆積、ドーピング、及び/又はパッケージングなどのリソグラフィ装置におけるパターン転写の上流又は下流の1つ又は複数のプロセスの特性などの、1つ又は複数のモデリングされないプロセス変数を含んでもよい。このような変数はモデリングされないので、メトロロジデータに対するそれの影響は、決定が難しい。
[00140] 図7A及び図7Bは、サブPWに対する1つ又は複数のモデリングされないプロセス変数の影響を模式的に示す。便宜上、図7Aでは、PWは、実際には他の次元を有し得るが、三次元(例えば、焦点(f)、ドーズ(d)、及びモデリングされない別のプロセス変数X)空間の領域として描かれる。プロセス変数の内の2つ(焦点(f)及びドーズ(d))が及ぶサブPWは、PWの断面(例えば断面701〜705)として示される。図7Bは、Xの可能値が断面701と705との間である場合に、f及びdが及ぶサブPWは、断面701と705との間の全断面の重複部分である網掛け部分である(なぜなら、網掛け部分内のf及びdの値は、断面701と705との間のあらゆるXの値において、パターンが仕様の範囲内であることを保証するからである)ことを模式的に示す。モデリングされないプロセス変数Xの例は、レジスト層の下の構造の特性、レジスト層の化学組成及び/又は物理的寸法、及び/又はスピンコーティング、PEB、現像、エッチング、堆積、ドーピング、及び/又はパッケージングなどのリソグラフィ装置におけるパターン転写の上流又は下流の1つ又は複数のプロセスの特性を含み得る。
[00141] 図8は、ある実施形態による方法のフローを模式的に示す。プロセス変数の1つ又は複数の第1のグループの変化の寄与812が、第1のパターンのメトロロジデータ850から除去され、その結果、メトロロジデータ850に対するプロセス変数の1つ又は複数の第2のグループの変化の寄与822を取得する。第1のグループは、全てのモデリング可能なプロセス変数を含み、第2のグループは、全てのモデリングされないプロセス変数を含む。基板上の第2のパターンのメトロロジデータに対するプロセス変数の1つ又は複数の第2のグループの変化の寄与842は、寄与822に基づいて取得することができる。第2のパターンのメトロロジデータは、寄与842を取得するために利用可能である必要はない。例えば、寄与842は、プロセス変数の1つ又は複数の第2のグループの変化が、メトロロジデータに対する高い空間均一性を持つ寄与を生じさせる場合、寄与822と同じであると推定されてもよい。プロシージャ870では、第2のパターンに関する第1のグループのプロセス変数が及ぶサブPWが、寄与842に基づいて取得される。任意選択的なプロシージャ880では、第1のグループのプロセス変数のプロセス変数の内の1つ又は複数の値が、サブPW(及び任意選択的に寄与812と共に)に基づいて、第2のパターンにおける欠陥の確率を減少させるように調整されてもよい。サブPWは、第1のグループのプロセス変数の値に基づいて、第2のパターンに欠陥が存在するか否かを決定するために使用されてもよい。第2のグループのプロセス変数の例は、レジスト層の下の構造の特性、レジスト層の化学組成及び/又は物理的寸法、及び/又はスピンコーティング、PEB、現像、エッチング、堆積、ドーピング、及び/又はパッケージングなどのリソグラフィ装置におけるパターン転写の上流又は下流の1つ又は複数のプロセスの特性を含み得る。第1のグループのプロセス変数の例は、リソグラフィ装置の照明、投影系、基板ステージなど、焦点、ドーズ、帯域幅、露光期間、アシストフィーチャの形状及び/又は位置、解像度向上技術(RET)によって適用される調整、高周波ステージ移動の特性(例えば、周波数、振幅など)、高周波レーザ帯域幅変化(例えば、周波数、振幅など)、及び/又は高周波レーザ波長変化の変数を含み得る。
[00142] 図9は、ある実施形態による方法のフローを模式的に示す。例えばホットスポットのメトロロジデータに対する、第1のグループの1つ又は複数のモデリング可能なプロセス変数911の変化の寄与912は、例えばモデリングによって決定される。第2のグループの1つ又は複数のモデリングされないプロセス変数921の変化の寄与922が取得される。寄与922は、実験によって取得されてもよく、及びデータベースから取得されてもよい。寄与922は、例えば、図6の寄与642を取得するフローを用いて取得されてもよい(つまり、寄与922は、ホットスポットとは異なるパターンのメトロロジデータに対する、第2のグループの1つ又は複数のプロセス変数の変化の寄与に基づいて取得されてもよい)。寄与912は、基板毎に決定されてもよいが、寄与922は、多数の基板にわたって繰り返し使用されてもよい。寄与912及び寄与922を統合して、実際にホットスポットに計測を行うことなく、ホットスポットのメトロロジデータの推定値950が取得される。プロシージャ970では、メトロロジデータの推定値に基づいて、ホットスポットに欠陥があるか否かが決定される。第2のグループのプロセス変数の例は、レジスト層の下の構造の特性、レジスト層の化学組成及び/又は物理的寸法、及び/又はスピンコーティング、PEB、現像、エッチング、堆積、ドーピング、及び/又はパッケージングなどのリソグラフィ装置におけるパターン転写の上流又は下流の1つ又は複数のプロセスの特性を含み得る。第1のグループのプロセス変数の例は、リソグラフィ装置の照明、投影系、基板ステージなど、焦点、ドーズ、帯域幅、露光期間、アシストフィーチャの形状及び/又は位置、解像度向上技術(RET)によって適用される調整、高周波ステージ移動の特性(例えば、周波数、振幅など)、高周波レーザ帯域幅変化(例えば、周波数、振幅など)、及び/又は高周波レーザ波長変化の変数を含み得る。
[00143] 図10は、ある実施形態による方法のフローを模式的に示す。第1のグループの1つ又は複数のプロセス変数の変化の寄与1012が、あるパターンのメトロロジデータ1050から除去され、その結果、そのパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与1022を取得する。第1のグループの1つ又は複数のプロセス変数は、少なくとも全てのモデリングされないプロセス変数を含むが、モデリング可能なプロセス変数を含んでも、又は含まなくてもよい。第2のグループの1つ又は複数のプロセス変数の全てのプロセス変数は、モデリング可能である。プロシージャ1070では、メトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を決定するためのモデルの1つ又は複数のパラメータが、寄与1022に対してフィッティングされ、その結果、モデルの1つ又は複数のパラメータの値1080を取得する。モデリングされないプロセス変数の例は、レジスト層の下の構造の特性、レジスト層の化学組成及び/又は物理的寸法、及び/又はスピンコーティング、PEB、現像、エッチング、堆積、ドーピング、及び/又はパッケージングなどのリソグラフィ装置におけるパターン転写の上流又は下流の1つ又は複数のプロセスの特性を含み得る。モデリング可能なプロセス変数の例は、リソグラフィ装置の照明、投影系、基板ステージなど、焦点、ドーズ、帯域幅、露光期間、アシストフィーチャの形状及び/又は位置、解像度向上技術(RET)によって適用される調整、高周波ステージ移動の特性(例えば、周波数、振幅など)、高周波レーザ帯域幅変化(例えば、周波数、振幅など)、及び/又は高周波レーザ波長変化の変数を含み得る。
[00144] 従って、一例として、プロセス及び/又は基板スタックにおける変化に適応するためのモデル及びそのモデルで表現される感度を有することが望ましい。従って、ある実施形態では、測定される各基板の予測CDU(又はオーバーレイ)基板フィンガープリントをリソグラフィ装置から生成することができる。このために、前もって(例えばオリジナルのモデル較正中に)確立されたCDモデル、例えば、上記のようなCD=a1*D+a2*F+a3*MSDx+a4*MSDy+a5*MSDz+…を使用することができる。基板の測定時に、プリ及び/又はポストプロセス(例えばトラック/エッチング)フィンガープリント及びパターニングデバイスフィンガープリントを測定されたCDから除去することができる。残りのCDUフィンガープリント測定を予測されたCD値と比較することができる。この状況では、この時点で、CD、ドーズ、焦点、MSD−xyz、収差などが既知である方程式の一セット(測定点と同数の方程式)が存在する。この方程式のセットは、望まれる感度(a1、a2、・・)について解くことができる。これが、ある特定の製品に関して測定された多数の基板に対して行われる場合、望まれるCD感度を再推定するための多くのデータが存在する。複数のリソグラフィ装置からのデータが使用され、及び/又は焦点露光マトリックスの露光からのデータが同じように使用される場合、入力信号における十分なバリエーション(例えば、焦点、ドーズ、MSD、CDなど)が、感度の正確な推定を可能にすることができる。さらに、この技術は、焦点深度、露光寛容度などの他のメトロロジデータに適用することができる。
[00145] ある実施形態では、さらなる精度を付け足すために、トレーニングアルゴリズムが使用されてもよい。例えば、収差の感度を解く際に、収差がスリット上でのみ変動し、及びこれらの感度をフィッティングする前にCD(又はオーバーレイ)データをプリフィルタリングすることができるという境界条件が考慮に入れられてもよい。
[00146] ある実施形態では、感度は、それらを時々又は継続的に再評価することによって、パターニングプロセスにおける変動に対してロバストになることができる。
[00147] 図11は、ある実施形態による方法のフローを模式的に示す。あるパターンのメトロロジデータに対するモデリング可能なプロセス変数の変化の寄与1112、及びメトロロジデータに対するモデリングされないプロセス変数の変化の寄与1122が、統合され、その結果、メトロロジデータの推定値1150を取得する。プロシージャ1160では、メトロロジデータの推定値1150が基準を満たすか否かが決定される。推定値1150が基準を満たさない場合、プロシージャ1170において、モデリングされないプロセス変数と寄与1122との間の関係に調整が行われる。例えば、モデリングされないプロセス変数がレジストのコーティングプロセスの特性を含む場合、関係の調整は、レジストの化学組成の変更を含んでもよい。例えば、モデリングされないプロセス変数がレジスト現像の特性を含む場合、関係の調整は、現像で使用される化学薬品の変更を含んでもよい。例えば、モデリングされないプロセス変数が基板のエッチングの特性を含む場合、関係の調整は、エッチング装置の変更を含んでもよい。このフローを用いて、エッチング装置の特性及び基板の特性に基づいて、幾つかのエッチング装置の中から選択することができる。例えば、この関係は、エッチング装置毎に確立することができる。
[00148] ある実施形態では、エッチングチャンバ間の性能の適切な一致を保証する限られた可能性及び経時的な性能ドリフトが存在することを考えれば、CD(又は他の変数)のエッチング後の性能は、ツール毎、チャンバ毎に変動し得る。これは、歩留まり損失を生じさせる可能性がある。従って、エッチング後のCDを測定して、プロセスフィンガープリントを取得することができる。次に、このプロセスフィンガープリントを用いて、エッチング前に利用可能な情報に基づいて、エッチング後の性能がどのようになるかを予測することができる。現像後及びエッチング後の両方でCDが測定される場合、純エッチングフィンガープリント(LEB)を導出することができる。LEBフィンガープリントが、エッチャ及び/又はエッチングチャンバ毎に決定される場合、それらを用いて、エッチャ/エッチングチャンバ毎に、エッチング後のCD性能及びエッチング後の欠陥確率を予測することができる。次に、基板(例えば、多数の基板)を処理するためにどのエッチングツール/エッチングチャンバを使用するかを、最小欠陥確率に基づいて、前もって選択することができる。
[00149] 図12は、インスペクション装置例(例えばスキャトロメータ)を描く。それは、放射を基板W上に投影する広帯域(白色光)放射プロジェクタ2を含む。再誘導された放射は、例えば左下のグラフに示されるような鏡面反射放射のスペクトル10(波長の関数としての強度)を測定するスペクトロメータ検出器4に渡される。このデータから、検出されたスペクトルを生じさせる構造又はプロファイルが、プロセッサPUによって、例えば、厳密結合波分析及び非線形回帰によって、又は図12の右下に示されるようなシミュレーションスペクトルのライブラリとの比較によって、再構築されてもよい。一般に、再構築のためには、構造の一般形態が既知であり、且つ幾つかの変数が、構造が作られたプロセスの知識から推測され、測定データから決定される、構造の数個の変数のみが残されている。このようなインスペクション装置は、法線入射インスペクション装置又は斜め入射インスペクション装置として構成されてもよい。
[00150] 使用することができる別のインスペクション装置が、図13に示される。このデバイスでは、放射源2によって放出された放射は、レンズ系12を用いてコリメートされ、干渉フィルタ13及びポラライザ17を透過し、部分反射面16によって反射され、及び望ましくは少なくとも0.9又は少なくとも0.95の高開口数(NA)を有する対物レンズ15を介して基板W上のスポットSに焦点が合わせられる。液浸インスペクション装置(水などの比較的高い屈折率の流体を用いる)は、1を超える開口数を有してもよい。
[00151] リソグラフィ装置LAなどの場合、測定動作中に基板Wを保持するために、1つ又は複数の基板テーブルが設けられてもよい。基板テーブルは、図1の基板テーブルWTと形態が類似してもよく、又は同一でもよい。インスペクション装置がリソグラフィ装置と一体化されるある例では、それらは、同じ基板テーブルでもよい。測定光学系に関連して基板を正確に位置決めするように構成された第2のポジショナPWに粗動及び微動ポジショナが提供されてもよい。例えば関心のあるターゲットの位置を獲得して、且つそれを対物レンズ15の下の位置に至らせるために、様々なセンサ及びアクチュエータが設けられてもよい。一般的に、基板Wにわたる異なる位置で、ターゲットに関して多くの測定が行われる。基板サポートは、異なるターゲットを獲得するためにX及びY方向に、及び光学系の焦点に対するターゲットの所望の位置を取得するためにZ方向に移動させることができる。例えば、実際には光学系が実質的に静止したままで(一般的にX及びY方向において、但し、場合によってはZ方向においても)、且つ基板のみが移動する場合に、対物レンズが基板に対して異なる場所に移動させられるかのように考えること、及び動作を表現することが便利である。基板及び光学系の相対位置が正しいと仮定すると、原理上、現実の世界においてそれらのどちらが移動しているか、又は両方が移動しているか、又は光学系の一部が、光学系の残りの部分が静止した状態で移動し(例えば、Z及び/又は傾斜方向に)、及び基板が移動する(例えば、X及びY方向に、但し任意選択にZ及び/又は傾斜方向にも)という組み合わせかは重要ではない。
[00152] 基板Wによって再誘導された放射は、次に、検出されたスペクトルを有するために検出器18へと部分反射面16を通過する。検出器18は、後方投影焦点面11に(すなわちレンズ系15の焦点距離に)位置してもよく、又は面11は、補助光学部品(不図示)を用いて、検出器18上に再結像されてもよい。検出器は、基板ターゲット30の二次元角散乱スペクトルを測定することができるように、二次元検出器でもよい。検出器18は、例えばCCD又はCMOSセンサのアレイでもよく、及び例えば1フレームにつき40ミリ秒の積分時間を用いてもよい。
[00153] 例えば入射放射の強度を測定するために、基準ビームが使用されてもよい。これを行うために、放射ビームが部分反射面16に入射すると、それの一部が、基準ビームとして基準ミラー14に向けて部分反射面16を透過する。次に基準ビームが、同じ検出器18の異なる部分、又は代替的に異なる検出器(不図示)上に投影される。
[00154] 例えば405〜790nm、又はさらに低い200〜300nmなどの範囲内の関心のある波長を選択するために、1つ又は複数の干渉フィルタ13が利用可能である。干渉フィルタは、異なるフィルタの一セットを含むのではなく、調節可能であってもよい。干渉フィルタの代わりに、格子が使用されてもよい。ターゲットに対する放射の入射角の範囲を制御するために、開口絞り又は空間光変調器(不図示)が照明パス内に設けられてもよい。
[00155] 検出器18は、単一波長(又は狭い波長範囲)の再誘導放射の強度、複数の波長で別々の、又はある波長範囲にわたって積分された強度を測定してもよい。さらに、検出器は、TM(transverse magnetic)及びTE(transverse electric)偏光放射の強度、及び/又はTM偏光放射とTE偏光放射との間の位相差を別々に測定してもよい。
[00156] 基板W上のターゲット30は、現像後にバーが固体レジストラインから形成されるように印刷された1D格子でもよい。ターゲット30は、現像後に格子が固体レジストピラー又はレジストにおけるビアから形成されるように印刷される2D格子でもよい。バー、ピラー、又はビアは、基板内に又は基板上で(例えば、基板上の1つ又は複数の層内に)エッチングされてもよい。(例えば、バー、ピラー、又はビアの)パターンは、パターニングプロセスにおけるプロセスの変化(例えば、リソグラフィ投影装置(特に投影系PS)における光学収差、焦点変化、ドーズ変化など)に敏感であり、及び印刷格子の変動として現れる。従って、印刷格子の測定データを用いて、格子が再構築される。ライン幅及び/又は形状などの1D格子の1つ又は複数のパラメータ、又はピラー又はビアの幅、長さ、又は形状などの2D格子の1つ又は複数のパラメータが、印刷ステップ及び/又は他のインスペクションプロセスの知識から、プロセッサPUによって行われる再構築プロセスに入力されてもよい。
[00157] 再構築によるパラメータの測定に加えて、角度分解スキャトロメトリが、製品及び/又はレジストパターンにおけるフィーチャの非対称性の測定に有用である。非対称性測定のある特定の適用は、ターゲット30が互いに重畳された周期的フィーチャの一セットを含むオーバーレイの測定に関するものである。図12又は図13の機器を用いた非対称性測定の概念は、例えば本明細書にその全体が援用される米国特許出願公開第2006−066855号に記載されている。簡単に言うと、ターゲットの回折スペクトルの回折次数の位置が、ターゲットの周期性によってのみ決定されるが、回折スペクトルの非対称性は、ターゲットを構成する個々のフィーチャにおける非対称性を示す。検出器18がイメージセンサでもよい図13の機器では、回折次数におけるこのような非対称性が、検出器18によって記録される瞳像における非対称性として直接現れる。この非対称性は、ユニットPUにおけるデジタル画像処理によって測定され、及びオーバーレイの既知の値に対して較正されてもよい。
[00158] 図14は、一般的なターゲット30の平面図及び図13の装置の照明スポットSの範囲を示す。周囲の構造の干渉を受けない回折スペクトルを取得するためには、ターゲット30は、ある実施形態では、照明スポットSの幅(例えば直径)よりも大きい周期的構造(例えば格子)である。スポットSの幅は、ターゲットの幅及び長さよりも小さくてもよい。つまり、ターゲットは、照明によって「不十分に満たされ」、及び回折信号は、基本的に、ターゲット自体の外側で、製品のフィーチャなどからの信号を含まない。照明配置2、12、13、17は、対物系15の後方焦点面にわたり均一な強度の照明を提供するように構成されてもよい。代替的に、例えば照明パスに開口を含むことによって、照明は、オンアクシス又はオフアクシス方向に制限されてもよい。
[00159] 図15は、メトロロジを用いて取得された測定データに基づいて、ターゲットパターン30’の関心のある1つ又は複数の変数の値の決定のプロセス例を模式的に描く。検出器18によって検出された放射は、ターゲット30’の測定放射分布108を提供する。
[00160] あるターゲット30’に関して、放射分布208は、例えば数値マクスウェル解法210を用いて、パラメータ化モデル206から計算/シミュレーションすることができる。パラメータ化モデル206は、ターゲットを構成する、及びターゲットに関連する様々な材料の層の例を示す。パラメータ化モデル206は、変動し得る、及び導出され得る検討中のターゲット部分のフィーチャ及び層に関する変数の1つ又は複数を含んでもよい。図15に示されるように、変数の1つ又は複数は、1つ又は複数の層の厚さt、1つ又は複数のフィーチャの幅w(例えばCD)、1つ又は複数のフィーチャの高さh、及び/又は1つ又は複数のフィーチャの側壁角αを含んでもよい。図示されないが、変数の1つ又は複数は、限定されないが、層の1つ又は複数の屈折率(例えば、実又は複素屈折率、屈折率テンソルなど)、1つ又は複数の層の吸光係数、1つ又は複数の層の吸収、現像中のレジスト損失、1つ又は複数のフィーチャのフッティング、及び/又は1つ又は複数のフィーチャのラインエッジラフネスをさらに含んでもよい。変数の初期値は、測定されているターゲットに期待されるものでもよい。測定放射分布108は、次に、212において、計算放射分布208と比較されて、二者間の差を決定する。差があれば、パラメータ化モデル206の変数の1つ又は複数の値の変動の可能性があり、測定放射分布108と計算放射分布208との間に十分な一致が存在するまで、新しい計算放射分布208が演算され、及び測定放射分布108と比較されてもよい。その時点で、パラメータ化モデル206の変数の値は、実際のターゲット30’のジオメトリの良好な又は最適の一致を提供する。ある実施形態では、測定放射分布108と計算放射分布208との間の差が許容閾値の範囲内である時に、十分な一致が存在する。
[00161] パラメータ化モデルの変数の1つ又は複数が、1つ又は複数の他の変数よりも、計算放射分布に対してより強い影響を持ち得る。例えば、1つ又は複数の変数の小さな変化率は、計算放射分布に大きな差をもたらし得る。このような変数は、強い変数と呼ばれる場合がある。強い変数は、限定されないが、フィーチャのクリティカルディメンジョンを含み得る。一方、1つ又は複数の他の変数の大きな変化率は、計算放射分布に小さな差をもたらし得る。このような変数は、弱い変数と呼ばれる場合がある。弱い変数は、限定されないが、フィーチャの側壁角、フィーチャの高さ、層の厚さ、現像中のレジスト損失、フィーチャのフッティング、層の屈折率、層の吸収、及び/又は層の吸光係数を含み得る。
[00162] パターニングプロセスの1つ又は複数のステップを評価するために、パラメータ化モデルにおいて強い変数及び弱い変数の両方が、ユーザ(例えば、人、制御システムなど)によって用いられてもよい。具体的には、スピンコーティング、PEB、現像、エッチング、堆積、ドーピング、及び/又はパッケージングなどのリソグラフィ装置におけるパターン転写の上流又は下流の1つ又は複数のプロセスにおいて用いられることが可能な1つ又は複数の弱い変数は、ターゲット30’又は他のパターン上に欠陥が存在するか否かを決定するのに有用となり得る。例えば、基板上のパターンの側壁角が、ある特定の閾値を超える場合、エッチングにより、1つ又は複数の欠陥が生じる可能性が高くなり得る。そのため、基板上のパターンの側壁角の値に基づいて、エッチングプロセスの前に、エッチングにより起こり得る欠陥を予測することができる。このような例は、非限定的である。
[00163] しかしながら、直接測定に基づいて弱い変数の値を決定することは困難である。これは、インスペクションシステムにおいて弱い変数の値を決定するために使用することができる信号が、通常非常に弱く、時には、弱過ぎて検出されないからである。さらに、特にパラメータ化モデルに多くの変数が存在し、且つ強い変数の値が未知である場合に、ある一定のレベルの弱い変数の値を決定する精度を提供することは難しい。
[00164] 図15に示されるような再構築プロセスによる様々な用途(例えば、欠陥の予測)のための1つ又は複数の弱い変数のより正確な値を取得するために、再構築プロセス自体の外で、パラメータ化モデルの1つ又は複数の強い変数(例えばCD)の値を決定することが望ましい。
[00165] 図16は、ある実施形態による、基板に関する強い変数の値を決定する方法(これは、基板に関する強い変数の計測を行うことを回避することができる)のフローを示す。このプロセスは、複数の異なる強い変数の値を取得するために適用することができる。図示されるように、基板に関する強い変数のフィンガープリント1640が、リソグラフィ装置に関係した第1のグループの1つ又は複数の変数(焦点、ドーズ、MSD、収差、瞳形状など、及びそれ(ら)は、ダイ/フィールド毎に空間的に特定され、及び適用条件下で基板にわたり繰り返され、又は基板にわたり空間的に特定されることが可能である)からの第1の寄与1610と、リソグラフィ装置におけるパターン転写より前又は後の1つ又は複数の製作プロセスに関係した第2のグループの1つ又は複数の変数(エッチング、現像など、及びそれ(ら)は、基板にわたり空間的に特定されることが可能である)からの第2の寄与1620と、パターニングプロセスにおいて使用されるパターニングデバイスに関係した第3のグループの1つ又は複数の変数(マスクCDなど、及びそれ(ら)は、ダイ/フィールド毎に空間的に特定され、及び適用条件下で基板にわたり繰り返され、又は基板にわたり空間的に特定されることが可能である)からの第3の寄与1630とを統合することによって取得されてもよい。強い変数のフィンガープリント1640は、ターゲット30’の部分などの基板の任意の特定の部分に関して取得することができる。
[00166] リソグラフィ装置に関係した第1のグループの1つ又は複数の変数は、上記のような1つ又は複数のモデリング可能な変数でもよい。第1の寄与1610は、リソグラフィ装置に特有のものでもよく、つまり、第1の寄与1610は、ある特定の実際のリソグラフィ装置に特有である。ある実施形態では、第1の寄与1610は、ある特定の基板に特有のものではない(従って、複数の基板にわたって使用することができる)。従って、第1の寄与1610は、予め特性化され、及び後に、再構築プロセスのためにデータベースから取得されてもよい。この第1の寄与1610は、それを、ある特定の基板の1つ又は複数の変数及び感度関係のデータと統合することによって、その特定の基板に適用することができる。第1の寄与1610は、基板に特有のものでもよい。例えば、第1の寄与1610は、強い変数のフィンガープリント1640が基板又は基板グループに特有となるように、各基板又は特定の基板グループに関して決定されてもよい。第1のグループの変数の例は、限定されないが、照明、投影系、焦点、ドーズ、帯域幅、露光期間、高周波ステージ移動の特性(例えば、基板ステージの移動の移動標準偏差(MSD)、基板ステージの移動の移動平均など)、高周波レーザ帯域幅変化(例えば、周波数、振幅など)、高周波レーザ波長変化、及び/又は基板の平坦性を含み得る。
[00167] パターニングデバイスに関係した第3のグループの1つ又は複数の変数は、上記のような1つ又は複数のモデリング可能な変数でもよい。第3の寄与1630は、パターン又はパターニングデバイスに特有のものでもよく、つまり、第3の寄与1630は、ある特定の実際のパターニングデバイス又はパターニングデバイスによって提供される特定のパターンに特有である。第3の寄与1630は、基板に依存していなくてもよい。従って、第3の寄与1630は、予め特性化され、及び後に、再構築プロセスのためにデータベースから取得されてもよい。第3のグループの変数の例は、限定されないが、マスクCD、アシストフィーチャの形状及び/又は位置、解像度向上技術(RET)によって適用される調整などを含み得る。
[00168] リソグラフィ装置におけるパターン転写より前又は後の1つ又は複数の製作プロセスに関係した第2のグループの1つ又は複数の変数は、上記のようなモデリングされない変数でもよい。第1の寄与1610のように、第2の寄与1620は、基板に依存していなくてもよく、又は基板に依存していてもよい。ある実施形態では、第2の寄与1620は、各基板にわたって繰り返し使用されてもよい。従って、第2の寄与1620は、予め特性化され、及び再構築プロセス中にデータベースから取得されてもよい。第2のグループの1つ又は複数の変数の例は、限定されないが、スピンコーティング、PEB、現像、エッチング、堆積、ドーピング、及び/又はパッケージングなどのリソグラフィ装置におけるパターン転写の上流又は下流のプロセスの様々な特性を含み得る。
[00169] 第1の寄与1610、第2の寄与1620、及び/又は第3の寄与1630は、例えば図4〜6の何れかのフローを用いて、実験によって特性化されてもよく、又は以前の製造データから取り出されてもよい。ある実施形態では、第3の寄与1630(すなわちパターニングデバイスフィンガープリント)は、パターニングデバイスメトロロジツールを用いて直接パターニングデバイスを測定することによって取得されてもよい。
[00170] 強い変数のフィンガープリント1640が決定された後に、強い変数1660の値が、強い変数のフィンガープリント1640及び強い変数の特定の値1650を統合することによって取得されてもよい。ある実施形態では、強い変数の特定の値は、公称設計値でもよい。ある実施形態では、強い変数は、基板に関する強い変数の一群の測定の平均でもよい。強い変数の一群の測定は、基板上の2つ以上のダイにおける同じフィーチャに対して行われてもよい。
[00171] パラメータ化モデルにおける1つ又は複数の強い変数の値が与えられると(例えば、1つ又は複数の強い変数の値を固定すること、又は1つ又は複数の強い変数の各々の値を所定の範囲内(例えば、10%以内、5%以内、3%以内、1%以内)に制限することによって)、計算放射分布が測定放射分布と実質的に類似するまで、又は計算放射分布と測定放射分布との間の差が所定の許容閾値内となるまで、1つ又は複数の弱い変数が調整されてもよい。計算放射分布が測定放射分布と実質的に類似する場合、又は上記差が所定の許容閾値内にある場合、1つ又は複数の弱い変数の値が出力されてもよい。
[00172] 上記の通り、1つ又は複数の弱い変数の値を用いて、露光後の1つ又は複数の下流プロセス(例えば、PEB、レジスト層の現像、エッチング、堆積、ドーピング、及び/又はパッケージング)による欠陥が存在するか否かを予測することができる。欠陥が予測される場合、第1のグループの1つ又は複数の変数、第2のグループの1つ又は複数の変数、及び/又は第3のグループの1つ又は複数の変数の内の変数の1つ又は複数の値が、強い変数の新しいフィンガープリントを取得するために調整されてもよい。これは、上記のプロセスを用いて、1つ又は複数の弱い変数の値の新しい一セットを再構築することができるように行われる。このような調整は、1つ又は複数の弱い変数の値の新しい一セットに基づいて、欠陥が予測されなくなるまで反復的に行うことができる。
[00173] 上述の寄与/フィンガープリントのさらなる適用では、1つ又は複数の寄与/フィンガープリントを用いて、パターンのコンターを予測することができる。この文脈では、コンターは、基板に転写されるパターンのフィーチャの形状の外形である。コンターは、例えば、基板に転写されるパターンフィーチャの形状の外側境界を抜き出すように基板の画像(例えば走査電子顕微鏡画像)を処理することによって可視化することができる。しかし、コンターは、基板に転写されることが予期されるので、パターンフィーチャの電子表現を作成する数学的プロセス(例えばシミュレーション)によって生成することもできる。一般的に、コンターは線状であるが、本明細書で使用されるコンターは、フィーチャの境界を表すデータにより一般化することができる。コンターは、連続している必要はなく、つまり、外形及び/又はデータは、不連続な外形及び/又はデータがフィーチャの境界を十分に表す場合、フィーチャの周囲で不連続でもよい。ある実施形態では、コンターは、二次元(すなわち、平面を定義する)又は三次元でもよい。ある実施形態では、コンターは、パターンが上に形成される基板の面と実質的に平行な面に延在してもよい。ある実施形態では、コンターは、パターンが上に形成される基板の面と実質的に垂直な面に延在してもよく、この場合、それは、プロファイルとして特性化することができ、及び二次元又は三次元形態のものでもよい。
[00174] コンターを予測するために、1つ又は複数の寄与/フィンガープリントは、本明細書に記載のように取得され、及び予測されたコンターに至るために、ある特定の公称コンターを選択し、及び/又は公称コンターを修正するために使用されることが可能である。図17を参照して、コンターの予測の方法のフローチャートの一実施形態が描かれている。1700では、パターンのフィーチャの公称コンターが決定される。ある実施形態では、公称コンターは、レジストにおいて期待されるコンターである。ある実施形態では、公称コンターは、現像されたレジストにおいて期待されるコンターである。
[00175] ある実施形態では、公称コンターは、モデル及び/又はシミュレーションを用いた数学的演算によって取得することができる。ある実施形態では、シミュレータ又はモデル(例えば、ASMLのTachyon製品などのシミュレータ)は、フィーチャのパターニングプロセスの1つ又は複数のプロセス変数に関する適用可能な設計値でパターンのフィーチャの期待されるコンターを決定することができる。演算によって取得されたこのコンターを公称コンターとして指定することができる。同様に、シミュレータ又はモデルは、フィーチャの複数のコンター(各コンターは、1つ又は複数のプロセス変数の異なる値にある)を取得するために、1つ又は複数のプロセス変数(例えば、異なる焦点設定又は条件、異なるドーズ設定又は条件、異なる投影系収差設定又は条件、異なる照明瞳形状設定又は条件などの1つ又は複数の光学設定又は条件)の様々な異なる値にあるコンターを決定することができる。演算によって取得されたこれらのコンターを、各公称コンターが1つ又は複数のプロセス変数の異なる値と関連付けられた公称コンターとして指定することができる。ある実施形態では、公称コンターは、異なる焦点設定又は条件に対して設定され、従って、各公称コンターは、異なる焦点設定又は条件(最適焦点からの一定のバリアンスなど)と関連付けられることが可能である。以下の記載は、焦点設定又は条件に注目するが、公称コンターは、焦点とは異なる設定又は条件に関して決定することができ、及び設定又は条件の様々な組み合わせに関して決定することができる。
[00176] ある実施形態では、公称コンターが決定される1つ又は複数のプロセス変数の1つ又は複数の他のプロセス変数に対する影響が評価される。ある実施形態では、評価される1つ又は複数の他のプロセス変数は、コンターの形状及び/又はサイズに対する影響を持つ1つ又は複数のプロセス変数である。従って、公称コンターが焦点設定に関して決定されるある実施形態では、1つ又は複数の他のプロセス変数(CDなど)に対する焦点の影響が決定される。ある実施形態では、あるパターンが少なくとも異なる焦点設定又は条件で評価される焦点露光マトリックス(FEM)タイプのプロセスを用いて、この影響を決定することができる。次に、どの程度のCDの変化が焦点によるものかを評価するために、これらの異なる焦点設定又は条件におけるCDを決定することができる。ある実施形態では、その後メトロロジツールによって測定される1つ又は複数の処理基板を用いて、上記の影響を実験的に決定することができる。ある実施形態では、シミュレータを用いて上記の影響を決定することができる。
[00177] 演算によって1つ又は複数の公称コンターを決定することに加えて、又はそれに替えて、ある実施形態では、1つ又は複数の公称コンターを実験によって取得することができる。例えば、フィーチャが、パターニングプロセスの1つ又は複数のプロセス変数の適用可能な設計値で、1つ又は複数の基板上に作成され、及び次にフィーチャのコンターを導出するために測定(例えば、走査電子顕微鏡を用いて)されることが可能である。この測定によって取得されたコンターを公称コンターとして指定することができる。追加的又は代替的に、フィーチャが、1つ又は複数のプロセス変数(例えば、上述のような1つ又は複数の光学設定又は条件)の様々な異なる値で、1つ又は複数の基板上に作成され、及び次にフィーチャの複数のコンター(各コンターは、1つ又は複数のプロセス変数の異なる値にある)を導出するために測定(例えば、走査電子顕微鏡を用いて)されることが可能である。これらの測定によって取得されたコンターを、各公称コンターが1つ又は複数のプロセス変数の異なる値と関連付けられる公称コンターとして指定することができる。従って、ある特定の例として、各公称コンターは、異なる焦点設定又は条件(最適焦点からの一定のバリアンスなど)と関連付けることができる。
[00178] 従って、ある実施形態では、1つ又は複数の公称コンターは、コンターの形状を表すことができる。例えば、1つ又は複数の公称コンターは、ある特定の焦点条件におけるコンターの形状を表すことができる。従って、複数の異なる焦点条件で取得された複数の公称コンターの場合、各公称コンターは、適用可能な焦点条件で予測されたコンターの形状を表すことができる。
[00179] 従って、ある実施形態では、及びさらに説明されるように、それぞれ1つ又は複数のプロセス変数(例えば焦点)の異なる値と関連付けられた公称コンターを用いて、公称コンターを参照することによって、1つ又は複数のプロセス変数の何れの値に関しても、コンターの形状を予測することができる。1つ又は複数のプロセス変数のある特定の値に関して公称コンターを入手できない場合、補間、補外などによって、コンターを生成することができる。従って、一例として、基板上のある位置のどのような焦点値に関しても、関心のある1つ又は複数の適用可能なパターンフィーチャのコンターの形状を予測することができる。
[00180] 従って、1710では、1つ又は複数の公称コンターが決定された1つ又は複数のプロセス変数に関して第1の寄与/フィンガープリントを取得することができる。第1の寄与/フィンガープリントは、本明細書に記載の技術の何れかを用いて取得することができる。ある実施形態では、第1の寄与/フィンガープリントは、エッチングより前の状況に対応する。
[00181] ある実施形態では、第1の寄与/フィンガープリントは、焦点のものであり、従って、ある実施形態では、第1の寄与/フィンガープリントは、基板全体焦点マップである。ある実施形態では、第1の寄与/フィンガープリントは、基板のパターニングに使用されるリソグラフィ装置から取得されたデータに基づいて生成することができる。例えば、リソグラフィ装置は、焦点マップを構築するために、焦点情報(例えば、焦点残差など)及び/又は高周波ステージ移動の特性(例えばMSD)を提供することができる。図18は、異なる陰影が、公称焦点(例えば、最適焦点、平均焦点など)からの異なるバリアンスを模式的に表す、パターニングプロセスの例示的な焦点マップ1800を示す。
[00182] 1720では、第1の寄与/フィンガープリントを用いて、関心のあるフィーチャの公称コンターを選択する。例えば、基板上のある特定の位置において、及び関心のある特定のフィーチャに関して、1つ又は複数の公称コンターが決定された1つ又は複数のプロセス変数の値が、第1の寄与/フィンガープリント1710から決定され、及び次に関連付けられた1つ又は複数の公称コンターを選択するために使用されることが可能である。従って、第1の寄与/フィンガープリント1710が焦点マップである一例では、焦点マップ内のある基板位置における焦点推定値を用いて、コンターの予測として、関連付けられた公称コンターを取得することができる。従って、予測コンターは、フィーチャ毎に、及び基板の位置毎に決定することができる。
[00183] 図18を再び参照して、このような選択の一例が描かれている。図18では、最適焦点における公称コンター1810、1812、1814が示されている。ここで、公称コンター1812と関連付けられた焦点マップ1800上の位置において、焦点マップは、焦点が最適焦点にあった、又はその付近にあったことを示す。従って、その位置に関して、公称コンター1812が予測コンターとして選択される。公称コンター1810と関連付けられた位置に関して、焦点マップは、焦点が負の焦点はずれ−Fにあったことを示す。従って、その場合、公称コンター1810を用いる代わりに、負の焦点はずれ−Fに関して決定された公称コンター、つまり公称コンター1820が選択される。図18に見られるように、公称コンター1820は、フィーチャの特性を有するが、負の焦点はずれ−Fにより異なる形状を有する。同様に、公称コンター1814と関連付けられた位置に関して、焦点マップは、焦点が正の焦点はずれ+Fにあったことを示す。従って、その場合、公称コンター1814を用いる代わりに、正の焦点はずれ+Fに関して決定された公称コンター、つまり公称コンター1830が選択される。図18に見られるように、公称コンター1830は、フィーチャの特性を有するが、正の焦点はずれ+Fにより異なる形状を有する。従って、−Fの焦点では、最適焦点における公称コンターは、形状を変化させ、及び同様に、+Fの焦点では、最適焦点における公称コンターは、形状を変化させる。従って、例えばFが最適焦点からのバリアンスであり、且つ+F及び−Fが同じ絶対値を有する場合、それぞれのコンター形状変化は、図18に示されるように同じになり得る。
[00184] 図17に戻って参照し、1730において、1つ又は複数の公称コンターが決定された1つ又は複数のプロセス変数以外の1つ又は複数のプロセス変数に関して、第2の寄与/フィンガープリントを取得することができる。第2の寄与/フィンガープリント1730は、本明細書に記載の技術の何れかを用いて取得することができる。ある実施形態では、第2の寄与/フィンガープリントは、ポストエッチング状況に対応する。
[00185] ある実施形態では、第2の寄与/フィンガープリント1730は、クリティカルディメンジョンのものであり、従って、ある実施形態では、第2の寄与/フィンガープリントは、基板全体クリティカルディメンジョンマップ(例えば、クリティカルディメンジョン均一性マップ)である。ある実施形態では、この寄与/フィンガープリントは、メトロロジツールを用いて、パターニングプロセスのエッチングステップ後にパターンを有する1つ又は複数の基板に対して測定されたデータに基づいて生成することができる。図19は、異なる陰影が、公称クリティカルディメンジョン(例えば、設計クリティカルディメンジョン、平均クリティカルディメンジョンなど)からの異なるバリアンスを模式的に示す、パターニングプロセスの例示的なクリティカルディメンジョンマップ1900を示す。従って、例えば、クリティカルディメンジョンマップ1800は、アンカーアスペクトに関して測定されたクリティカルディメンジョンを表すことができ、及び平均クリティカルディメンジョンの百分率として作図されてもよい。
[00186] ある実施形態では、第2の寄与/フィンガープリントは、第2の寄与/フィンガープリントの1つ又は複数のプロセス変数に対する、第1の寄与/フィンガープリントと関連付けられた1つ又は複数のプロセス変数の影響について補正される。従って、例えば、第1の寄与/フィンガープリントが焦点マップであり、且つ第2の寄与/フィンガープリントがクリティカルディメンジョンマップである場合、第2の寄与/フィンガープリントは、第2の寄与/フィンガープリントにおけるクリティカルディメンジョンに対する焦点の影響(例えば、焦点情報(例えば、焦点残差など)及び/又は高周波ステージ移動の特性)について補正されることが可能である。このような影響の決定の詳細は、上記に述べられている。例えば、FEMを用いて、パターン転写ステップ中に生じるCDに対する焦点の影響を分離し、その後、エッチング後CD測定からその影響を除去することができる。追加的又は代替的に、様々なリソグラフィ装置信号を処理することによって、パターン転写中の焦点の推定値及びCDに対するそれの影響を得て、その後、エッチング後CD測定からその影響を除去することができる。理解されるように、この修正は、修正を第2の寄与/フィンガープリントに直接組み入れること、以下で1740との関連で説明されるように第2の寄与/フィンガープリントから値が選択された後に修正を行うことなどを含む、様々な方法で行うことができる。
[00187] 1740では、第2の寄与/フィンガープリント1730を用いて、関心のあるフィーチャの公称コンターを修正する。ある実施形態では、公称コンターは、1720で選択された公称コンターである。しかし、1720における選択は、1720の選択ステップを用いることなく、関心のあるフィーチャに関して、ある公称コンターが既に選択されている場合には、省略されてもよい(但し、修正は行われてもよい)。同様に、1740の修正は、ステップ1720で公称コンターが選択され、且つそれが修正を必要としない場合には、省略されてもよい。
[00188] 従って、1740において、例えば、基板上のある特定の位置において、及び関心のある特定のフィーチャに関して、1つ又は複数の他のプロセス変数の値が、第2の寄与/フィンガープリント1730から取得され、及び次に、その値を使用して、公称コンターの適切な修正を決定する。ある実施形態では、この修正は、公称コンターのサイズの変化である。従って、第2の寄与/フィンガープリント1730がクリティカルディメンジョンマップである一例では、クリティカルディメンジョンマップ内のある基板位置におけるクリティカルディメンジョン推定値を用いて、コンターの予測として、公称コンター(例えば1720で選択された公称コンター)のサイズを修正することができる。従って、予測コンターは、フィーチャ毎に、及び基板の位置毎に決定することができる。
[00189] ある実施形態では、第2の寄与/フィンガープリント1730から、ある位置で取得された1つ又は複数の他のプロセス変数の値が、関心のあるフィーチャ全体に当てはまると仮定される。従って、第2の寄与/フィンガープリント1730に表されるフィーチャのクリティカルディメンジョンが、あるクリティカルディメンジョン(例えば平均クリティカルディメンジョン)からある一定の量(例えば5%)だけ逸脱する場合、同じ位置のどのフィーチャの全てのクリティカルディメンジョンも、同じ量だけ逸脱する。従って、この仮定は、完全なコンターが、クリティカルディメンジョンの逸脱と同じ量だけサイズ変更されることを意味する。従って、基板上のある位置のフィーチャの完全なコンターサイズが、第2の寄与/フィンガープリント1730を取得するために測定された同じ位置のアンカーアスペクトのクリティカルディメンジョンと同じ量を相対的に変化させると仮定した場合、クリティカルディメンジョンがx%減少すると、公称コンターがx%縮小され、クリティカルディメンジョンがx%増加すると、公称コンターがx%拡大する。この変化は、コンター全体にわたって均一にもたらされる。
[00190] 再び図19を参照し、このようなサイズ変化の一例が描かれている。図19では、公称コンター1910、1912、1914が示される。ここで、公称コンター1912(これは、ステップ1720を用いて選択することができる)と関連付けられたクリティカルディメンジョンマップ1900上の位置において、クリティカルディメンジョンマップは、クリティカルディメンジョンが公称クリティカルディメンジョン(例えば、設計クリティカルディメンジョン、平均クリティカルディメンジョンなど)に一致することを示す。従って、その位置に関して、公称コンター1912が予測コンターとして選択される。公称コンター1910と関連付けられた位置に関して、クリティカルディメンジョンマップは、クリティカルディメンジョンが、ある量(例えば、−x%)だけ低かったことを示す。従って、その場合、公称コンター1910を用いる代わりに、予測コンター1920を取得するために、上記ある量(例えば−x%)だけ公称コンター1910を縮小させる。図19に見られるように、コンター1920は、フィーチャの特性を有するが、上記より低いクリティカルディメンジョンにより、より小さなサイズを有する。同様に、公称コンター1914と関連付けられた位置に関して、クリティカルディメンジョンマップは、クリティカルディメンジョンが、ある量(例えば、+x%)だけ高かったことを示す。従って、その場合、公称コンター1914を用いる代わりに、予測コンター1930を取得するために、上記ある量(例えば+x%)だけ公称コンター1914のサイズを増加させる。図19に見られるように、コンター1930は、フィーチャの特性を有するが、上記より高いクリティカルディメンジョンにより、より大きなサイズを有する。従って、−x%のクリティカルディメンジョンの場合、公称コンターは、均一に縮小されるが、なおその形状を保ち、+x%のクリティカルディメンジョンの場合、公称コンターは、均一に拡大されるが、なおその形状を保つ。
[00191] 従って、ある実施形態では、これらの2つの仮定(つまり、ある1つ又は複数のプロセス変数(例えば焦点)の値がコンターの形状を予測することができること、及びある1つ又は複数の他のプロセス変数(例えばCD)の値がコンターのサイズを予測することができること)を所与として、コンターの形状及びサイズは、1つ又は複数の寄与/フィンガープリントから選択された値に基づいて、フィーチャについて予測することができる。特に、基板上のあらゆる位置に関して、関心のある1つ又は複数のフィーチャの完全なコンターを予測することができる。ある実施形態では、関心のあるフィーチャは、決定されたホットスポットである。
[00192] ある特定の実施形態では、コンターは、クリティカルディメンジョン及び焦点の測定から予測される。ある特定の実施形態では、コンターは、クリティカルディメンジョン及び焦点のフィンガープリントを用いて推定される。
[00193] 1750では、様々な適用例において、予測コンターを使用することができる。例えば、コンターは、それ自体が、又は1つ又は複数の他のコンターとの関連でチェックされることにより、欠陥が予測されるか否かを決定することができる(例えば、ネッキング、ブリッジング、ラインプルバック、ライン細線化、オーバーラッピング、レジストトップロス、及び/又はレジストアンダーカットについて、1つ又は複数のチェックを行うことができる)。この技術は、特に、例えばブリッジング欠陥を決定するために有用となり得る。これらの欠陥は、互いに接触する2つのフィーチャによって生じ、これは、原理上、2つのフィーチャのコンター上のあらゆる点で生じ得る(その位置の特定のCD、焦点、及び/又はオーバーレイ状態に応じて)。コンターの使用は、例えば、隣接するフィーチャ上のカットラインにおける寸法の評価と比較して、このような欠陥のよりロバストな評価を可能にする。
[00194] 別の例として、コンターを用いて、エッジ配置位置及び/又は誤差(これは、ブリッジングなどの欠陥を識別するためにも使用することができる)を評価することができる。実際には、この技術は、エッジ配置誤差を決定するための計算形式のメトロロジを提供することができる。例えば、予測コンターを用いて、「仮想」エッジ配置誤差を予測することができる。例えばエッジ配置誤差によって引き起こされるマルチパターニング欠陥予測において、これを用いることができる。従って、エッジ配置誤差によって引き起こされる欠陥は、CD及び焦点のフィンガープリントを用いて推定することができる。
[00195] 予測コンターを用いて、潜在的欠陥を決定することができる一例は、スペーサ・アンド・カット層プロセス(spacer-and-cut layer process)にある。これの一例は、図20A〜Cに示される。図20Aは、ライン2000がパターン2010を用いて「カット」される例示的なスペーサ・アンド・カット層プロセスを描く。図20Aは、ライン2000及びパターン2010が、望ましいアライメント、サイズなどにある公称プロセスを描く。このようなプロセスの結果は、パターン2010による中央のライン2000のきれいなカットである。ここで、図20に模式的に示されるマップ1800及び1900を用いて、図17のプロセスが適用されることにより、ライン2000及びパターン2010の予測コンターの決定に至ることができる。図20Bに示されるこの例では、ライン2000の予測コンターは期待通りであるが、パターン2010のコンターは、サイズが大きくなる(この例では、その形状は変化していないが、変化する場合もある)と予測されたと判断される。ここで、図20Cは、パターン2010の予測コンターがスペーサ・アンド・カット層プロセスに使用された場合の結果を示す。2020に見られるように、パターン2010は、上側及び下側のライン2000の一部を望ましくない形でカットする。従って、ある実施形態では、スペーサ・アンド・カット層プロセスの予測動作は、パターン2010を使用してライン2000をカットする場合に、潜在的欠陥を生じさせるとしてフラグが立てられてもよい。
[00196] 別の例として、予測コンターを用いて、リソエッチ・リソエッチ(LELE)プロセスにおいて潜在的欠陥を決定することができる。これの一例は、図21A〜Cに示される。図21Aは、ライン2100が、第1のリソエッチプロセスで作成され、及びパターン2110が、第2のリソエッチプロセスによってライン2100でインタリーブされる、例示的なリソエッチ・アンド・リソエッチプロセスを描く。図21Aは、ライン2100及びパターン2110が、望ましいアライメント、サイズなどにある公称プロセスを描く。このようなプロセスの結果は、パターン2110とライン2100との設計された分離である。ここで、図21に模式的に示されるマップ1800及び1900を用いて、図17のプロセスが適用されることにより、ライン2100及びパターン2110の予測コンターの決定に至ることができる。図21Bに示されるこの例では、ライン2100の予測コンターは期待通りであるが、パターン2110のコンターは、サイズが大きくなり、且つ形状が変化すると予測されたと判断される。ここで、図21Cは、パターン2110の予測コンターがリソエッチ・アンド・リソエッチプロセスに使用された場合の結果を示す。2120に見られるように、パターン2110は、望ましくない形で、下側のライン2100に近過ぎ(例えば、フィーチャ間の空間が、ある閾値を下回る)、つまり、それは、重複エリアとなり、又は最小距離要件に違反する。これは、ブリッジング欠陥をもたらし得る。さらに、パターン2110は、フィーチャが狭くなり過ぎている(例えば、幅が閾値を下回っている)といった、フィーチャが形状変化したネッキング欠陥2130を有し得る。従って、ある実施形態では、リソエッチ・アンド・リソエッチプロセスの予測動作は、パターン2110がライン2100と併せて作成される場合に、1つ又は複数の潜在的欠陥を生じさせるとしてフラグが立てられてもよい。従って、ある実施形態では、多重露光LELEタイプの適用例における欠陥予測の場合、予測コンターは、欠陥インジケータ/カットラインのみを用いた場合と比較して、ネッキング及び/又はブリッジング欠陥の予測能力を向上させることが可能であるはずである。
[00197] 予測コンターの別の可能な利用では、モデル較正、特に光近接効果補正モデルの較正において、予測コンターを使用することができる。予測コンターは、測定されたゲージ(コンター)におけるエッチングフィンガープリント及び/又は焦点フィンガープリントの補正を可能にする。例えば、この予測コンターを用いて、マスクデータ準備エッチング後モデルを較正することができる。
[00198] 従って、ある実施形態では、この技術は、仮想ウェーハからのコンター予測を可能にし、従って、この技術は、コンターを測定する必要なく、それらを生成するために、メトロロジを計算と組み合わせる。ある実施形態では、この技術は、適切なフィンガープリント(例えば、エッチング後クリティカルディメンジョンフィンガープリント及びリソグラフィ装置焦点フィンガープリント)を所与として、基板にわたるコンター及びエッジ配置誤差の変動性の予測を可能にする。ある実施形態では、この技術は、マルチパターニングのより優れた予測能力を可能にする。ある実施形態では、この技術は、予測コンターに基づいたエッジ配置最適化を用いることによって、パターン制御を可能にする。
[00199] 本明細書の方法の結果(又は本明細書の方法の結果から導出された別の結果(例えば欠陥予測))は、パターニングプロセス中のプロセス又はその中の装置の制御、パターニングプロセスによって製造される基板のモニタリング、パターニングプロセスのプロセス又は装置の設計などを含む様々な目的のために使用することができる。例えば、上記結果又はそれらから導出された別の結果を用いて、基板のさらなる処理のため、又は別の基板の処理のために、パターニングプロセスの装置又はプロセスを変更することができる。例えば、上記結果を用いて、欠陥を予測することができる。欠陥の予測は、例えば、メトロロジツールを制御して影響を受けたエリアを検査するため、及び/又は基板のさらなる処理のため、又は別の基板の処理のために、パターニングプロセスの装置又はプロセスを変更するために使用することができる。さらに、上記結果を用いて、例えば、リソグラフィ装置の修正のためのドーズレシピを導出すること、パターニングデバイス及びそれのパターンの設計を可能にすること、プロセスのセットアップなどによって、パターニングプロセスを設計することができる。さらに、上記結果は、モデル較正、例えば、光近接効果補正モデル、放射源−マスク最適化モデル、リソグラフィ製造チェックモデル、レジストモデル、結像モデル、測定モデル(例えば、測定のプロセスをモデリングする)などの較正に使用することができる。上記結果を用いて、後に様々な目的で使用することができるプロセスの1つ又は複数の変数(例えば、最適露光及び/又は最適ドーズ)を決定することができる。理解されるように、多くの他の利用が存在し得る。
[00200] 図22は、本明細書に開示される方法及びフローの実施を支援可能なコンピュータシステム100を示すブロック図である。コンピュータシステム100は、バス102又は情報を通信するための他の通信機構と、情報を処理するためにバス102と結合されたプロセッサ104(又は複数のプロセッサ104及び105)とを含む。コンピュータシステム100は、ランダムアクセスメモリ(RAM)又は他の動的ストレージデバイスなどの、プロセッサ104によって実行される情報及び命令を保存するためにバス102に結合されたメインメモリ106も含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に、一時変数又は他の中間情報を保存するためにも使用されてもよい。コンピュータシステム100は、リードオンリーメモリ(ROM)108、又はプロセッサ104のための静的情報及び命令を保存するためにバス102に結合された他の静的ストレージデバイスをさらに含む。情報及び命令を保存するための磁気ディスク又は光ディスクなどのストレージデバイス110が設けられると共に、バス102に結合される。
[00201] コンピュータシステム100は、バス102を介して、情報をコンピュータユーザに表示するための、陰極線管(CRT)、フラットパネル、又はタッチパネルディスプレイなどのディスプレイ112に結合されてもよい。英数字及び他のキーを含む入力デバイス114が、情報及びコマンド選択をプロセッサ104に通信するためにバス102に結合される。別のタイプのユーザ入力デバイスは、プロセッサ104に方向情報及びコマンド選択を通信するため、及びディスプレイ112上でカーソルの移動を制御するための、マウス、トラックボール、又はカーソル方向キーなどのカーソル制御部116である。この入力デバイスは、一般的に、2つの軸(第1の軸(例えばx)及び第2の軸(例えばy))において、デバイスがある面内で位置を特定することを可能にする2つの自由度を有する。タッチパネル(スクリーン)ディスプレイが、入力デバイスとして使用されてもよい。
[00202] ある実施形態によれば、プロセスの部分は、メインメモリ106に含まれる1つ又は複数の命令の1つ又は複数のシーケンスを実行するプロセッサ104に応答して、コンピュータシステム100によって行われてもよい。このような命令は、ストレージデバイス110などの別のコンピュータ可読媒体からメインメモリ106に読み込まれてもよい。メインメモリ106に含まれる命令のシーケンスの実行は、プロセッサ104に本明細書に記載のプロセスステップを行わせる。メインメモリ106に含まれる命令のシーケンスを実行するために、多重処理構成の1つ又は複数のプロセッサが用いられてもよい。ある代替実施形態では、ソフトウェア命令の代わりに、又はソフトウェア命令と一緒に、ハードワイヤード回路が用いられてもよい。従って、本明細書の記載は、ハードウェア回路及びソフトウェアの特定の組み合わせに限定されない。
[00203] 本明細書で使用される「コンピュータ可読媒体」という用語は、実行のためにプロセッサ104に命令を提供することに関与するあらゆる媒体を指す。このような媒体は、限定されないが、不揮発性媒体、揮発性媒体、及び伝送媒体を含む、多くの形態をとり得る。不揮発性媒体は、例えば、ストレージデバイス110などの光又は磁気ディスクを含む。揮発性媒体は、メインメモリ106などの動的メモリを含む。伝送媒体は、同軸ケーブル、銅線及び光ファイバ(バス102を含むワイヤを含む)を含む。伝送媒体は、無線周波数(RF)及び赤外線(IR)データ通信中に生成されるものなどの、音波又は光波の形態もとり得る。コンピュータ可読媒体の一般的形態は、例えば、フロッピーディスク、フレキシブルディスク、ハードディスク、磁気テープ、その他の磁気媒体、CD−ROM、DVD、その他の光媒体、パンチカード、紙テープ、孔のパターンを有したその他の物理媒体、RAM、PROM、及びEPROM、FLASH−EPROM、その他のメモリチップ又はカートリッジ、以下に記載されるような搬送波、又はコンピュータが読み取ることができるその他の媒体を含む。
[00204] コンピュータ可読媒体の様々な形態が、実行のためにプロセッサ104に1つ又は複数の命令の1つ又は複数のシーケンスを運ぶことに関与してもよい。例えば、命令は、最初は、リモートコンピュータの磁気ディスクにある場合がある。リモートコンピュータは、命令をそれの動的メモリにロードし、及びモデムを使用して電話回線上で命令を送ることができる。コンピュータシステム100にローカルなモデムが、電話回線上のデータを受信し、及び赤外線送信機を用いてデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器が、赤外線信号で搬送されたデータを受信し、及びそのデータをバス102にのせることができる。バス102は、データをメインメモリ106に搬送し、そこからプロセッサ104が、命令の読み出し及び実行を行う。メインメモリ106によって受信された命令は、任意選択的に、プロセッサ104による実行の前又は後に、ストレージデバイス110に保存されてもよい。
[00205] コンピュータシステム100は、好ましくは、バス102に結合された通信インターフェース118も含む。通信インターフェース118は、ローカルネットワーク122に接続されたネットワークリンク120に結合する双方向データ通信を提供する。例えば、通信インターフェース118は、対応するタイプの電話回線にデータ通信接続を提供するデジタル総合サービス網(ISDN)カード又はモデムでもよい。別の例として、通信インターフェース118は、互換性のあるLANへのデータ通信接続を提供するローカルエリアネットワーク(LAN)カードでもよい。ワイヤレスリンクが実施されてもよい。このような実施において、通信インターフェース118は、様々なタイプの情報を表すデジタルデータストリームを搬送する電気、電磁、又は光信号の送信及び受信を行う。
[00206] ネットワークリンク120は、一般的に、1つ又は複数のネットワークを通して、他のデータデバイスにデータ通信を提供する。例えば、ネットワークリンク120は、ローカルネットワーク122を通して、ホストコンピュータ124又はインターネットサービスプロバイダ(ISP)126によって操作されるデータ機器への接続を提供することができる。ISP126は、次に、ワールドワイドパケットデータ通信ネットワーク(現在、一般に「インターネット」128と呼ばれる)によるデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128は共に、デジタルデータストリームを搬送する電気、電磁、又は光信号を使用する。コンピュータシステム100に対して、及びコンピュータシステム100からデジタルデータを搬送する、様々なネットワークを通る信号、及びネットワークリンク120上の、及び通信インターフェース118を通る信号は、情報を運ぶ搬送波の形態例である。
[00207] コンピュータシステム100は、1つ又は複数のネットワーク、ネットワークリンク120、及び通信インターフェース118を通して、メッセージを送信すること、及びプログラムコードを含むデータを受信することができる。インターネット例では、サーバ130は、インターネット128、ISP126、ローカルネットワーク122、及び通信インターフェース118を通して、アプリケーションプログラムの要求コードを送信する場合がある。そのようなダウンロードされたあるアプリケーションは、例えば実施形態の照明最適化を提供することができる。受信されたコードは、受信された際にプロセッサ104によって実行されてもよく、及び/又は後で実行するためにストレージデバイス110又は他の不揮発性ストレージに保存されてもよい。このようにして、コンピュータシステム100は、搬送波の形態のアプリケーションコードを取得してもよい。
[00208] 本開示の実施形態は、ハードウェア、ファームウェア、ソフトウェア、又はそれらの任意の組み合わせで実施されてもよい。本開示の実施形態は、1つ又は複数のプロセッサによって読み取り及び実行を行うことができる、機械可読媒体に保存された命令として実施されてもよい。機械可読媒体は、機械(例えば計算デバイス)によって読み取り可能な形態で、情報を保存又は送信する機構を含んでもよい。例えば、機械可読媒体は、リードオンリーメモリ(ROM)、ランダムアクセスメモリ(RAM)、磁気ディスクストレージ媒体、光ストレージ媒体、フラッシュメモリデバイス、電気、光、音、又は他の形態の伝搬信号(例えば、搬送波、赤外線信号、デジタル信号など)などを含み得る。さらに、ファームウェア、ソフトウェア、ルーチン、命令は、本明細書では、特定の動作を行うと記載される場合がある。しかし、このような記載は、単に便宜上のものであり、このような動作は、実際には、計算デバイス、プロセッサ、コントローラ、又はファームウェア、ソフトウェア、ルーチン、命令などを実行する他のデバイスから生じることが理解されるものとする。
[00209] ブロック図では、図示されたコンポーネントが離散機能ブロックとして描かれるが、実施形態は、本明細書に記載される機能性が図示通りに編成されるシステムに限定されない。各コンポーネントによって提供される機能性は、現在描かれているものとは異なるように編成されたソフトウェア又はハードウェアモジュールによって提供されてもよく、例えば、そのようなソフトウェア又はハードウェアは、混合、結合、複製、分割、配布(例えば、データセンター内で、又は地理的に)が行われてもよく、あるいは、異なって編成されてもよい。本明細書に記載の機能性は、タンジブルで非一時的な機械可読媒体に保存されたコードを実行する1つ又は複数のコンピュータの1つ又は複数のプロセッサによって提供されてもよい。場合によっては、サードパーティコンテンツ配信ネットワークが、ネットワーク上で伝達される情報の一部又は全てをホスティングしてもよく、その場合、情報(例えばコンテンツ)が供給される、あるいは提供されると言われている範囲で、コンテンツ配信ネットワークからその情報を読み出す命令を送ることによって、情報が提供されてもよい。
[00210] 特に別段の記載のない限り、上記説明から明らかなように、本明細書全体を通して、「処理する」、「計算する」、「演算する」、「決定する」などの用語を利用した説明は、専用コンピュータ又は類似の専用電子処理/計算デバイスなどの特定の装置の動作又はプロセスを指すことが認識される。
[00211] 読み手は、本出願が幾つかの発明を記載することを認識するはずである。これらの発明を複数の分離した特許出願に分けるのではなく、出願人は、これらの発明を、それらの関連した主題が出願プロセスの経済性に適しているという理由で、単一の文書にまとめている。しかし、このような発明の異なる利点及び局面は、1つにまとめられないものとする。場合によっては、実施形態は、本明細書に記載された欠点の全てに対処するが、これらの発明は、独立して有用であり、幾つかの実施形態は、このような問題の一部にのみ対処し、又は本開示を精査する当業者には明白となる他の言及されていない利点を提供することが理解されるものとする。コストの制約により、本明細書に開示される幾つかの発明は、現在請求されていない場合があり、及び継続出願などの後の出願で、又は現在の請求項の補正により、請求される場合がある。同様に、スペースの制約により、本文書の要約書部分及び概要部分のどちらも、上記発明の全て、又は上記発明の全ての局面の包括的リストを含むと見なされるべきものではない。
[00212] 本明細書及び図面は、本発明を開示された特定の形態に限定することを意図したものではなく、逆に、その意図は、添付の特許請求の範囲によって定義される本発明の精神及び範囲内に入る全ての変更形態、等価形態、及び代替形態を対象に含めることと理解されるものとする。
[00213] 本発明の様々な局面の変更形態及び代替実施形態は、本明細書に鑑みて、当業者には明らかとなるだろう。従って、本明細書及び図面は、単なる例示と見なされるべきものであり、及び本発明を実施する一般的な態様を当業者に教示する目的のものである。本明細書に図示及び記載される本発明の形態は、実施形態の例と見なされるべきであることが理解されるものとする。本発明の本明細書の恩恵を受けた後に全て当業者には明らかとなるように、要素及び材料が、本明細書に図示及び記載されたものの代わりに使用されてもよく、部品及びプロセスの反転、順序の変更、又は削除が行われてもよく、特定のフィーチャが独立して利用されてもよく、及び複数の実施形態又は複数の実施形態のフィーチャが組み合わせられてもよい。以下の特許請求の範囲に記載されるような本発明の精神及び範囲から逸脱することなく、本明細書に記載された要素に変更が行われてもよい。本明細書に使用される見出しは、単なる構成目的のものであり、本明細書の範囲を限定するために使用されることは意図されない。
[00214] 本出願全体を通して、「してもよい(may)」という語は、義務的な意味(すなわち、「しなければならない(must)」の意味)ではなく、許容の意味(すなわち、「〜する可能性がある」の意味)で使用される。「含む(include)」、「含んでいる(including)」、及び「含む(includes)」などの語は、「限定されることなく含んでいること」を意味する。本出願全体を通して、単数形「a」、「an」、及び「the」は、内容が明らかにそうでないことを示さない限り、複数の指示対象を含む。従って、例えば、「1つの(an)」要素又は「1つの(a)」要素に対する言及は、「1つ又は複数の(one or more)」などの1つ又は複数の要素に対する他の用語及びフレーズの使用にもかかわらず、2つ以上の要素の組み合わせを含む。「又は(or)」という用語は、別段の指示のない限り、非排他的であり、すなわち、「及び(and)」と「又は(or)」の両方を包含する。例えば、「Xに応答して、Y」、「Xに際して、Y」、「もしXであれば、Y」「Xの時にY」などといった条件関係を表す用語は、先行詞が必要な因果条件であり、先行詞が十分な因果条件であり、又は先行詞が結果の一因となる因果条件である(例えば、「条件Yの獲得に際して、状態Xが生じる」は、「Yに際してのみXが生じる」及び「Xが、Y及びZに際して生じる」を包括する)因果関係を包含する。このような条件関係は、一部の結果が遅延され得ることから、先行詞の後にすぐさま続く獲得する結果に限定されず、条件文において、先行詞はそれらの結果に関係があり、例えば、先行詞は、結果が生じる可能性に関係する。複数の属性又は機能が複数の対象に対応付けられる文(例えば、1つ又は複数のプロセッサが、ステップA、B、C、及びDを行う)は、別段の指示がない限り、全てのそのような属性又は機能が、全てのそのような対象に対応付けられること、及び属性又は機能のサブセットが、属性又は機能のサブセットに対応付けられることの両方(例えば、全プロセッサのそれぞれが、ステップA〜Dを行うこと、及びプロセッサ1がステップAを行い、プロセッサ2がステップB及びステップCの一部を行い、及びプロセッサ3がステップCの一部及びステップDを行うケースの両方)を包含する。さらに別段の指示がない限り、1つの値又は動作が別の条件又は値に「基づく」文は、その条件又は値が、唯一の因子である場合、及びその条件又は値が、複数の因子の中の1つの因子である場合の両方を包含する。別段の指示のない限り、ある集団の「各々の」例が、ある特性を有するという文は、より大きな集団の他の点では同一又は類似のメンバーがその特性を持たないケースを排除すると解釈されるべきではなく、すなわち、「各々」は、必ずしも、「ありとあらゆる」を意味しない。
[00215] 実施形態は、以下の条項を用いてさらに説明することができる:
1.基板上のパターンのメトロロジデータに対する、モデリングされる第1のプロセス変数の第1の寄与を取得することと、
パターンのメトロロジデータに対する、モデリングされない第2のプロセス変数の第2の寄与を取得することと、
ハードウェアコンピュータにより第1の寄与及び第2の寄与を統合することによって、メトロロジデータを取得することと、
を含む、方法。
2.メトロロジデータが、クリティカルディメンジョン(CD)、クリティカルディメンジョン均一性(CDU)、側壁角、エッジ位置、オーバーレイ、焦点、パターンシフト、又はそれらから選択された組み合わせを含む、条項1に記載の方法。
3.メトロロジデータが、パターングループの統計値を含む、条項1又は2に記載の方法。
4.第2のプロセス変数が、基板の露光の下流のプロセスの特性である、条項1〜3の何れか一項に記載の方法。
5.プロセスが、基板上のレジスト層の現像である、条項4に記載の方法。
6.プロセスが、基板のエッチングである、条項4に記載の方法。
7.第2のプロセス変数が、基板の特性である、条項1〜3の何れか一項に記載の方法。
8.第2のプロセス変数が、基板上のレジスト層の特性である、条項1〜3の何れか一項に記載の方法。
9.第2のプロセス変数の値が、未知である、条項1〜8の何れか一項に記載の方法。
10.第1の寄与及び第2の寄与を統合することが、第1の寄与及び第2の寄与を加算すること、又は第1の寄与及び第2の寄与をコンボリューションすることを含む、条項1〜9の何れか一項に記載の方法。
11.基板上のパターンのメトロロジデータに対する第2のプロセス変数の寄与を、ハードウェアコンピュータにより、メトロロジデータに対する第1のプロセス変数の寄与をメトロロジデータから除去することによって取得することを含む、方法。
12.第1のプロセス変数が、モデリングされる、条項11に記載の方法。
13.第1のプロセス変数の寄与の取得が、モデリングによるものである、条項12に記載の方法。
14.第1のプロセス変数の寄与が、第2のプロセス変数の非線形関数である、条項12に記載の方法。
15.第1のプロセス変数が、モデリングされない、条項11に記載の方法。
16.第1のプロセス変数の寄与が、実験的又は経験的に決定される、条項15に記載の方法。
17.基板上の第1のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を、メトロロジデータに対する第1のグループの1つ又は複数のプロセス変数の変化の寄与をメトロロジデータから除去することによって取得することと、
第1のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与に基づいて、ハードウェアコンピュータにより、基板上の第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を取得することと、
を含む、方法。
18.第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与に基づいて、第1のグループの1つ又は複数のプロセス変数の内の1つ又は複数の変数を調整することによって、第2のパターンにおける欠陥の確率を減少させることをさらに含む、条項17に記載の方法。
19.第2のパターンに計測を行うことなく、第2のパターンにおける欠陥の確率を減少させる、条項18に記載の方法。
20.第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与が、第2のパターンのメトロロジデータを取得することなく取得される、条項17に記載の方法。
21.第2のパターンのメトロロジデータに対する第1のグループの1つ又は複数のプロセス変数の変化の寄与を、第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を第2のパターンのメトロロジデータから除去することによって取得することをさらに含む、条項17に記載の方法。
22.第2のパターンのメトロロジデータに対する第1のグループの1つ又は複数のプロセス変数の変化の寄与が、第2のパターンに関する第1のグループの1つ又は複数のプロセス変数の値を取得することなく取得される、条項21に記載の方法。
23.第2のパターンのメトロロジデータに対する第1のグループの1つ又は複数のプロセス変数の変化の寄与、第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与、又は両方に基づいて、第1のグループの1つ又は複数のプロセス変数の内の1つ又は複数のプロセス変数を調整することによって、第2のパターンにおける欠陥の確率を減少させることをさらに含む、条項21に記載の方法。
24.第1のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を、第1のパターンのメトロロジデータに対する第1のグループのプロセス変数の変化の寄与をメトロロジデータから除去することによって取得することと、
第1のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与に基づいて、基板上の第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を取得することと、
ハードウェアコンピュータにより、第2のパターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与に基づいて、第2のパターンに関する第1のグループのプロセス変数が及ぶサブプロセスウィンドウ(サブPW)を取得することと、
を含む、方法。
25.第1のグループが、モデリングされる全てのプロセス変数を含み、及び第2のグループが、モデリングされない全てのプロセス変数を含む、条項24に記載の方法。
26.サブPWに基づいて、第1のグループのプロセス変数の内の1つ又は複数の値を調整することによって、第2のパターンにおける欠陥の確率を減少させることをさらに含む、条項24に記載の方法。
27.メトロロジデータに対するモデリングされる第1のグループの1つ又は複数のプロセス変数の変化の寄与、及びメトロロジデータに対するモデリングされない第2のグループの1つ又は複数のプロセス変数の変化の寄与を統合することによって、ホットスポットのメトロロジデータの推定値を取得することと、
ハードウェアコンピュータにより、メトロロジデータの推定値に基づいて、ホットスポットに欠陥があるか否かを決定することと、
を含む、方法。
28.推定値が、ホットスポットに計測を行うことなく取得される、条項27に記載の方法。
29.パターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を、第1のグループの1つ又は複数のプロセス変数の変化の寄与をメトロロジデータから除去することによって取得することと、
メトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与を決定するためのモデルのパラメータの値を、ハードウェアコンピュータにより、パターンのメトロロジデータに対する第2のグループの1つ又は複数のプロセス変数の変化の寄与に対してパラメータをフィッティングすることによって取得することと、
を含む、方法。
30.第1のグループの1つ又は複数のプロセス変数が、モデリングされない全てのプロセス変数を含む、条項29に記載の方法。
31.第2のグループの1つ又は複数のプロセス変数の全てのプロセス変数が、モデリングされる、条項29に記載の方法。
32.基板上のパターンのメトロロジデータの推定値を、メトロロジデータに対するモデリングされるプロセス変数の変化の寄与と、メトロロジデータに対するモデリングされないプロセス変数の変化の寄与とを統合することによって取得することと、
メトロロジデータの推定値が基準を満たすか否かを決定することと、
推定値が基準を満たさない場合、ハードウェアコンピュータにより、モデリングされるプロセス変数と、メトロロジデータに対するモデリングされないプロセス変数の変化の寄与との関係を調整することと、
を含む、方法。
33.関係の調整は、基板上のレジストの化学組成を変更することを含む、条項32に記載の方法。
34.関係の調整は、基板上のレジストの現像に使用される化学薬品を変更することを含む、条項32に記載の方法。
35.関係の調整は、基板のエッチングに使用されるエッチング装置を変更することを含む、条項32に記載の方法。
36.パターニングプロセスによって処理される基板のパターン、又はパターニングプロセスによって処理される基板用のパターンの第1の変数の値を、基板に関する第1の変数のフィンガープリントと、第1の変数の特定の値とを統合することによって計算することと、
第1の変数の計算値に少なくとも部分的に基づいて、パターンの第2の変数の値を決定することと、
を含む、方法。
37.パターンの第2の変数の値の決定は、第1の変数の計算値及び第2の変数に少なくとも部分的に基づいた再構築又はシミュレーション結果がルールに適合するまで、ハードウェアコンピュータにより、第2の変数を調整することを含む、条項36に記載の方法。
38.ルールは、基板上のパターンの測定結果と、再構築/シミュレーション結果との差が、閾値を超える又は閾値に合致することを示す、条項37に記載の方法。
39.再構築/シミュレーション結果が、計算放射分布であり、及び測定結果が、測定放射分布である、条項36又は37に記載の方法。
40.第1の変数の変化が、第2の変数の同じ変化よりも、再構築又はシミュレーション結果においてより大きな差をもたらす、条項37〜39の何れか一項に記載の方法。
41.第1の変数が、基板上のメトロロジターゲットに関係する、条項36〜40の何れか一項に記載の方法。
42.第1の変数が、基板上のメトロロジターゲットのパターンのクリティカルディメンジョンである、条項41に記載の方法。
43.第2の変数が、基板上のメトロロジターゲットに関係する、条項36〜42の何れか一項に記載の方法。
44.第2の変数が、メトロロジターゲットのパターンの側壁角、メトロロジターゲットのパターンの高さ、メトロロジターゲットの層の厚さ、現像中のメトロロジターゲットのパターンのレジスト損失、メトロロジターゲットのフッティング、メトロロジターゲットの層の屈折率、メトロロジターゲットの層の吸収、及び/又はメトロロジターゲットの層の吸光係数から選択された1つ又は複数を含む、条項43に記載の方法。
45.パターンの第2の変数に基づいて、基板上へのパターンの転写の下流のプロセスに続いて、欠陥があるか否かを予測することをさらに含む、条項36〜44の何れか一項に記載の方法。
46.基板上へのパターンの転写の下流のプロセスに続く、パターンにおける欠陥の予測に応答して、基板又は別の基板に関するパターニングプロセスの変数を調整する、条項45に記載の方法。
47.プロセスが、基板上のレジスト層の現像を含む、条項45又は46に記載の方法。
48.プロセスが、基板のエッチングを含む、条項45〜47の何れか一項に記載の方法。
49.パターニングプロセスのリソグラフィ装置に関係する第1のグループの1つ又は複数の変数からの第1の寄与と、リソグラフィ装置におけるパターンの転写より前、又は後の1つ又は複数の製作プロセスに関係する第2のグループの1つ又は複数の変数からの第2の寄与と、パターンの転写に使用されるパターニングデバイスに関係する第3のグループの1つ又は複数の変数からの第3の寄与とを統合することによって、第1の変数のフィンガープリントを取得することをさらに含む、条項36〜48の何れか一項に記載の方法。
50.第1のグループの変数が、リソグラフィ装置による照明、リソグラフィ装置の投影系、リソグラフィ装置の基板ステージの移動の移動標準偏差、基板ステージの移動の移動平均、焦点、ドーズ、帯域幅、露光期間、高周波レーザ帯域幅変化、高周波レーザ波長変化、及び/又は基板の平坦性の1つ又は複数の変数を含む、条項49に記載の方法。
51.第2のグループの変数が、スピンコーティング、ポストベーク、現像、エッチング、堆積、ドーピング、及び/又はパッケージングの1つ又は複数の変数を含む、条項49又は50に記載の方法。
52.第3のグループの変数が、マスクCD、アシストパターンの形状及び/又は位置、及び/又は解像度向上技術によって適用される調整の1つ又は複数の変数を含む、条項49〜51の何れか一項に記載の方法。
53.第1の変数のフィンガープリントと、第1の変数の特定の値との統合は、第1の変数のフィンガープリントと、第1の変数の特定の値とを加算することを含む、条項36〜52の何れか一項に記載の方法。
54.第1の変数の特定の値が、基板に関する第1の変数の一群の測定の平均値を求めることによって取得される、条項36〜53の何れか一項に記載の方法。
55.第1の変数の特定の値が、第1の変数の設計値である、条項36〜53の何れか一項に記載の方法。
56.第2の変数の値の決定時に使用される第1の変数が、第1の変数の計算値を中心とした特定の範囲内に制限された値を有する、条項36〜54の何れか一項に記載の方法。
57.パターニングプロセスによって作成されるパターンに関連付けられた変数の基板全体フィンガープリントを取得することと、
ハードウェアコンピュータシステムにより、フィンガープリント内の基板位置から選択された変数の値に基づいて、パターンのフィーチャのコンターを予測することと、
を含む、方法。
58.予測が、変数の値を用いて、フィーチャの特定の形状の公称コンターを選択することを含む、条項57に記載の方法。
59.公称コンターが、シミュレーション又は数学モデルを用いた演算によって取得される、条項58に記載の方法。
60.公称コンターが、レジストにおいて期待されるコンターである、条項58又は59に記載の方法。
61.予測が、フィーチャの公称コンターのサイズを変更することを含む、条項57〜60の何れか一項に記載の方法。
62.サイズの変更が、パターンに関連付けられたさらなる変数の値に基づき、その値が、さらなる変数の基板全体フィンガープリントから同じ基板位置で選択される、条項61に記載の方法。
63.さらなる変数の基板全体フィンガープリントが、ポストエッチング状況に対応する、条項62に記載の方法。
64.さらなる変数が、クリティカルディメンジョンを含む、条項62又は63に記載の方法。
65.変数が、焦点を含む、条項57〜64の何れか一項に記載の方法。
66.フィーチャが、決定されたホットスポットである、条項57〜65の何れか一項に記載の方法。
67.予測コンターを用いて、エッジ配置位置又は誤差を決定することをさらに含む、条項57〜66の何れか一項に記載の方法。
68.フィーチャ又は別のフィーチャに欠陥のある可能性が高いか否かを決定するために、予測コンターに対するチェックを用いることをさらに含む、条項57〜67の何れか一項に記載の方法。
69.予測コンターを用いて、数学モデルを較正することをさらに含む、条項57〜68の何れか一項に記載の方法。
70.数学モデルが、光近接効果補正モデルを含む、条項69に記載の方法。
71.記録された命令を有する非一時的コンピュータ可読媒体を含むコンピュータプログラムであって、命令が、コンピュータによって実行されると、条項1〜70の何れか一項に記載の方法を実施する、コンピュータプログラム。
[00216] 特定の米国特許、米国特許出願、又は他の資料(例えば、論文)が援用された範囲で、上記米国特許、米国特許出願、及び他の資料の本文は、上記資料と、本明細書に明記された記載及び図面との間に矛盾のない範囲でのみ援用される。そのような矛盾の際には、上記援用された米国特許、米国特許出願、及び他の資料におけるそのような矛盾する本文は、厳密には本明細書に援用されない。
[00217] 本開示の具体的な実施形態を上記に説明したが、これらの実施形態は、記載された以外の方法で実施され得ることが認識されるだろう。

Claims (15)

  1. 基板上のパターンのメトロロジデータに対する、モデリングされる第1のプロセス変数の第1の寄与を取得することと、
    前記パターンの前記メトロロジデータに対する、モデリングされない第2のプロセス変数の第2の寄与を取得することと、
    ハードウェアコンピュータにより前記第1の寄与及び前記第2の寄与を統合することによって、前記メトロロジデータを取得することと、
    を含む、方法。
  2. 前記メトロロジデータが、クリティカルディメンジョン(CD)、クリティカルディメンジョン均一性(CDU)、側壁角、エッジ位置、オーバーレイ、焦点、パターンシフト、又はそれらから選択された組み合わせを含む、請求項1に記載の方法。
  3. 前記メトロロジデータが、パターングループの統計値を含む、請求項1に記載の方法。
  4. 前記第2のプロセス変数が、前記基板の露光の下流のプロセスの特性である、請求項1に記載の方法。
  5. 前記プロセスが、前記基板上のレジスト層の現像である、請求項4に記載の方法。
  6. 前記プロセスが、前記基板のエッチングである、請求項4に記載の方法。
  7. 前記第2のプロセス変数が、前記基板の特性である、請求項1に記載の方法。
  8. 前記第2のプロセス変数が、前記基板上のレジスト層の特性である、請求項1に記載の方法。
  9. 前記第2のプロセス変数の値が、未知である、請求項1に記載の方法。
  10. 前記第1の寄与及び前記第2の寄与を統合することが、前記第1の寄与及び前記第2の寄与を加算すること、又は、前記第1の寄与及び前記第2の寄与をコンボリューションすること、を含む、請求項1に記載の方法。
  11. パターニングプロセスによって処理される基板のパターン、又は、パターニングプロセスによって処理される基板用のパターンの第1の変数の値を、前記基板に関する前記第1の変数のフィンガープリントと、前記第1の変数の特定の値と、を統合することによって計算することと、
    前記第1の変数の前記計算値に少なくとも部分的に基づいて、前記パターンの第2の変数の値を決定することと、
    を含む、方法。
  12. 前記第1の変数及び/又は前記第2の変数が、基板上のメトロロジターゲットに関係する、請求項11に記載の方法。
  13. 前記パターンの前記第2の変数に基づいて、前記基板上への前記パターンの転写の下流のプロセスに続いて欠陥があるか否かを予測することをさらに含む、請求項11に記載の方法。
  14. 前記基板上への前記パターンの転写の下流の前記プロセスに続く前記パターンにおける欠陥の予測に応答して、前記基板又は別の基板に関する前記パターニングプロセスの変数を調整する、請求項13に記載の方法。
  15. 記録された命令を有する非一時的コンピュータ可読媒体を含むコンピュータプログラムであって、
    前記命令が、コンピュータによって実行されると、請求項1に記載の方法を実施する、コンピュータプログラム。
JP2018538886A 2016-02-22 2017-02-17 メトロロジデータへの寄与の分離 Active JP6964591B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2020090952A JP7410795B2 (ja) 2016-02-22 2020-05-25 メトロロジデータへの寄与の分離

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662298367P 2016-02-22 2016-02-22
US62/298,367 2016-02-22
US201662382764P 2016-09-01 2016-09-01
US62/382,764 2016-09-01
US201762459327P 2017-02-15 2017-02-15
US62/459,327 2017-02-15
PCT/EP2017/053700 WO2017144379A1 (en) 2016-02-22 2017-02-17 Separation of contributions to metrology data

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020090952A Division JP7410795B2 (ja) 2016-02-22 2020-05-25 メトロロジデータへの寄与の分離

Publications (2)

Publication Number Publication Date
JP2019508734A true JP2019508734A (ja) 2019-03-28
JP6964591B2 JP6964591B2 (ja) 2021-11-10

Family

ID=58192268

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2018538886A Active JP6964591B2 (ja) 2016-02-22 2017-02-17 メトロロジデータへの寄与の分離
JP2020090952A Active JP7410795B2 (ja) 2016-02-22 2020-05-25 メトロロジデータへの寄与の分離
JP2022158082A Pending JP2022179584A (ja) 2016-02-22 2022-09-30 メトロロジデータへの寄与の分離

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020090952A Active JP7410795B2 (ja) 2016-02-22 2020-05-25 メトロロジデータへの寄与の分離
JP2022158082A Pending JP2022179584A (ja) 2016-02-22 2022-09-30 メトロロジデータへの寄与の分離

Country Status (6)

Country Link
US (2) US11520239B2 (ja)
JP (3) JP6964591B2 (ja)
KR (3) KR20180115299A (ja)
CN (2) CN112255892B (ja)
TW (4) TWI810540B (ja)
WO (1) WO2017144379A1 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11126092B2 (en) * 2015-11-13 2021-09-21 Asml Netherlands B.V. Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value
KR20180115299A (ko) 2016-02-22 2018-10-22 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
KR102485767B1 (ko) 2017-02-22 2023-01-09 에이에스엠엘 네델란즈 비.브이. 전산 계측
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
CN115220311A (zh) 2017-05-05 2022-10-21 Asml荷兰有限公司 用于预测器件制造工艺的良率的方法
WO2018206275A1 (en) 2017-05-12 2018-11-15 Asml Netherlands B.V. Methods for evaluating resist development
EP3688529B1 (en) * 2017-09-27 2023-12-13 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
JP2021508078A (ja) * 2017-12-19 2021-02-25 エーエスエムエル ネザーランズ ビー.ブイ. 計算メトロロジに基づく補正および制御
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3531207A1 (en) 2018-02-27 2019-08-28 ASML Netherlands B.V. Alignment mark positioning in a lithographic process
TWI729334B (zh) 2018-06-07 2021-06-01 荷蘭商Asml荷蘭公司 用於判定器件之控制方案的方法、電腦程式和系統及用於判定多個器件處理之基板的方法
EP3579051A1 (en) 2018-06-07 2019-12-11 ASML Netherlands B.V. Generation of sampling scheme
WO2020035272A1 (en) 2018-08-14 2020-02-20 Asml Netherlands B.V. Model calibration and guided metrology based on smart sampling
TWI749355B (zh) * 2018-08-17 2021-12-11 荷蘭商Asml荷蘭公司 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
EP3657257A1 (en) 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
EP3657281B1 (en) 2018-11-26 2022-11-30 ASML Netherlands B.V. Control strategy evaluation tool for a semiconductor manufacturing process and its user interface
WO2020114692A1 (en) 2018-12-07 2020-06-11 Asml Netherlands B.V. Method for determining root cause affecting yield in a semiconductor manufacturing process
EP3906442A1 (en) * 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
US11333982B2 (en) * 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
US20220179321A1 (en) * 2019-03-25 2022-06-09 Asml Netherlands B.V. Method for determining pattern in a patterning process
WO2020193367A1 (en) * 2019-03-25 2020-10-01 Asml Netherlands B.V. Method of determining a set of metrology points on a substrate, associated apparatus and computer program
CN112014070B (zh) * 2019-05-31 2021-10-26 上海微电子装备(集团)股份有限公司 一种波像差测量装置、测量方法及光刻机
KR20220034902A (ko) 2019-08-22 2022-03-18 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 제어 방법
JP7432418B2 (ja) * 2020-03-26 2024-02-16 株式会社オーク製作所 露光装置および露光方法
US11481922B2 (en) * 2020-04-07 2022-10-25 Kla Corporation Online navigational drift correction for metrology measurements
TWI761948B (zh) * 2020-09-14 2022-04-21 倍利科技股份有限公司 由檢測影像取得輪廓的定位方法
EP4002015A1 (en) * 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08148404A (ja) * 1994-11-16 1996-06-07 Sony Corp 露光方法およびレジストパターン算出方法
JP2000292906A (ja) * 1999-04-12 2000-10-20 Hitachi Ltd マスクおよびパタン転写方法
JP2009288497A (ja) * 2008-05-29 2009-12-10 Toshiba Corp パターン検証方法、パターン決定方法、製造条件決定方法、パターン検証プログラム及び製造条件検証プログラム
JP2010040968A (ja) * 2008-08-08 2010-02-18 Toshiba Corp 露光方法、半導体装置の製造方法、及びマスクデータの作成方法
JP2011142319A (ja) * 2009-12-18 2011-07-21 Asml Netherlands Bv リソグラフィ装置における動的位置決め誤差の性質を測定する方法、データ処理装置、およびコンピュータプログラム製品
JP2011146606A (ja) * 2010-01-15 2011-07-28 Toshiba Corp 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置
JP2013012773A (ja) * 2008-06-03 2013-01-17 Asml Netherlands Bv モデルベースのスキャナ調整方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
EP1273973A1 (en) * 2001-07-03 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Method for adjusting a temperature in a resist process
JP2003297892A (ja) * 2002-03-28 2003-10-17 Trecenti Technologies Inc 半導体装置のプロセスパラメータの決定方法およびそれを用いた半導体装置の製造方法
JP4249139B2 (ja) 2003-04-23 2009-04-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法、及び、半導体装置製造システム
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7530048B2 (en) * 2005-04-09 2009-05-05 Cadence Design Systems, Inc. Defect filtering optical lithography verification process
US7701555B2 (en) * 2005-07-01 2010-04-20 Nikon Corporation Exposure apparatus, exposure method, device manufacturing method, and system
US7732109B2 (en) * 2007-04-04 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improving critical dimension uniformity
JP4826544B2 (ja) 2007-05-23 2011-11-30 東京エレクトロン株式会社 加熱処理装置、加熱処理方法及び記憶媒体
JP2009239029A (ja) 2008-03-27 2009-10-15 Toshiba Corp リソグラフィ装置の評価方法および制御方法
NL2003919A (en) 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
US8804137B2 (en) 2009-08-31 2014-08-12 Kla-Tencor Corporation Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
US8572518B2 (en) 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
CN102914945B (zh) 2011-08-04 2015-05-13 上海微电子装备有限公司 一种分布式曝光剂量控制系统及方法
US8468471B2 (en) 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US9535338B2 (en) 2012-05-29 2017-01-03 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
NL2013417A (en) 2013-10-02 2015-04-07 Asml Netherlands Bv Methods & apparatus for obtaining diagnostic information relating to an industrial process.
KR102265868B1 (ko) * 2013-12-11 2021-06-16 케이엘에이 코포레이션 요건에 대한 타겟 및 프로세스 감도 분석
TWI631636B (zh) 2013-12-16 2018-08-01 克萊譚克公司 以模型爲基礎之量測及一製程模型的整合使用
CN105992975B (zh) 2014-02-11 2018-06-01 Asml荷兰有限公司 用于计算任意图案的随机变化的模型
KR101939313B1 (ko) 2014-06-25 2019-01-16 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
WO2016128189A1 (en) 2015-02-13 2016-08-18 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
KR20180115299A (ko) 2016-02-22 2018-10-22 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08148404A (ja) * 1994-11-16 1996-06-07 Sony Corp 露光方法およびレジストパターン算出方法
JP2000292906A (ja) * 1999-04-12 2000-10-20 Hitachi Ltd マスクおよびパタン転写方法
JP2009288497A (ja) * 2008-05-29 2009-12-10 Toshiba Corp パターン検証方法、パターン決定方法、製造条件決定方法、パターン検証プログラム及び製造条件検証プログラム
JP2013012773A (ja) * 2008-06-03 2013-01-17 Asml Netherlands Bv モデルベースのスキャナ調整方法
JP2010040968A (ja) * 2008-08-08 2010-02-18 Toshiba Corp 露光方法、半導体装置の製造方法、及びマスクデータの作成方法
JP2011142319A (ja) * 2009-12-18 2011-07-21 Asml Netherlands Bv リソグラフィ装置における動的位置決め誤差の性質を測定する方法、データ処理装置、およびコンピュータプログラム製品
JP2011146606A (ja) * 2010-01-15 2011-07-28 Toshiba Corp 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置

Also Published As

Publication number Publication date
US11520239B2 (en) 2022-12-06
JP6964591B2 (ja) 2021-11-10
TWI721496B (zh) 2021-03-11
KR20210074410A (ko) 2021-06-21
US20190086810A1 (en) 2019-03-21
TW202131111A (zh) 2021-08-16
TWI629574B (zh) 2018-07-11
TW201826033A (zh) 2018-07-16
WO2017144379A1 (en) 2017-08-31
JP2022179584A (ja) 2022-12-02
JP7410795B2 (ja) 2024-01-10
JP2020126279A (ja) 2020-08-20
CN108700823A (zh) 2018-10-23
TWI668524B (zh) 2019-08-11
CN108700823B (zh) 2020-11-27
KR20220008397A (ko) 2022-01-20
US20230042759A1 (en) 2023-02-09
TWI810540B (zh) 2023-08-01
KR20180115299A (ko) 2018-10-22
CN112255892B (zh) 2023-07-18
KR102350572B1 (ko) 2022-01-11
CN112255892A (zh) 2021-01-22
TW201832020A (zh) 2018-09-01
KR102461086B1 (ko) 2022-10-28
TW201939174A (zh) 2019-10-01

Similar Documents

Publication Publication Date Title
JP7410795B2 (ja) メトロロジデータへの寄与の分離
US11347150B2 (en) Computational metrology
US11733610B2 (en) Method and system to monitor a process apparatus
TWI694487B (zh) 製程窗優化器
KR102352673B1 (ko) 컴퓨테이션 계측법
CN108139686B (zh) 处理参数的间接确定
JP2022140566A (ja) 計算メトロロジに基づく補正および制御
TW201633190A (zh) 處理窗識別符
WO2017067752A1 (en) Method and apparatus to correct for patterning process error
WO2017067755A1 (en) Method and apparatus to correct for patterning process error
WO2017067756A1 (en) Method and apparatus to correct for patterning process error
WO2017067765A1 (en) Method and apparatus to correct for patterning process error
WO2017067757A1 (en) Method and apparatus to correct for patterning process error
US20230221652A1 (en) Process window based on failure rate

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180920

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180920

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191113

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20200207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200525

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20200525

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20200604

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20200605

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20200710

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20200714

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20201008

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20210125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210415

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20210720

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20210917

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20211014

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20211014

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211019

R150 Certificate of patent or registration of utility model

Ref document number: 6964591

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150