TW201633190A - 處理窗識別符 - Google Patents

處理窗識別符 Download PDF

Info

Publication number
TW201633190A
TW201633190A TW104130190A TW104130190A TW201633190A TW 201633190 A TW201633190 A TW 201633190A TW 104130190 A TW104130190 A TW 104130190A TW 104130190 A TW104130190 A TW 104130190A TW 201633190 A TW201633190 A TW 201633190A
Authority
TW
Taiwan
Prior art keywords
defect
features
existence
probability
processing window
Prior art date
Application number
TW104130190A
Other languages
English (en)
Other versions
TWI641959B (zh
Inventor
陳剛
沃曲 喬瑟夫 韋納 迪
杜岳林
李宛俞
彥文 盧
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201633190A publication Critical patent/TW201633190A/zh
Application granted granted Critical
Publication of TWI641959B publication Critical patent/TWI641959B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system

Abstract

本文揭示一種用於判定用於一器件製造程序之一設計佈局之一部分上的一所關注區域之一重疊處理窗(OPW)之電腦實施方法,該器件製造程序用於使該部分成像至一基板上,該方法包含:獲得該所關注區域中之複數個特徵;獲得該器件製造程序之一或多個處理參數之複數個值;在藉由該器件製造程序使該複數個特徵成像時根據該複數個值中之每一者來判定缺陷之存在、缺陷之該存在的機率或此兩者;及自缺陷之該存在、缺陷之該存在之該機率或此兩者判定該所關注區域之該OPW。

Description

處理窗識別符
本發明係關於一種最佳化半導體製造程序之效能之方法。該方法可結合微影裝置而使用。
微影裝置可用於(例如)積體電路(IC)之製造中。在此狀況下,圖案化器件(例如,光罩)可含有或提供對應於IC之個別層之電路圖案(「設計佈局」),且可藉由諸如經由圖案化器件上之電路圖案而輻照已被塗佈有輻射敏感材料(「抗蝕劑」)層之基板(例如,矽晶圓)上之目標部分(例如,包含一或多個晶粒)的方法將此電路圖案轉印至該目標部分上。一般而言,單一基板含有複數個鄰近目標部分,電路圖案係由微影裝置順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影裝置中,將整個圖案化器件上之電路圖案一次性轉印至一個目標部分上;此裝置通常被稱作晶圓步進器(wafer stepper)。在通常被稱作步進掃描裝置(step-and-scan apparatus)之替代裝置中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化器件進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化器件上之電路圖案之不同部分逐漸地轉印至一個目標部分。一般而言,因為微影裝置將具有放大因數M(通常<1),所以基板被移動之速率F將為投影光束掃描圖案化器件之速率的因數M倍。可(例如)自以引用方式併入本文中之US 6,046,792搜集到關於如本文中所描述之微影 器件的更多資訊。
在將電路圖案自圖案化器件轉印至基板之前,基板可經歷各種工序,諸如,上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序,諸如,曝光後烘烤(PEB)、顯影、硬烘烤,及經轉印電路圖案之量測/檢測。此工序陣列係用作製造一器件(例如,IC)之個別層的基礎。基板接著可經歷各種程序,諸如,蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光等等,該等程序皆意欲精整器件之個別層。若在器件中需要若干層,則針對每一層來重複整個工序或其變體。最終,在基板上之每一目標部分中將存在一器件。接著藉由諸如切塊或鋸切之技術來使此等器件彼此分離,據此,可將個別器件安裝於載體上、連接至銷釘,等等。
如所提及,微影蝕刻術(microlithography)為在IC之製造時的中心步驟,其中形成於基板上之圖案界定IC之功能元件,諸如,微處理器、記憶體晶片,等等。相似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他器件。
本文揭示一種用於判定用於一器件製造程序之一設計佈局之一部分上的一所關注區域之一重疊處理窗(OPW)之電腦實施方法,該器件製造程序用於使該部分成像至一基板上,該方法包含:獲得該所關注區域中之複數個特徵;獲得該器件製造程序之一或多個處理參數之複數個值;在藉由該器件製造程序使該複數個特徵成像時根據該複數個值中之每一者來判定缺陷之存在、缺陷之該存在的機率或此兩者;及自缺陷之該存在、缺陷之該存在之該機率或此兩者判定該所關注區域之該OPW。
211‧‧‧個別處理窗(IPW)
212‧‧‧個別處理窗(IPW)
213‧‧‧個別處理窗(IPW)
220‧‧‧重疊處理窗(OPW)
311‧‧‧步驟
312‧‧‧步驟
313‧‧‧步驟
314‧‧‧步驟
315‧‧‧步驟
316‧‧‧步驟
AM‧‧‧調整器
BD‧‧‧光束遞送系統
C‧‧‧目標部分
CO‧‧‧聚光器
IF‧‧‧位置感測器
IL‧‧‧照明系統/照明器
IN‧‧‧積光器
M1‧‧‧圖案化器件對準標記
M2‧‧‧圖案化器件對準標記
MA‧‧‧圖案化器件
MT‧‧‧支撐結構/物件台
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PB‧‧‧輻射光束
PM‧‧‧第一定位器件
PL‧‧‧項目/投影系統/透鏡
PW‧‧‧第二定位器件
SO‧‧‧輻射源
W‧‧‧基板
WT‧‧‧基板台/物件台
現在將參看隨附示意性圖式僅作為實例來描述實施例,在該等 圖式中對應元件符號指示對應部件,且在該等圖式中:圖1描繪根據一實施例之微影裝置;圖2說明處理窗限制圖案(PWLP)之概念;圖3展示根據一實施例的用於判定所關注區域之OPW之方法的流程圖;圖4展示兩個處理參數--劑量(水平軸線)及焦點(垂直軸線)之值的實例;圖5展示用於聚焦之映圖;圖6展示圖4中之一些點導致圖案中之缺陷或缺陷之高機率。
儘管在本文中可特定地參考微影裝置在IC製造中之使用,但應理解,本文所描述之微影裝置可具有其他應用,諸如,製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。微影裝置熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文對術語「晶圓」或「晶粒」之任何使用分別與更一般術語「基板」或「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)或度量衡或檢測工具中處理本文所提及之基板。適用時,可將本文之揭示內容應用於此等及其他基板處理工具。另外,可將基板處理一次以上,(例如)以便產生多層IC,使得本文中所使用之術語「基板」亦可指已經含有多個經處理層之基板。
本文所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有為365奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如,具有在5奈米至20奈米之範圍內之波長);以及粒子束(諸如,離子束或電子束)。
本文所使用之術語「圖案化器件」應被廣泛地解譯為係指可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的器件。應注意,被賦予至輻射光束之圖案可能不會確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所產生之器件(諸如,積體電路)中之特定功能層。
圖案化器件可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便在不同方向上反射入射輻射光束;以此方式,反射光束經圖案化。
支撐結構固持圖案化器件。支撐結構以取決於圖案化器件之定向、微影裝置之設計及其他條件(諸如,圖案化器件是否被固持於真空環境中)的方式來固持圖案化器件。支撐件可使用機械夾持、真空或其他夾持技術,例如,在真空條件下之靜電夾持。支撐結構可為(例如)框架或台,其可根據需要而固定或可移動且可確保圖案化器件(例如)相對於投影系統處於所要位置。可認為本文中對術語「比例光罩」或「光罩」之任何使用皆與更一般術語「圖案化器件」同義。
本文所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於(例如)所使用之曝光輻射或適於諸如浸潤流體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射光學系統、反射光學系統及反射折射光學系統。可認為本文對術語「投影透鏡」之任何使用皆與更一般之術語「投影系統」同義。
照明系統亦可涵蓋各種類型之光學組件,包括用於導向、塑形或控制輻射光束的折射、反射及反射折射光學組件,且此等組件亦可 在下文中被集體地或單個地稱作「透鏡」。
微影裝置可為具有兩個(雙載物台)或兩個以上基板台(及/或兩個或兩個以上支撐結構)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可對一或多個台進行預備步驟,同時將一或多個其他台用於曝光。
微影裝置亦可屬於如下類型:其中基板被浸潤於具有相對高折射率之液體(例如,水)中,以便填充投影系統之最終元件與基板之間的空間。浸沒技術在此項技術中被熟知用於增加投影系統之數值孔徑。
圖1示意性地描繪根據一特定實施例之微影裝置。該裝置包含:- 照明系統(照明器)IL,其用以調節輻射光束PB(例如,UV輻射或DUV輻射);- 支撐結構MT,其用以支撐圖案化器件(例如,光罩)MA,且連接至第一定位器件PM,以相對於項目PL而準確地定位該圖案化器件;- 基板台(例如,晶圓台)WT,其用於固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至第二定位器件PW,以用於相對於項目PL而準確地定位該基板;及- 投影系統(例如,折射投影透鏡)PL,其經組態以將由圖案化器件MA賦予至輻射光束PB之圖案成像至基板W之目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,裝置屬於透射類型(例如,使用透射光罩)。替代地,裝置可屬於反射類型(例如,使用如以上所提及之類型之可程式化鏡面陣列)。
照明器IL自輻射源SO接收輻射光束。舉例而言,當輻射源為準分子雷射時,輻射源與微影裝置可為分離實體。在此等狀況下,不認 為輻射源形成微影裝置之部件,且輻射光束憑藉包含(例如)合適導向鏡面及/或光束擴展器之光束遞送系統BD而自輻射源SO傳遞至照明器IL。在其他狀況下,舉例而言,當輻射源為水銀燈時,輻射源可為裝置之整體部件。輻射源SO及照明器IL連同光束傳遞系統BD(在需要時)一起可被稱作輻射系統。
照明器IL可變更光束之強度分佈。照明器可經配置以限制輻射光束之徑向範圍,使得在照明器IL之光瞳平面中之環形區內的強度分佈為非零。另外或替代地,照明器IL可操作以限制光束在光瞳平面中之分佈,使得在光瞳平面中之複數個同等間隔之區段中的強度分佈為非零。輻射光束在照明器IL之光瞳平面中之強度分佈可被稱作照明模式。
照明器IL可包含經組態以調整光束之強度分佈之調整器AM。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。照明器IL可操作以變化光束之角度分佈。舉例而言,照明器可操作以變更強度分佈為非零的光瞳平面中之區段之數目及角度範圍。藉由調整光束在照明器之光瞳平面中之強度分佈,可達成不同照明模式。舉例而言,藉由限制照明器IL之光瞳平面中之強度分佈之徑向及角度範圍,強度分佈可具有多極分佈,諸如,偶極、四極或六極分佈。可(例如)藉由將提供彼照明模式之光學器件插入至照明器IL中或使用空間光調變器來獲得所要照明模式。
照明器IL可操作以變更光束之偏振且可操作以使用調整器AM來調整偏振。橫越照明器IL之光瞳平面之輻射光束的偏振狀態可被稱作偏振模式。使用不同偏振模式可允許在形成於基板W上之影像中達成較大對比度。輻射光束可為非偏振的。替代地,照明器可經配置以使輻射光束線性地偏振。輻射光束之偏振方向可橫越照明器IL之光瞳平 面而變化。輻射之偏振方向在照明器IL之光瞳平面中之不同區中可不同。可取決於照明模式來選擇輻射之偏振狀態。對於多極照明模式,輻射光束之每一極之偏振可大體上垂直於照明器IL之光瞳平面中之彼極的位置向量。舉例而言,對於偶極照明模式,輻射可在實質上垂直於將偶極之兩個對置區段二等分之線的方向上線性地偏振。輻射光束可在兩個不同正交方向中之一者上偏振,其可被稱作經X偏振狀態及經Y偏振狀態。對於四極照明模式,每一極之區段中之輻射可在實質上垂直於將彼區段二等分之線之方向上線性地偏振。此偏振模式可被稱作XY偏振。相似地,對於六極照明模式,每一極之區段中之輻射可在實質上垂直於將彼區段二等分之線之方向上線性地偏振。此偏振模式可被稱作TE偏振。
另外,照明器IL通常包含各種其他組件,諸如,積光器IN及聚光器CO。照明器提供在橫截面中具有所要均一性及強度分佈的經調節輻射光束PB。
輻射光束PB入射於被固持於支撐結構MT上之圖案化器件(例如,光罩)MA上。在已橫穿圖案化器件MA的情況下,光束PB傳遞通過透鏡PL,透鏡PL將該光束聚焦至基板W之目標部分C上。憑藉第二定位器件PW及位置感測器IF(例如,干涉量測器件),可準確地移動基板台WT,例如,以便使不同目標部分C定位於光束PB之路徑中。相似地,第一定位器件PM及另一位置感測器(其未在圖1中被明確地描繪)可用以(例如)在自光罩庫之機械擷取之後或在掃描期間相對於光束PB之路徑來準確地定位圖案化器件MA。一般而言,將憑藉形成定位器件PM及PW之部件之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT及WT之移動。然而,在步進器(相對於掃描器)之狀況下,支撐結構MT可僅連接至短衝程致動器,或可固定。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件 MA及基板W。
所描繪裝置可用於以下較佳模式中:
1.在步進模式中,在將被賦予至光束PB之整個圖案一次性投影至目標部分C上時,使支撐結構MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中成像之目標部分C之大小。
2.在掃描模式中,在將被賦予至光束PB之圖案投影至目標部分C上時,同步地掃描支撐結構MT及基板台WT(亦即,單次動態曝光)。藉由投影系統PL之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構MT之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之長度(在掃描方向上)。
3.在另一模式中,在將被賦予至光束PB之圖案投影至目標部分C上時,使支撐結構MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如,上文所提及之類型之可程式化鏡面陣列)之無光罩微影。
亦可使用對上文所描述之使用模式之組合及/或變化或完全不同的使用模式。
投影系統PL具有可非均一之光學轉移函數,其可影響成像於基板W上之圖案。對於非偏振輻射,此等效應可由兩個純量映圖相當良好地描述,該兩個純量映圖描述依據射出投影系統PL之輻射光瞳平面中之位置而變化的該輻射之透射(變跡)及相對相位(像差)。可將可 被稱作透射映圖及相對相位映圖之此等純量映圖表達為基底函數之完整集合之線性組合。一特別方便集合為任尼克多項式,其形成單位圓上所定義之正交多項式集合。每一純量映圖之判定可涉及判定此展開式中之係數。因為任尼克多項式在單位圓上正交,所以可藉由依次計算經量測純量映圖與每一任尼克多項式之內積且將此內積除以彼任尼克多項式之範數之平方來判定任尼克係數。
透射映圖及相對相位映圖係場及系統相依的。亦即,一般而言,每一投影系統PL將針對每一場點(亦即,針對投影系統PL之影像平面中之每一空間部位)具有一不同任尼克展開式。可藉由將輻射(例如)自投影系統PL之物件平面(亦即,圖案化器件MA之平面)中之類點源投影通過投影系統PL且使用剪切干涉計以量測波前(亦即,具有相同相位之點之軌跡)來判定投影系統PL在其光瞳平面中之相對相位。剪切干涉計係常見路徑干涉計且因此,有利的是,無需次級參考光束來量測波前。剪切干涉計可包含一繞射光柵,例如,投影系統之影像平面(亦即,基板台WT)中之二維柵格;及一偵測器,其經配置以偵測與投影系統PL之光瞳平面共軛的平面中之干涉圖案。干涉圖案係與輻射相位相對於在剪切方向上在光瞳平面中之座標之導數有關。偵測器可包含感測元件陣列,諸如,電荷耦合器件(CCD)。
可在兩個垂直方向上順序地掃描繞射光柵,該兩個垂直方向可與投影系統PL之座標系統之軸線(x及y)重合或可與此等軸線成諸如45度之角度。可遍及整數個光柵週期(例如,一個光柵週期)執行掃描。該掃描使在一個方向上之相位變化達到平均數,從而允許重新建構在另一方向上之相位變化。此情形允許判定依據兩個方向而變化的波前。
目前先進技術之微影裝置LA之投影系統PL可能不產生可見條紋,且因此,可使用相位步進技術(諸如,移動繞射光柵)來增強波前 之判定之準確度。可在繞射光柵之平面中且在垂直於量測之掃描方向之方向上執行步進。步進範圍可為一個光柵週期,且可使用至少三個(均一地分佈)相位步進。因此,舉例而言,可在y方向上執行三個掃描量測,每一掃描量測係在x方向上針對一不同位置予以執行。繞射光柵之此步進將相位變化有效地變換成強度變化,從而允許判定相位資訊。光柵可在垂直於繞射光柵之方向(z方向)上步進以校準偵測器。
可藉由將輻射(例如)自投影系統PL之物件平面(亦即,圖案化器件MA之平面)中之類點源投影通過投影系統PL且使用偵測器來量測與投影系統PL之光瞳平面共軛的平面中之輻射強度來判定投影系統PL在其光瞳平面中之透射(變跡)。可使用與用以量測波前以判定像差的偵測器同一個偵測器。投影系統PL可包含複數個光學(例如,透鏡)元件,且可進一步包含一調整機構PA,該調整機構PA經組態以調整光學元件中之一或多者以便校正像差(橫越遍及場之光瞳平面之相位變化)。為了達成此調整,調整機構PA可操作而以一或多個不同方式操控投影系統PL內之一或多個光學(例如,透鏡)元件。投影系統可具有一座標系統,其中該投影系統之光軸在z方向上延伸。調整機構PA可操作以進行以下各項之任何組合:使一或多個光學元件位移;使一或多個光學元件傾斜;及/或使一或多個光學元件變形。光學元件之位移可在任何方向(x、y、z或其組合)上進行。光學元件之傾斜通常在垂直於光軸之平面外藉由在x或y方向上圍繞軸線旋轉而進行,但對於非可旋轉對稱之非球面光學元件可使用圍繞z軸之旋轉。光學元件之變形可包括低頻形狀(例如,散光)及高頻形狀(例如,自由形式非球面)兩者。可(例如)藉由使用一或多個致動器以對光學元件之一或多個側施加力及/或藉由使用一或多個加熱元件以加熱光學元件之一或多個選定區來執行光學元件之變形。一般而言,沒有可能調整投影系統 PL以校正變跡(橫越光瞳平面之透射變化)。可在設計用於微影裝置LA之圖案化器件(例如,光罩)MA時使用投影系統PL之透射映圖。在使用計算微影技術的情況下,圖案化器件MA可經設計成至少部分地校正變跡。
設計佈局上之特徵可具有不同個別處理窗(IPW)。特徵之IPW為將在規範內產生特徵之器件製造程序(例如,微影、蝕刻)之處理參數之範圍。規範可意謂藉由器件製造程序產生之特徵之特性的可接受範圍。規範之實例包括檢查頸縮、線拉回、線變薄、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及橋接。換言之,IPW為處理參數之可接受值的範圍。值可接受在此處意謂:若根據彼值產生特徵,則特徵將不變成缺陷。
不同特徵可受到處理參數不同地影響。舉例而言,特徵可對劑量不敏感,此係因為特徵之規範極寬容。特徵之IPW可受到許多因素影響,諸如,該特徵及附近其他特徵之部位及形狀。可藉由使複數個特徵(例如,所關注區域中之特徵)之IPW重疊(例如,尋找IPW之共同部分)而獲得該複數個特徵之重疊處理窗(OPW)。OPW之邊界含有一些特徵之IPW之邊界。換言之,此等個別特徵限制OPW。此等特徵可被稱作「熱點」或「處理窗限制圖案(PWLP)」,其在本文中可互換地使用。當控制微影程序時,有可能集中於PWLP且集中於PWLP係低成本的。當PWLP不會導致缺陷時,最可能的是複數個特徵皆不導致缺陷。
圖2說明PWLP之概念。假定設計佈局包括所關注區域中之三個特徵A、B及C,其分別具有IPW 211、212及213。所關注區域之OPW為加影線區域220,其為IPW 211、212及213之重疊區域。因為OPW 220之邊界含有IPW 211、212及213之邊界,所以特徵A、B及C為PWLP。P1及P2為兩個處理參數。歸因於器件製造程序中之稍微改 變,非PWLP特徵可變成PWLP,且反之亦然。當控制諸如微影程序之器件製造程序時,有可能集中於PWLP且集中於PWLP係低成本的。
設計佈局之所關注區域可具有數千或甚至數百萬圖案。識別用於所關注區域之OPW可在計算上極昂貴。圖3展示根據一實施例的用於判定所關注區域之OPW之方法的流程圖。在步驟311中,使用任何合適方法來獲得所關注區域中之特徵(例如,PWLP)。舉例而言,可基於表示設計佈局之資料來選擇特徵。所關注區域可包括一或多個PWLP。所關注區域可包括設計佈局之兩個或兩個以上斷開部分。所關注區域可能夠藉由器件製造程序而同時成像至基板上。舉例而言,可由器件製造程序之操作員提供特徵。
在步驟312中,獲得器件製造程序之一或多個處理參數之複數個值。可隨機地或根據規則來選擇複數個值。亦可自其他源獲得複數個值。該複數個值可為值之「集合」。術語「值之集合」意謂用於處理參數中之每一者之單一值之集合。圖4展示值集合之實例,其中存在兩個處理參數--劑量(水平軸線)及焦點(垂直軸線)。在此實例中,處理參數之值集合為兩個值之集合--一個值針對劑量且一個值針對焦點。劑量及焦點之值可為與標稱最佳焦點及標稱最佳劑量相對之值。在一實施例中,值之複數個集合中之至少一者係在步驟311中選擇之特徵中之至少一者之IPW的外部。
在步驟313中,根據步驟312獲得之值而判定在使步驟311中之選定特徵成像時缺陷之存在、缺陷存在之機率或此兩者。可在實際上不判定整個IPW的情況下自步驟311中之選定特徵之IPW的一或多個特性(例如,聚焦深度(DOF)及劑量寬容度)判定缺陷之存在、缺陷存在之機率或此兩者。IPW之一或多個特性可編譯成映圖,諸如,DOF之映圖或劑量寬容度之映圖。亦可藉由實際上判定IPW來判定缺陷之存 在、缺陷存在之機率或此兩者。
可使用經驗規則、計算模型或藉由實驗來判定缺陷之存在、缺陷存在之機率或此兩者。若使用經驗規則,則不模擬選定特徵之影像(例如,抗蝕劑影像、光學影像、蝕刻影像);取而代之,經驗規則基於處理參數、選定特徵之特性與缺陷之間的相關性判定缺陷之存在、缺陷存在之機率或此兩者。舉例而言,經驗規則可為分類器或有缺陷傾向之特徵之資料庫。
分類器可將步驟312中獲得之值及步驟311中之選定特徵之一或多個特性視為輸入(例如,自變數),且輸出缺陷之存在、缺陷存在之機率或此兩者。
術語「分類器」或「分類模型」有時亦係指藉由分類演算法實施之將輸入資料映射至一類別之數學函數。在機器學習及統計學中,分類為基於含有類別成員資格為吾人所知之觀測(或例項)之資料之訓練集而識別新觀測屬於類別集合(子群體)之哪一類別的問題。個別觀測經分析成可定量屬性集合,其被稱為各種解釋性變數、特徵,等等。此等屬性可不同地為分類的(例如,「良好」--不產生缺陷之微影程序,或「不良」--產生缺陷之微影程序;「類型1」、「類型2」、……「類型n」--不同類型之缺陷)。分類被認為是監督學習之例項,亦即,經正確識別觀測之訓練集可用的學習。分類模型之實例為邏輯回歸及多元羅吉特、機率單位回歸、感知器演算法、支援向量機器、匯入向量機器,及線性判別分析。
若使用計算模型,則計算或模擬選定特徵之影像之一部分或一特性,且自該部分或該特性判定缺陷之存在。舉例而言,可藉由量測自所要部位之線端來判定線拉回缺陷;可藉由尋找兩條線不理想地接合之部位來識別橋接缺陷;可藉由尋找分離層上之不理想地重疊或不理想地未重疊之兩個特徵來判定重疊缺陷。經驗規則相比於計算模型 計算上可較不昂貴。有可能將缺陷之存在、缺陷存在之機率或此兩者編譯成映圖--亦即,以判定依據部位而變化的缺陷之存在、缺陷存在之機率或此兩者。
若使用實驗,則自晶圓檢測工具(例如,使用顯影後檢測(ADI)工具、蝕刻後檢測工具或掃描電子顯微鏡(SEM))獲得之資料判定缺陷之存在、缺陷存在之機率或此兩者。
缺陷可包括頸縮、線拉回、線變薄、臨界尺寸誤差、重疊、抗蝕劑頂部損耗、抗蝕劑底切及橋接。
處理參數可包括焦點、劑量、照明源之特性(例如,強度、光瞳輪廓等等)、抗蝕劑之特性、投影光學器件之特性、自度量衡獲得之資料及來自用於器件製造程序中之處理裝置之操作員的資料、抗蝕劑之顯影及曝光後烘烤之特性,及蝕刻之特性。
處理參數可編譯成被表示為依據部位而變化的映圖(例如,依據部位而變化的微影參數或程序條件)。圖5展示用於聚焦之映圖。
圖6示意性地展示:對於一特定圖案,圖4之一些值(由對角影線之點表示)並不導致缺陷或缺陷之高機率,且一些值(由實點表示)導致缺陷或缺陷之高機率。
在步驟314中,自缺陷之存在、缺陷存在之機率或此兩者判定所關注區域之OPW。若實際上判定選定特徵之IPW,則可藉由使IPW重疊來判定OPW。
在選用步驟315中,選擇OPW中之點,且根據由此點表示之處理參數之值來進行器件製造程序。該點可為最遠離複數個圖案之處理窗之任何邊界之點。
圖案化器件之一區域中之所有圖案之處理窗可不同於另一區域中之所有圖案之處理窗。可針對不同區域分離地進行圖3之方法。
在選用步驟316中,可至少自所關注區域之OPW判定一部分或整 個設計佈局之全域處理窗。舉例而言,可藉由使所關注多個區域之OPW重疊來判定全域處理窗。
可使用以下條項來進一步描述本發明:
1.一種用於判定用於一器件製造程序之一設計佈局之一部分上的一所關注區域之一重疊處理窗(OPW)之電腦實施方法,該器件製造程序用於使該部分成像至一基板上,該方法包含:獲得該所關注區域中之複數個特徵;獲得該器件製造程序之一或多個處理參數之複數個值;在藉由該器件製造程序使該複數個特徵成像時根據該複數個值中之每一者來判定缺陷之存在、缺陷之該存在的機率或此兩者;及自缺陷之該存在、缺陷之該存在之該機率或此兩者判定該所關注區域之該OPW。
2.如條項1之方法,其中基於表示該設計佈局之資料來選擇該複數個特徵。
3.如條項1至2中任一項之方法,在實際上不判定該等整個IPW的情況下,自該複數個特徵之個別處理窗(IPW)之一或多個特性判定缺陷之該存在、缺陷之該存在之該機率或此兩者。
4.如條項3之方法,其進一步包含將該一或多個特性編譯成一映圖。
5.如條項1至2中任一項之方法,其中自該複數個特徵之個別處理窗(IPW)判定缺陷之該存在、缺陷之該存在之該機率或此兩者。
6.如條項1至2中任一項之方法,其中使用一經驗規則來判定缺陷之該存在、缺陷之該存在之該機率或此兩者。
7.如條項6之方法,其中該經驗規則為一分類器或一資料庫。
8.如條項7之方法,其中該分類器將該複數個值及該複數個特徵之一或多個特性視為輸入,且輸出缺陷之該存在、缺陷之該存在之 該機率或此兩者。
9.如條項8之方法,其中該分類器係選自由邏輯回歸及多元羅吉特、機率單位回歸、感知器演算法、支援向量機器、匯入向量機器及線性判別分析組成的一群組。
10.如條項1至2中任一項之方法,其中使用一計算模型來判定缺陷之該存在、缺陷之該存在之該機率或此兩者,該計算模型計算或模擬該複數個特徵之影像之一部分或一特性,且自該部分或該特性判定缺陷之該存在、缺陷之該存在之該機率或此兩者。
11.如條項1至2中任一項之方法,其中使用自一晶圓檢測工具獲得之實驗資料來判定缺陷之該存在、缺陷之該存在之該機率或此兩者。
12.如條項1至11中任一項之方法,其中該缺陷係選自由頸縮、線拉回、線變薄、臨界尺寸誤差、重疊、抗蝕劑頂部損耗、抗蝕劑底切及橋接組成之一群組。
13.如條項1至12中任一項之方法,其中該一或多個處理參數係選自由如下各者組成之一群組:焦點、劑量、一照明源之一特性、投影光學器件之一特性、一抗蝕劑之一特性、自度量衡獲得之資料、來自用於該器件製造程序中之一處理裝置之一操作員的資料、該抗蝕劑之顯影及曝光後烘烤之一特性,及蝕刻之一特性。
14.如條項1至13中任一項之方法,其進一步包含判定該複數個特徵之IPW。
15.如條項14之方法,其中該OPW之判定包含使該等IPW重疊。
16.如條項1至15中任一項之方法,其進一步包含選擇該OPW中之一點,且根據由此點表示之該等處理參數之值進行該器件製造程序。
17.如條項16之方法,其中該點最遠離該OPW之任何邊界。
18.如條項1至17中任一項之方法,其中該複數個特徵包含一或多個處理窗限制圖案(PWLP)。
19.如條項1至18中任一項之方法,其中該器件製造程序涉及使用一微影裝置。
20.如條項1至19中任一項之方法,其中該所關注區域包含一或多個PWLP。
21.如條項1至20中任一項之方法,其中該所關注區域包含該設計佈局之兩個或兩個以上斷開部分。
22.如條項1至21中任一項之方法,其中該所關注區域能夠藉由該器件製造程序而同時成像至該基板上。
23.如條項1至22中任一項之方法,其進一步包含自該OPW判定該設計佈局之該部分之一全域處理窗。
24.如條項1至23中任一項之方法,其中該複數個值中之至少一者係在該複數個特徵中之至少一者之一個別處理窗(IPW)的外部。
25.如條項1至24中任一項之方法,其中該複數個值包含該等處理參數之值之複數個集合。
26.一種電腦程式產品,其包含其上記錄有指令之一電腦可讀媒體,該等指令在由一電腦執行時實施如條項1至25中任一項之方法。
實施例可以硬體、韌體、軟體或其任何組合予以實施。實施例亦可被實施為儲存於機器可讀媒體上之指令,該等指令可由一個或多個處理器讀取及執行。機器可讀媒體可包括用於儲存或傳輸以可由機器(例如,計算器件)讀取之形式之資訊的任何機構。舉例而言,機器可讀媒體可包括:唯讀記憶體(ROM);隨機存取記憶體(RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體器件;電學、光學、聲學或其他形式之傳播信號(例如,載波、紅外線信號、數位信號,等等);及其他者。另外,韌體、軟體、常式、指令可在本文中被描述為執行某 些動作。然而,應瞭解,此等描述僅僅係出於方便起見,且此等動作事實上係由計算器件、處理器、控制器或執行韌體、軟體、常式、指令等等之其他器件引起。
雖然上文已描述特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐實施例。

Claims (15)

  1. 一種用於判定用於一器件製造程序之一設計佈局之一部分上的一所關注區域之一重疊處理窗(OPW)之電腦實施方法,該器件製造程序用於使該部分成像至一基板上,該方法包含:獲得該所關注區域中之複數個特徵;獲得該器件製造程序之一或多個處理參數之複數個值;在藉由該器件製造程序使該複數個特徵成像時根據該複數個值中之每一者來判定缺陷之存在、缺陷之該存在的機率或此兩者;及自缺陷之該存在、缺陷之該存在之該機率或此兩者判定該所關注區域之該重疊處理窗。
  2. 如請求項1之方法,其中基於表示該設計佈局之資料來選擇該複數個特徵。
  3. 如請求項1之方法,在實際上不判定該等整個個別處理窗的情況下,自該複數個特徵之個別處理窗(IPW)之一或多個特性判定缺陷之該存在、缺陷之該存在之該機率或此兩者。
  4. 如請求項3之方法,其進一步包含將該一或多個特性編譯成一映圖。
  5. 如請求項1之方法,其中使用一經驗規則來判定缺陷之該存在、缺陷之該存在之該機率或此兩者。
  6. 如請求項1之方法,其中使用一計算模型來判定缺陷之該存在、缺陷之該存在之該機率或此兩者,該計算模型計算或模擬該複數個特徵之影像之一部分或一特性,且自該部分或該特性判定缺陷之該存在、缺陷之該存在之該機率或此兩者。
  7. 如請求項1之方法,其中使用自一晶圓檢測工具獲得之實驗資料 來判定缺陷之該存在、缺陷之該存在之該機率或此兩者。
  8. 如請求項1之方法,其中該缺陷係選自由頸縮、線拉回、線變薄、臨界尺寸誤差、重疊、抗蝕劑頂部損耗、抗蝕劑底切及橋接組成之一群組,及/或其中該一或多個處理參數係選自由如下各者組成之一群組:焦點、劑量、一照明源之一特性、投影光學器件之一特性、一抗蝕劑之一特性、自度量衡獲得之資料、來自用於該器件製造程序中之一處理裝置之一操作員的資料、該抗蝕劑之顯影及曝光後烘烤之一特性,及蝕刻之一特性。
  9. 如請求項1之方法,其進一步包含判定該複數個特徵之個別處理窗。
  10. 如請求項9之方法,其中該重疊處理窗之判定包含:使該等個別處理窗重疊。
  11. 如請求項1之方法,其進一步包含選擇該重疊處理窗中之一點,且根據由此點表示之該等處理參數之值進行該器件製造程序。
  12. 如請求項1之方法,其中該複數個特徵包含一或多個處理窗限制圖案(PWLP)。
  13. 如請求項1之方法,其中該所關注區域包含一或多個處理窗限制圖案。
  14. 如請求項1之方法,其進一步包含自該重疊處理窗判定該設計佈局之該部分之一全域處理窗。
  15. 一種電腦程式產品,其包含其上記錄有指令之一電腦可讀媒體,該等指令在由一電腦執行時實施如請求項1至14中任一項之方法。
TW104130190A 2014-09-22 2015-09-11 處理窗識別符 TWI641959B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462053629P 2014-09-22 2014-09-22
US62/053,629 2014-09-22

Publications (2)

Publication Number Publication Date
TW201633190A true TW201633190A (zh) 2016-09-16
TWI641959B TWI641959B (zh) 2018-11-21

Family

ID=54072803

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104130190A TWI641959B (zh) 2014-09-22 2015-09-11 處理窗識別符

Country Status (5)

Country Link
US (3) US9842186B2 (zh)
KR (1) KR102021450B1 (zh)
CN (1) CN107077077B (zh)
TW (1) TWI641959B (zh)
WO (1) WO2016045901A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6491677B2 (ja) 2014-06-10 2019-03-27 エーエスエムエル ネザーランズ ビー.ブイ. 計算的ウェーハ検査
TWI641934B (zh) * 2014-08-05 2018-11-21 聯華電子股份有限公司 虛擬量測系統與方法
KR102021450B1 (ko) 2014-09-22 2019-11-04 에이에스엠엘 네델란즈 비.브이. 공정 윈도우 식별자
US9749311B2 (en) 2014-09-24 2017-08-29 Oracle International Corporation Policy based compliance management and remediation of devices in an enterprise system
US20160162626A1 (en) * 2014-12-01 2016-06-09 Globalfoundries Inc. Lithography process window prediction based on design data
US10514614B2 (en) * 2015-02-13 2019-12-24 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
US11010886B2 (en) * 2016-05-17 2021-05-18 Kla-Tencor Corporation Systems and methods for automatic correction of drift between inspection and design for massive pattern searching
EP3343294A1 (en) * 2016-12-30 2018-07-04 ASML Netherlands B.V. Lithographic process & apparatus and inspection process and apparatus
WO2019078901A1 (en) * 2017-10-22 2019-04-25 Kla-Tencor Corporation USE OF OVERLAY DISTORTION ERROR ESTIMATES IN IMAGING OVERLAY METROLOGY
WO2019121486A1 (en) * 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
EP3627225A1 (en) 2018-09-19 2020-03-25 ASML Netherlands B.V. Particle beam apparatus, defect repair method, lithographic exposure process and lithographic system
CN112969968A (zh) * 2018-11-08 2021-06-15 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
KR20230175346A (ko) 2018-12-28 2023-12-29 에이에스엠엘 네델란즈 비.브이. 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
CN113366390B (zh) * 2019-01-29 2024-02-20 Asml荷兰有限公司 半导体制造过程中的决定方法
WO2023131476A1 (en) 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
EP4261616A1 (en) 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5045445Y2 (zh) 1971-10-07 1975-12-23
US4890239A (en) * 1987-10-20 1989-12-26 Shipley Company, Inc. Lithographic process analysis and control system
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6453452B1 (en) * 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US6268093B1 (en) * 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
US6602728B1 (en) * 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6553559B2 (en) * 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
TWI257524B (en) * 2002-12-09 2006-07-01 Asml Netherlands Bv A method for determining parameters for lithographic projection, a computer system and computer program therefor, a method of manufacturing a device and a device manufactured thereby
US6882745B2 (en) 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
WO2005073807A1 (en) * 2004-01-29 2005-08-11 Kla-Tencor Technologies Corporation Computer-implemented methods for detecting defects in reticle design data
US6847588B1 (en) 2004-03-16 2005-01-25 L-3 Communications Corporation Method for changing the frequency for sampling sonar wavefronts
TW200636521A (en) 2004-07-14 2006-10-16 August Technology Corp All surface data for use in substrate inspection
DE102005009536A1 (de) 2005-02-25 2006-08-31 Carl Zeiss Sms Gmbh Verfahren zur Maskeninspektion im Rahmen des Maskendesigns und der Maskenherstellung
US7300729B2 (en) * 2005-04-13 2007-11-27 Kla-Tencor Technologies Corporation Method for monitoring a reticle
US7760929B2 (en) * 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
JP4806020B2 (ja) * 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
JP4996856B2 (ja) 2006-01-23 2012-08-08 株式会社日立ハイテクノロジーズ 欠陥検査装置およびその方法
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US8102408B2 (en) 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
US8146024B2 (en) 2006-12-18 2012-03-27 Cadence Design Systems, Inc. Method and system for process optimization
US7694244B2 (en) 2006-12-18 2010-04-06 Cadence Design Systems, Inc. Modeling and cross correlation of design predicted criticalities for optimization of semiconductor manufacturing
US7665048B2 (en) 2006-12-18 2010-02-16 Cadence Design Systems, Inc. Method and system for inspection optimization in design and production of integrated circuits
TW200830129A (en) 2007-01-12 2008-07-16 Powertech Technology Inc On-line dispatching method used in chip probing
US7689948B1 (en) 2007-02-24 2010-03-30 Cadence Design Systems, Inc. System and method for model-based scoring and yield prediction
JP2008242112A (ja) 2007-03-28 2008-10-09 Toshiba Corp マスクパターン評価装置及びフォトマスクの製造方法
US7889321B2 (en) * 2007-04-03 2011-02-15 Asml Netherlands B.V. Illumination system for illuminating a patterning device and method for manufacturing an illumination system
US8452075B2 (en) 2007-04-11 2013-05-28 Synopsys, Inc. Range pattern matching for hotspots containing vias and incompletely specified range patterns
JP4333770B2 (ja) 2007-04-12 2009-09-16 ソニー株式会社 マスクパターン作成プログラム、半導体製造方法、マスクパターン作成方法および半導体設計プログラム
JP4958616B2 (ja) 2007-04-20 2012-06-20 株式会社日立ハイテクノロジーズ ホットスポット絞り込み装置、ホットスポット絞り込み方法、ホットスポット絞り込みプログラム、ホットスポット検査装置、および、ホットスポット検査方法
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
DE102007054994A1 (de) * 2007-11-17 2009-05-20 Carl Zeiss Sms Gmbh Verfahren zur Reparatur von Phasenverschiebungsmasken
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP5045445B2 (ja) 2008-01-09 2012-10-10 ソニー株式会社 マスクパターン補正方法、マスクパターン補正プログラム、マスクパターン補正装置、露光条件設定方法、露光条件設定プログラム、露光条件設定装置、半導体装置製造方法、半導体装置製造プログラムおよび半導体装置製造装置
JP5175577B2 (ja) 2008-02-18 2013-04-03 株式会社日立ハイテクノロジーズ 集積回路パターンの欠陥検査方法、及びその装置
US8197996B2 (en) * 2008-09-19 2012-06-12 Tokyo Electron Limited Dual tone development processes
US8146023B1 (en) 2008-10-02 2012-03-27 Kla-Tenor Corporation Integrated circuit fabrication process convergence
JP2010102055A (ja) 2008-10-23 2010-05-06 Sony Corp パターン評価方法、露光用マスク、露光方法、露光用マスクの製造方法および半導体装置の製造方法
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
NL2003699A (en) * 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8302052B2 (en) 2009-06-23 2012-10-30 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing hotspot detection, repair, and optimization of an electronic circuit design
DE102009038558A1 (de) * 2009-08-24 2011-03-10 Carl Zeiss Sms Gmbh Verfahren zur Emulation eines fotolithographischen Prozesses und Maskeninspektionsmikroskop zur Durchführung des Verfahrens
JP5398502B2 (ja) 2009-12-10 2014-01-29 株式会社東芝 パターン作成方法、プロセス決定方法およびデバイス製造方法
US8533637B2 (en) * 2009-12-29 2013-09-10 Mentor Graphics Corporation Retargeting based on process window simulation
US8228403B2 (en) * 2009-12-31 2012-07-24 Omnivision Technologies, Inc. Generating column offset corrections for image sensors
US8918686B2 (en) * 2010-08-18 2014-12-23 Kingtiger Technology (Canada) Inc. Determining data valid windows in a system and method for testing an integrated circuit device
US8372565B2 (en) * 2010-08-31 2013-02-12 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
US8555210B2 (en) 2011-04-29 2013-10-08 Micron Technology, Inc. Systems and methods for stochastic models of mask process variability
US9201022B2 (en) * 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
US8504949B2 (en) 2011-07-26 2013-08-06 Mentor Graphics Corporation Hybrid hotspot detection
NL2009723A (en) 2011-11-30 2013-06-03 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
US8755045B2 (en) 2012-01-06 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Detecting method for forming semiconductor device
JP5880129B2 (ja) 2012-02-24 2016-03-08 富士通株式会社 欠陥箇所予測装置,欠陥箇所予測プログラムおよび欠陥箇所予測方法
JP5988615B2 (ja) * 2012-02-28 2016-09-07 株式会社日立ハイテクノロジーズ 半導体評価装置、及びコンピュータープログラム
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8940555B2 (en) * 2012-09-06 2015-01-27 Globalfoundries Inc. Method and system for determining overlap process windows in semiconductors by inspection techniques
US8954898B2 (en) * 2013-03-15 2015-02-10 International Business Machines Corporation Source-mask optimization for a lithography process
CN103744267B (zh) 2013-11-28 2015-07-08 上海华力微电子有限公司 基于规则图形过滤的版图设计光刻工艺友善性检查方法
CN103645611B (zh) 2013-11-29 2015-11-25 上海华力微电子有限公司 一种版图设计光刻工艺友善性检测方法
CN103645612B (zh) 2013-11-29 2015-08-05 上海华力微电子有限公司 光刻工艺图形缺陷检测方法
KR101924487B1 (ko) 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. 수율 추산 및 제어
SG11201604739RA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
WO2015101458A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
JP6567523B2 (ja) 2013-12-30 2019-08-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
JP6386569B2 (ja) * 2014-02-12 2018-09-05 エーエスエムエル ネザーランズ ビー.ブイ. プロセスウィンドウを最適化する方法
JP6491677B2 (ja) * 2014-06-10 2019-03-27 エーエスエムエル ネザーランズ ビー.ブイ. 計算的ウェーハ検査
US10133191B2 (en) * 2014-07-21 2018-11-20 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
KR102021450B1 (ko) * 2014-09-22 2019-11-04 에이에스엠엘 네델란즈 비.브이. 공정 윈도우 식별자
WO2016091536A1 (en) 2014-12-09 2016-06-16 Asml Netherlands B.V. Method and apparatus for image analysis
US10437157B2 (en) 2014-12-09 2019-10-08 Asml Netherlands B.V. Method and apparatus for image analysis
US10372043B2 (en) * 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
US10725372B2 (en) 2015-02-12 2020-07-28 Asml Netherlands B.V. Method and apparatus for reticle optimization
US10514614B2 (en) 2015-02-13 2019-12-24 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
WO2016142169A1 (en) 2015-03-06 2016-09-15 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
WO2016202559A1 (en) * 2015-06-16 2016-12-22 Asml Netherlands B.V. Process window tracking
WO2017067756A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error

Also Published As

Publication number Publication date
KR102021450B1 (ko) 2019-11-04
US10755025B2 (en) 2020-08-25
US9842186B2 (en) 2017-12-12
US20200372201A1 (en) 2020-11-26
US11379648B2 (en) 2022-07-05
US20160085905A1 (en) 2016-03-24
US20180089359A1 (en) 2018-03-29
WO2016045901A1 (en) 2016-03-31
TWI641959B (zh) 2018-11-21
CN107077077B (zh) 2019-03-12
KR20170063778A (ko) 2017-06-08
CN107077077A (zh) 2017-08-18

Similar Documents

Publication Publication Date Title
US11379648B2 (en) Process window identifier
US11080459B2 (en) Computational wafer inspection
US20220147665A1 (en) Process window optimizer
US20230042759A1 (en) Separation of contributions to metrology data
TWI827068B (zh) 用於預測藉由掃描電子顯微鏡進行後續掃描之缺陷位置之非暫時性電腦可讀取媒體