KR20170063778A - 공정 윈도우 식별자 - Google Patents

공정 윈도우 식별자 Download PDF

Info

Publication number
KR20170063778A
KR20170063778A KR1020177010934A KR20177010934A KR20170063778A KR 20170063778 A KR20170063778 A KR 20170063778A KR 1020177010934 A KR1020177010934 A KR 1020177010934A KR 20177010934 A KR20177010934 A KR 20177010934A KR 20170063778 A KR20170063778 A KR 20170063778A
Authority
KR
South Korea
Prior art keywords
defect
features
determining
probability
overlapping
Prior art date
Application number
KR1020177010934A
Other languages
English (en)
Other versions
KR102021450B1 (ko
Inventor
강 첸
요셉 베르너 드 보크
율린 두
완우 리
옌-웬 루
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20170063778A publication Critical patent/KR20170063778A/ko
Application granted granted Critical
Publication of KR102021450B1 publication Critical patent/KR102021450B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • G06F17/5081
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

디자인 레이아웃의 부분을 기판 상으로 이미징하는 디바이스 제조 공정을 위한 상기 부분의 관심 영역의 오버래핑 공정 윈도우(OPW)를 결정하는 컴퓨터-구현된 방법이 본 명세서에 개시되며, 상기 방법은: 관심 영역 내의 복수의 피처들을 얻는 단계; 디바이스 제조 공정의 1 이상의 처리 파라미터의 복수의 값들을 얻는 단계; 복수의 값들 각각 하에서 디바이스 제조 공정에 의해 복수의 피처들을 이미징함에 있어서 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 결정하는 단계; 및 결함의 존재, 결함의 존재 확률, 또는 둘 모두로부터 관심 영역의 OPW를 결정하는 단계를 포함한다.

Description

공정 윈도우 식별자{PROCESS WINDOW IDENTIFIER}
본 출원은 2014년 9월 22일 출원된 US 출원 62/053,629의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 반도체 제조 공정의 성능을 최적화하는 방법에 관한 것이다. 상기 방법은 리소그래피 장치와 관련하여 사용될 수 있다.
리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)가 IC의 개별층에 대응하는 회로 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 회로 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 회로 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 장치에 의해 회로 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 장치에서는 전체 패터닝 디바이스 상의 회로 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판이 이동된다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들은 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 장치가 배율 인자(M)(일반적으로 < 1)를 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 인자(M) 배가 될 것이다. 본 명세서에 서술된 바와 같은 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792로부터 얻을 수 있다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정들을 거칠 수 있다. 노광 이후, 기판은 노광후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착되고 핀에 연결되는 등의 단계를 거칠 수 있다.
유의되는 바와 같이, 마이크로리소그래피는 IC의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC들의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
본 명세서에서, 디자인 레이아웃의 부분을 기판 상으로 이미징(image)하는 디바이스 제조 공정을 위한 상기 부분의 관심 영역(area of interest)의 오버래핑 공정 윈도우(overlapping process window: OPW)를 결정하는 컴퓨터-구현된 방법이 개시되며, 상기 방법은: 관심 영역 내의 복수의 피처(feature)들을 얻는 단계; 디바이스 제조 공정의 1 이상의 처리 파라미터(processing parameter)들의 복수의 값들을 얻는 단계; 복수의 값들 각각 하에서 디바이스 제조 공정에 의해 복수의 피처들을 이미징함에 있어서 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 결정하는 단계; 및 결함의 존재, 결함의 존재 확률, 또는 둘 모두로부터 관심 영역의 OPW를 결정하는 단계를 포함한다.
이제 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 실시예들을 설명할 것이다:
도 1은 일 실시예에 따른 리소그래피 장치를 도시하는 도면;
도 2는 공정 윈도우 제한 패턴들(process window limiting patterns: PWLPs)의 개념을 예시하는 도면;
도 3은 일 실시예에 따른 관심 영역의 OPW를 결정하는 방법에 대한 흐름도;
도 4는 2 개의 처리 파라미터들 - 도즈(수평축) 및 포커스(수직축)의 값들의 일 예시를 나타내는 도면;
도 5는 포커스에 대한 맵을 나타내는 도면; 및
도 6은 도 4에서의 몇몇 지점들이 패턴에서 결함 또는 높은 결함 확률을 초래하는 것을 나타내는 도면이다.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같은 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 또는 메트롤로지 또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한 번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함하는 기판을 칭할 수도 있다.
본 명세서에서 사용되는 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입들, 및 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향들로 반사시키도록 개별적으로 기울어질 수 있다; 이 방식으로, 반사된 빔이 패터닝된다.
지지 구조체는 패터닝 디바이스를 유지한다. 이는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지체는 기계적 클램핑, 진공, 또는 다른 클램핑 기술들, 예를 들어 진공 조건들 하의 정전기 클램핑을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있고, 이는 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 예를 들어 사용되는 노광 방사선에 대하여, 또는 침지 유체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절 광학 시스템, 반사 광학 시스템, 및 카타디옵트릭(catadioptric) 광학 시스템을 포함하는 다양한 형태의 투영 시스템을 포함하는 것으로 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
또한, 조명 시스템은 방사선 빔을 지향, 성형 또는 제어하기 위하여, 굴절, 반사, 및 카타디옵트릭 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들을 포함할 수 있으며, 이러한 구성요소들은 아래에서 집합적으로 또는 개별적으로 "렌즈"라고 칭해질 수도 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2 이상의 지지 구조체)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템의 최종 요소와 기판 사이의 공간을 채우기 위해, 기판이 비교적 높은 굴절률을 갖는 액체, 예컨대 물에 침지되는 형태로 이루어질 수 있다. 침지 기술들은 투영 시스템들의 개구수(numerical aperture)를 증가시키는 것으로 당업계에 잘 알려져 있다.
도 1은 특정 실시예에 따른 리소그래피 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝(condition)하는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하고, 아이템(PS)에 대해 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정 디바이스(PM)에 연결된 지지 구조체(MT);
- 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하고, 아이템(PS)에 대해 기판을 정확히 위치시키는 제 2 위치설정 디바이스(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 이미징하도록 구성된 투영 시스템(예를 들어, 굴절 투영 렌즈)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하는) 반사형으로 구성될 수 있다.
일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 상기 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께, 방사선 시스템이라고 칭해질 수 있다.
일루미네이터(IL)는 빔의 세기 분포를 변경할 수 있다. 일루미네이터는 일루미네이터(IL)의 퓨필 평면의 환형 구역 내에서 세기 분포가 0이 아니도록(non-zero) 방사선 빔의 반경 크기를 제한하도록 배치될 수 있다. 추가적으로 또는 대안적으로, 일루미네이터(IL)는 퓨필 평면 내의 복수의 균등하게 이격된 섹터(equally spaced sector)들에서 세기 분포가 0이 아니도록 퓨필 평면 내의 빔의 분포를 제한하도록 작동가능할 수 있다. 일루미네이터(IL)의 퓨필 평면 내의 방사선 빔의 세기 분포는 조명 모드라고 칭해질 수 있다.
일루미네이터(IL)는 빔의 세기 분포를 조정하도록 구성되는 조정기(AM)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 일루미네이터(IL)는 빔의 각도 분포를 변화시키도록 작동가능할 수 있다. 예를 들어, 일루미네이터는 세기 분포가 0이 아닌 퓨필 평면 내의 섹터들의 수, 및 각도 크기,를 변경하도록 작동가능할 수 있다. 일루미네이터의 퓨필 평면 내의 빔의 세기 분포를 조정함으로써, 상이한 조명 모드들이 달성될 수 있다. 예를 들어, 일루미네이터(IL)의 퓨필 평면 내의 세기 분포의 반경 및 각도 크기를 제한함으로써, 세기 분포는 예를 들어 다이폴(dipole), 쿼드러폴(quadrupole) 또는 헥사폴(hexapole) 분포와 같은 멀티폴(multi-pole) 분포를 가질 수 있다. 예를 들어, 일루미네이터(IL)로 그 조명 모드를 제공하는 광학기를 삽입함으로써, 또는 공간 광 변조기를 이용함으로써, 원하는 조명 모드가 얻어질 수 있다.
일루미네이터(IL)는 빔의 편광(polarization)을 변경하도록 작동가능할 수 있고, 조정기(AM)를 이용하여 편광을 조정하도록 작동가능할 수 있다. 일루미네이터(IL)의 퓨필 평면에 걸친 방사선 빔의 편광 상태는 편광 모드라고 칭해질 수 있다. 상이한 편광 모드들의 사용은 더 큰 콘트라스트(contrast)로 하여금 기판(W) 상에 형성된 이미지에 달성되게 할 수 있다. 방사선 빔은 편광되지 않을 수 있다. 대안적으로, 일루미네이터는 방사선 빔을 선형 편광시키도록 배치될 수 있다. 방사선 빔의 편광 방향은 일루미네이터(IL)의 퓨필 평면에 걸쳐 변화할 수 있다. 방사선의 편광 방향은 일루미네이터(IL)의 퓨필 평면 내의 상이한 구역들에서 상이할 수 있다. 방사선의 편광 상태는 조명 모드에 의존하여 선택될 수 있다. 멀티폴 조명 모드들에 대해, 방사선 빔의 각각의 폴의 편광은 일루미네이터(IL)의 퓨필 평면 내의 그 폴의 위치 벡터에 일반적으로 수직일 수 있다. 예를 들어, 다이폴 조명 모드에 대해, 방사선은 다이폴의 2 개의 마주하는 섹터들을 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 방사선 빔은 2 개의 상이한 직교 방향들 중 하나로 편광될 수 있고, 이는 X-편광 및 Y-편광 상태들이라고 칭해질 수 있다. 쿼드러폴 조명 모드에 대해, 각각의 폴의 섹터에서의 방사선은 그 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이 편광 모드는 XY 편광이라고 칭해질 수 있다. 이와 유사하게, 헥사폴 조명 모드에 대해, 각각의 폴의 섹터에서의 방사선은 그 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이 편광 모드는 TE 편광이라고 칭해질 수 있다.
또한, 일루미네이터(IL)는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같이, 다양한 다른 구성요소들을 포함한다. 일루미네이터는 방사선 빔(B)의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는 컨디셔닝된 방사선 빔(B)을 제공한다.
방사선 빔(B)은 지지 구조체(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사된다. 상기 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PS)를 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정 디바이스(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스)의 도움으로, 기판 테이블(WT)은 예를 들어 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 디바이스(PM) 및 또 다른 위치 센서(도 1에 명확히 도시되지 않음)는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT 및 WT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 것이며, 이는 위치설정 디바이스(PM 및 PW)의 일부분을 형성한다. 하지만, (스캐너와는 대조적으로) 스테퍼의 경우, 지지 구조체(MT)는 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치는 다음의 바람직한 모드들에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 빔(B)에 부여되는 전체 패턴은 한 번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 빔(B)에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 빔(B)에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
투영 시스템(PS)은 비-균일할 수 있는 광학적 전달 함수를 갖고, 이는 기판(W) 상에 이미징되는 패턴에 영향을 줄 수 있다. 편광되지 않은 방사선에 대해서는, 이러한 효과들이 2 개의 스칼라 맵(scalar map)들에 의해 상당히 잘 설명될 수 있으며, 이는 그 퓨필 평면 내의 위치의 함수로서 투영 시스템(PS)을 나가는 방사선의 투과[아포다이제이션(apodization)] 및 상대 위상(수차)을 설명한다. 투과 맵 및 상대 위상 맵이라 할 수 있는 이 스칼라 맵들은 기저 함수들의 전체 세트(complete set)의 선형 조합으로서 표현될 수 있다. 특히 편리한 세트는 제르니케 다항식(Zernike polynomials)이며, 이는 단위 원(unit circle) 상에 정의되는 직교 다항식들의 세트를 형성한다. 각각의 스칼라 맵의 결정이 이러한 전개식(expansion)에서 계수들을 결정하는 단계를 수반할 수 있다. 제르니케 다항식들이 단위 원 상에서 직교이기 때문에, 제르니케 계수들은 차례로 각각의 제르니케 다항식과 측정된 스칼라 맵의 내적(inner product)을 계산하고 이를 그 제르니케 다항식의 놈(norm)의 제곱으로 나눔으로써 결정될 수 있다.
투과 맵 및 상대 위상 맵은 필드 및 시스템 의존적이다. 즉, 일반적으로 각각의 투영 시스템(PS)이 각각의 필드 지점(즉, 그 이미지 평면 내의 각각의 공간 위치)에 대해 상이한 제르니케 전개식을 가질 것이다. 그 퓨필 평면 내의 투영 시스템(PS)의 상대 위상은, 예를 들어 투영 시스템(PS)의 대상물 평면(object plane)[즉, 패터닝 디바이스(MA)의 평면]에서의 점-형 소스(point-like source)로부터 투영 시스템(PS)을 통해 방사선을 투영하고 파면(즉, 동일한 위상을 갖는 지점들의 자취)을 측정하기 위해 시어링 간섭계(shearing interferometer)를 이용함으로써 결정될 수 있다. 시어링 간섭계는 공통 광로 간섭계(common path interferometer)이며, 이에 따라 유리하게는 파면을 측정하기 위해 이차 기준 빔이 필요하지 않다. 시어링 간섭계는 투영 시스템의 이미지 평면[즉, 기판 테이블(WT)] 내의 회절 격자, 예를 들어 2 차원 그리드, 및 투영 시스템(PS)의 퓨필 평면에 켤레인 평면에서 간섭 패턴을 검출하도록 배치되는 검출기를 포함할 수 있다. 간섭 패턴은 시어링 방향으로의 퓨필 평면의 좌표에 대한 방사선의 위상의 미분계수와 관련된다. 검출기는, 예를 들어 전하 결합 소자(charge coupled device: CCD)와 같은 감지 요소들의 어레이를 포함할 수 있다.
회절 격자는, 투영 시스템(PS)의 좌표계의 축선들(x 및 y)과 일치할 수 있거나 이 축선들에 대해 45 도와 같은 각도를 가질 수 있는 2 개의 수직 방향들로 연속하여 스캐닝될 수 있다. 스캐닝은 정수의 격자 주기들, 예를 들어 1의 격자 주기에 걸쳐 수행될 수 있다. 스캐닝은 한 방향으로의 위상 변동을 평균하여, 다른 방향으로의 위상 변동이 재구성되게 한다. 이는 파면으로 하여금 두 방향들의 함수로서 결정되게 한다.
리소그래피 장치(LA)의 현 기술 수준의 투영 시스템(PS)은 가시적 프린지(visible fringe)들을 생성하지 않을 수 있고, 이에 따라 파면의 결정의 정확성이 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑 기술(phase stepping technique)을 이용하여 향상될 수 있다. 스테핑은 측정의 스캐닝 방향에 수직인 방향으로, 및 회절 격자의 평면에서 수행될 수 있다. 스테핑 범위는 1의 격자 주기일 수 있고, 적어도 3 개의 (균일하게 분포된) 위상 스텝들이 사용될 수 있다. 따라서, 예를 들어 3 개의 스캐닝 측정들이 y-방향으로 수행될 수 있고, 각각의 스캐닝 측정은 x-방향에서 상이한 위치에 대해 수행된다. 회절 격자의 이 스테핑은 위상 변동들을 세기 변동들로 효과적으로 변환하여, 위상 정보가 결정되게 한다. 격자는 회절 격자에 수직인 방향(z 방향)에서 스테핑되어 검출기를 캘리브레이션할 수 있다.
그 퓨필 평면 내의 투영 시스템(PS)의 투과(아포다이제이션)는, 예를 들어 투영 시스템(PS)의 대상물 평면[즉, 패터닝 디바이스(MA)의 평면]에서의 점-형 소스로부터 투영 시스템(PS)을 통해 방사선을 투영하고, 검출기를 이용하여 투영 시스템(PS)의 퓨필 평면에 켤레인 평면에서 방사선의 세기를 측정함으로써 결정될 수 있다. 수차들을 결정하기 위해 파면을 측정하는 데 사용되는 것과 동일한 검출기가 사용될 수 있다. 투영 시스템(PS)은 복수의 광학(예를 들어, 렌즈) 요소들을 포함할 수 있고, 수차들(필드 도처에서의 퓨필 평면에 걸친 위상 변동들)을 보정하기 위해 광학 요소들 중 1 이상을 조정하도록 구성되는 조정 메카니즘(PA)을 더 포함할 수 있다. 이를 달성하기 위해, 조정 메카니즘(PA)은 1 이상의 상이한 방식으로 투영 시스템(PS) 내의 1 이상의 광학(예를 들어, 렌즈) 요소를 조작하도록 작동가능할 수 있다. 투영 시스템은 그 광학 축선이 z 방향으로 연장되는 좌표계를 가질 수 있다. 조정 메카니즘(PA)은 다음: 즉, 1 이상의 광학 요소를 변위시키는 것; 1 이상의 광학 요소를 기울이는 것; 및/또는 1 이상의 광학 요소를 변형시키는 것의 여하한의 조합을 행하도록 작동가능할 수 있다. 광학 요소들의 변위는 여하한의 방향(x, y, z 또는 이들의 조합)으로 이루어질 수 있다. 광학 요소들의 기울임은 통상적으로 x 또는 y 방향들의 축선들을 중심으로 회전함으로써 광학 축선에 수직인 평면을 벗어나지만, z 축선을 중심으로 한 회전이 비-회전 대칭인 비구면 광학 요소들에 대해 사용될 수 있다. 광학 요소들의 변형은 저주파수 형상들(low frequency shapes)[예를 들어, 비점수차(astigmatic)] 및 고주파수 형상들(high frequency shapes)[예를 들어, 프리폼 비구면(free form aspheres)]을 모두 포함할 수 있다. 광학 요소의 변형은, 예를 들어 광학 요소의 1 이상의 측면에 힘을 가하도록 1 이상의 액추에이터를 이용함으로써, 및/또는 광학 요소의 1 이상의 선택된 구역을 가열하도록 1 이상의 가열 요소를 이용함으로써 수행될 수 있다. 일반적으로, 아포다이제이션(퓨필 평면에 걸친 투과 변동)을 보정하기 위해 투영 시스템(PS)을 조정하는 것이 가능하지 않을 수 있다. 투영 시스템(PS)의 투과 맵은 리소그래피 장치(LA)에 대한 패터닝 디바이스(예를 들어, 마스크)(MA)를 디자인하는 경우에 사용될 수 있다. 연산적 리소그래피 기술(computational lithography technique)을 이용하여, 패터닝 디바이스(MA)는 아포다이제이션들을 적어도 부분적으로 보정하도록 디자인될 수 있다.
디자인 레이아웃 상의 피처들은 상이한 개별적인 공정 윈도우(IPW)들을 가질 수 있다. 피처의 IPW는 피처가 사양들 내에서 생성될 디바이스 제조 공정(예를 들어, 리소그래피, 에칭)의 처리 파라미터들의 범위들이다. 사양들은 디바이스 제조 공정에 의해 생성되는 피처의 특성들의 허용가능한 범위들을 의미할 수 있다. 사양들의 예시들은 네킹(necking), 라인 풀백(line pull back), 라인 시닝(line thinning), CD, 에지 배치, 오버래핑, 레지스트 최상부 손실(resist top loss), 레지스트 언더컷(resist undercut) 및 브리징(bridging)에 대한 체크를 포함한다. 다시 말해서, IPW는 처리 파라미터들의 허용가능한 값들의 범위들이다. 본 명세서에서 값이 허용가능하다는 것은, 피처가 그 값들 하에서 생성되는 경우에 피처가 결함이 되지 않을 것을 의미한다.
상이한 피처들은 처리 파리미터들에 의해 상이하게 영향을 받을 수 있다. 예를 들어, 피처는 피처의 사양이 매우 너그럽기 때문에 도즈에 둔감할 수 있다. 피처의 IPW는 피처의 위치 및 형상 및 인근의 다른 피처들과 같은 많은 인자에 의해 영향을 받을 수 있다. 복수의 피처들(예를 들어, 관심 영역 내의 피처들)의 오버래핑 공정 윈도우(OPW)는 복수의 피처들의 IPW들을 오버래핑(예를 들어, 그 공통부를 발견)함으로써 얻어질 수 있다. OPW의 경계는 피처들 중 일부의 IPW들의 경계들을 포함한다. 다시 말하면, 이 개별적인 피처들이 OPW를 제한한다. 이 피처들은 "핫 스폿(hot spot)들" 또는 "공정 윈도우 제한 패턴(PWLP)들"이라고 칭해질 수 있으며, 이들은 본 명세서에서 교환가능하게 사용된다. 리소그래피 공정을 제어하는 경우, PWLP들에 초점을 맞추는 것이 가능하고 경제적이다. PWLP들이 결함을 초래하지 않는 경우, 복수의 피처들 중 어느 것도 결함을 초래하지 않을 가능성이 크다.
도 2는 PWLP들의 개념을 예시한다. 디자인 레이아웃이 관심 영역 내에 3 개의 피처(A, B 및 C)를 포함한다고 가정하며, 이들은 각각 IPW들(211, 212 및 213)을 갖는다. 관심 영역의 OPW는 해칭된 영역(hatched area: 220)이며, 이는 IPW들(211, 212 및 213)의 오버래핑된 영역이다. OPW(220)의 경계가 IPW들(211, 212 및 213)의 경계들을 포함하기 때문에, 피처들(A, B 및 C)은 PWLP들이다. P1 및 P2는 2 개의 처리 파라미터들이다. 디바이스 제조 공정에서의 약간의 변화로 인해, 비-PWLP 피처가 PWLP가 될 수 있고, 그 역일 수도 있다. 리소그래피 공정과 같은 디바이스 제조 공정을 제어하는 경우, PWLP들에 초점을 맞추는 것이 가능하고 경제적이다.
디자인 레이아웃의 관심 영역은 수천 또는 심지어 수백만의 패턴들을 가질 수 있다. 관심 영역에 대한 OPW를 식별하는 것이 연산적으로 매우 고가일 수 있다. 도 3은 일 실시예에 따른 관심 영역의 OPW를 결정하는 방법에 대한 흐름도를 나타낸다. 단계 311에서, 여하한의 적절한 방법을 이용하여 관심 영역 내의 피처들(예를 들어, PWLP들)이 얻어진다. 예를 들어, 피처들은 디자인 레이아웃을 나타내는 데이터에 기초하여 선택될 수 있다. 관심 영역은 1 이상의 PWLP를 포함할 수 있다. 관심 영역은 디자인 레이아웃의 2 이상의 비연결 부분(disconnected portion)들을 포함할 수 있다. 관심 영역은 디바이스 제조 공정에 의해 기판 상으로 동시에 이미징될 수 있다. 예를 들어, 피처들은 디바이스 제조 공정의 조작자에 의해 제공될 수 있다.
단계 312에서, 디바이스 제조 공정의 1 이상의 처리 파라미터의 복수의 값들이 얻어진다. 복수의 값들은 규칙에 따라, 또는 무작위로 선택될 수 있다. 또한, 복수의 값들은 다른 소스들로부터 얻어질 수 있다. 복수의 값들은 값들의 "세트"일 수 있다. "값들의 세트"라는 용어는 처리 파라미터들 각각에 대한 단일 값의 집합(collection)을 의미한다. 도 4는 2 개의 처리 파라미터들 - 도즈(수평축) 및 포커스(수직축)가 존재하는 값들의 세트의 일 예시를 나타낸다. 이 예시에서, 처리 파라미터들의 값들의 세트는 2 개의 값들 - 즉, 도즈에 대한 값 및 포커스에 대한 값의 집합이다. 도즈 및 포커스의 값들은 공칭 최적 포커스(nominal best focus) 및 공칭 최적 도즈(nominal best dose)에 대한 상대 값들일 수 있다. 일 실시예에서, 복수의 값들의 세트들 중 적어도 하나가 단계 311에서 선택된 피처들 중 적어도 하나의 IWP 외부에 있다.
단계 313에서, 단계 312에서 얻어진 값들 하에 단계 311에서의 선택된 피처들을 이미징함에 있어서 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 결정한다. 결함의 존재, 결함의 존재 확률, 또는 둘 모두는 전체 IPW들을 실제로 결정하기 않고 단계 311에서의 선택된 피처들의 IPW들의 1 이상의 특성[예를 들어, 초점 심도(DOF) 및 도즈 관용도(dose latitude)]으로부터 결정될 수 있다. IPW들의 1 이상의 특성은 DOF의 맵 또는 도즈 관용도의 맵과 같은 맵으로 컴파일(compile)될 수 있다. 또한, 결함의 존재, 결함의 존재 확률, 또는 둘 모두는 IPW들을 실제로 결정함으로써 결정될 수 있다.
결함의 존재, 결함의 존재 확률, 또는 둘 모두는 경험적 규칙(empirical rule), 연산적 모델을 이용하여, 또는 실험들에 의해 결정될 수 있다. 경험적 규칙이 사용되는 경우, 선택된 피처들의 이미지들(예를 들어, 레지스트 이미지, 광학 이미지, 에칭 이미지)이 시뮬레이션되지 않는다; 그 대신, 경험적 규칙은 처리 파라미터들, 선택된 피처들의 특성들, 및 결함들 간의 상관관계들에 기초하여 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 결정한다. 예를 들어, 경험적 규칙은 분류자(classifier) 또는 결함들을 갖기 쉬운 피처들의 데이터베이스일 수 있다.
분류자는 입력(예를 들어, 독립 변수)으로서 단계 312에서 얻어진 값들 및 단계 311에서의 선택된 피처들의 1 이상의 특성을 취할 수 있고, 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 출력한다.
또한, "분류자" 또는 "분류 모델"이라는 용어는 때로는 카테고리에 대해 입력 데이터를 매핑하는 분류 알고리즘에 의해 구현되는 수학적 함수라고도 한다. 기계 학습 및 통계학에서, 분류는 카테고리 멤버쉽이 알려진 관찰들[또는 인스턴스(instance)들]을 포함하는 데이터의 트레이닝 세트(training set)에 기초하여, 새로운 관찰이 일 세트의 카테고리들(서브-집단) 중 어디에 속하는지를 식별하는 문제이다. 개별적인 관찰들은 다양한 설명 변수들, 피처들 등으로서 알려진 정량화가능한 특성들의 세트로 분석된다. 이 속성들은 다양하게 카테고리에 속할 수 있다(예를 들어, "우수" - 결함들을 생성하지 않는 리소그래피 공정, 또는 "열악" - 결함들을 생성하는 리소그래피 공정; "타입 1", "타입 2", …, "타입 n" - 결함들의 상이한 타입들). 분류는 지도 학습, 즉 올바르게 식별된 관찰들의 트레이닝 세트가 이용가능한 학습의 인스턴스로 간주된다. 분류 모델들의 예시들은 로지스틱 회귀 및 다항식 로짓(multinomial logit), 프로빗 회귀, 퍼셉트론 알고리즘(perceptron algorithm), 서포트 벡터 머신(support vector machines), 임포트 벡터 머신(import vector machines), 및 선형 판별 분석이다.
연산적 모델이 사용되는 경우, 선택된 피처들의 이미지들의 부분 또는 특성이 계산되거나 시뮬레이션되고, 상기 부분 또는 특성으로부터 결함의 존재가 결정된다. 예를 들어, 라인 단부를 그 원하는 위치로부터 측정함으로써 라인 풀백 결함이 결정될 수 있다; 2 개의 라인들이 바람직하게 않게 합쳐진 위치를 발견함으로써 브리징 결함이 결정될 수 있다; 개별 층들 상의 2 개의 피처들이 바람직하지 않게 오버랩되거나 바람직하지 않게 오버랩되지 않음을 발견함으로써 오버래핑 결함이 결정될 수 있다. 경험적 규칙이 연산적 모델보다 연산 비용이 더 적을 수 있다. 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 맵으로 컴파일하는 것 - 즉, 위치의 함수로서 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 결정하는 것이 가능하다.
실험들이 사용되는 경우, 결함의 존재, 결함의 존재 확률, 또는 둘 모두는 웨이퍼 검사 툴로부터, 예를 들어 현상-후-검사(after-development-inspection: ADI) 툴, 에칭-후-검사 툴, 또는 스캐닝 주사 현미경(SEM)을 이용하여 얻어지는 데이터로부터 결정된다.
결함은 네킹, 라인 풀백, 라인 시닝, 임계 치수 오차, 오버래핑, 레지스트 최상부 손실, 레지스트 언더컷, 및 브리징을 포함할 수 있다.
처리 파라미터들은 포커스, 도즈, 조명 소스의 특성(예를 들어, 세기, 퓨필 프로파일 등), 레지스트의 특성, 투영 광학기의 특성, 메트롤로지로부터 얻어지는 데이터, 및 디바이스 제조 공정에서 사용되는 처리 장치의 조작자로부터의 데이터, 레지스트의 현상 및 노광-후 베이킹의 특성, 및 에칭의 특성을 포함할 수 있다.
처리 파라미터들은 위치의 함수들로서 나타낸 - 맵(예를 들어, 위치의 함수로서 리소그래피 파라미터들 또는 공정 조건들)으로 컴파일될 수 있다. 도 5는 포커스에 대한 맵을 나타낸다.
도 6은 특정 패턴에 대해, 도 4의 값들 중 (대각선으로 해칭된 지점들에 의해 나타낸) 몇몇은 결함 또는 높은 결함 확률을 초래하지 않고, (솔리드 지점들에 의해 나타낸) 몇몇은 결함 또는 높은 결함 확률을 초래하는 것을 개략적으로 나타낸다.
단계 314에서, 관심 영역의 OPW는 결함의 존재, 결함의 존재 확률, 또는 둘 모두로부터 결정된다. 선택된 피처들의 IPW들이 실제로 결정되는 경우, OPW는 IPW들을 오버래핑함으로써 결정될 수 있다.
선택적인 단계 315에서, OPW의 일 지점이 선택되고, 이 지점에 의해 나타낸 처리 파라미터들의 값들 하에 디바이스 제조 공정이 실행된다. 지점은 복수의 패턴들의 공정 윈도우의 여하한의 경계로부터 가장 먼 지점일 수 있다.
패터닝 디바이스의 한 영역 내의 모든 패턴들의 공정 윈도우는 또 다른 영역 내의 모든 패턴들의 공정 윈도우와 상이할 수 있다. 도 3의 방법은 상이한 영역들에 대해 따로따로 수행될 수 있다.
선택적인 단계 316에서, 전체 디자인 레이아웃 또는 일부분의 전역적(global) 공정 윈도우가 적어도 관심 영역의 OPW로부터 결정될 수 있다. 예를 들어, 전역적 공정 윈도우는 다수 관심 영역들의 OPW들을 오버래핑함으로써 결정될 수 있다.
본 발명은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 디자인 레이아웃의 부분을 기판 상으로 이미징하는 디바이스 제조 공정을 위한 상기 부분의 관심 영역의 오버래핑 공정 윈도우(OPW)를 결정하는 컴퓨터-구현된 방법으로, 상기 방법은:
관심 영역 내의 복수의 피처들을 얻는 단계;
디바이스 제조 공정의 1 이상의 처리 파라미터의 복수의 값들을 얻는 단계;
복수의 값들 각각 하에서 디바이스 제조 공정에 의해 복수의 피처들을 이미징함에 있어서 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 결정하는 단계; 및
결함의 존재, 결함의 존재 확률, 또는 둘 모두로부터 관심 영역의 OPW를 결정하는 단계를 포함한다.
2. 1 항의 방법에서, 복수의 피처들은 디자인 레이아웃을 나타내는 데이터에 기초하여 선택된다.
3. 1 항 또는 2 항의 방법에서, 결함의 존재, 결함의 존재 확률, 또는 둘 모두는 전체 IPW들을 실제로 결정하지 않고 복수의 피처들의 개별적인 공정 윈도우(IPW)들의 1 이상의 특성으로부터 결정된다.
4. 3 항의 방법에서, 1 이상의 특성을 맵으로 컴파일하는 단계를 더 포함한다.
5. 1 항 또는 2 항의 방법에서, 결함의 존재, 결함의 존재 확률, 또는 둘 모두는 복수의 피처들의 개별적인 공정 윈도우(IPW)들로부터 결정된다.
6. 1 항 또는 2 항의 방법에서, 결함의 존재, 결함의 존재 확률, 또는 둘 모두는 경험적 규칙을 이용하여 결정된다.
7. 6 항의 방법에서, 경험적 규칙은 분류자 또는 데이터베이스이다.
8. 7 항의 방법에서, 분류자는 입력으로서 복수의 값들 및 복수의 피처들의 1 이상의 특성을 취하고, 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 출력한다.
9. 8 항의 방법에서, 분류자는 로지스틱 회귀 및 다항식 로짓, 프로빗 회귀, 퍼셉트론 알고리즘, 서포트 벡터 머신, 임포트 벡터 머신, 및 선형 판별 분석으로 구성된 그룹으로부터 선택된다.
10. 1 항 또는 2 항의 방법에서, 결함의 존재, 결함의 존재 확률, 또는 둘 모두는 복수의 피처들의 이미지들의 부분 또는 특성을 계산하거나 시뮬레이션하고, 상기 부분 또는 특성으로부터 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 결정하는 연산적 모델을 이용하여 결정된다.
11. 1 항 또는 2 항의 방법에서, 결함의 존재, 결함의 존재 확률, 또는 둘 모두는 웨이퍼 검사 툴로부터 얻어지는 실험 데이터를 이용하여 결정된다.
12. 1 항 내지 11 항 중 어느 하나의 방법에서, 결함은 네킹, 라인 풀백, 라인 시닝, 임계 치수 오차, 오버래핑, 레지스트 최상부 손실, 레지스트 언더컷, 및 브리징으로 구성된 그룹으로부터 선택된다.
13. 1 항 내지 12 항 중 어느 하나의 방법에서, 1 이상의 처리 파라미터는: 포커스, 도즈, 조명 소스의 특성, 투영 광학기의 특성, 레지스트의 특성, 메트롤로지로부터 얻어지는 데이터, 디바이스 제조 공정에서 사용되는 처리 장치의 조작자로부터의 데이터, 레지스트의 현상 및 노광-후 베이킹의 특성, 및 에칭의 특성으로 구성된 그룹으로부터 선택된다.
14. 1 항 내지 13 항 중 어느 하나의 방법에서, 복수의 피처들의 IPW들을 결정하는 단계를 더 포함한다.
15. 14 항의 방법에서, OPW의 결정은 IPW들을 오버래핑하는 단계를 포함한다.
16. 1 항 내지 15 항 중 어느 하나의 방법에서, OPW 내의 일 지점을 선택하고, 이 지점에 의해 나타낸 처리 파라미터들의 값들 하에 디바이스 제조 공정을 실행하는 단계를 더 포함한다.
17. 16 항의 방법에서, 지점은 OPW의 여하한의 경계로부터 가장 멀다.
18. 1 항 내지 17 항 중 어느 하나의 방법에서, 복수의 피처들은 1 이상의 처리 윈도우 제한 패턴(PWLP)을 포함한다.
19. 1 항 내지 18 항 중 어느 하나의 방법에서, 디바이스 제조 공정은 리소그래피 장치를 이용하는 단계를 수반한다.
20. 1 항 내지 19 항 중 어느 하나의 방법에서, 관심 영역은 1 이상의 PWLP를 포함한다.
21. 1 항 내지 20 항 중 어느 하나의 방법에서, 관심 영역은 디자인 레이아웃의 2 이상의 비연결 부분들을 포함한다.
22. 1 항 내지 21 항 중 어느 하나의 방법에서, 관심 영역은 디바이스 제조 공정에 의해 기판 상으로 동시에 이미징될 수 있다.
23. 1 항 내지 22 항 중 어느 하나의 방법에서, OPW로부터 디자인 레이아웃의 부분의 전역적 공정 윈도우를 결정하는 단계를 더 포함한다.
24. 1 항 내지 23 항 중 어느 하나의 방법에서, 복수의 값들 중 적어도 하나는 복수의 피처들 중 적어도 하나의 개별적인 공정 윈도우(IWP) 외부에 있다.
25. 1 항 내지 24 항 중 어느 하나의 방법에서, 복수의 값들은 처리 파라미터들의 복수의 값들의 세트들을 포함한다.
26. 명령어들이 기록된 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품으로, 명령어들은 컴퓨터에 의해 실행되는 경우에 1 항 내지 25 항 중 어느 하나의 방법을 구현한다.
실시예들은 하드웨어, 펌웨어, 소프트웨어 또는 여하한의 그 조합으로 구현될 수 있다. 또한, 실시예들은 기계-판독가능한 매체 상에 저장된 명령어들로서 구현될 수 있으며, 이는 1 이상의 프로세서에 의해 판독되고 실행될 수 있다. 기계-판독가능한 매체는 기계(예를 들어, 연산 디바이스)에 의해 판독가능한 형태로 정보를 저장하거나 전송하는 여하한의 메카니즘을 포함할 수 있다. 예를 들어, 기계-판독가능한 매체는 ROM(read only memory); RAM(random access memory); 자기 디스크 저장 매체; 광학 저장 매체; 플래시 메모리 디바이스들; 전기, 광학, 음향 또는 다른 형태의 전파 신호(propagated signal)(예를 들어, 반송파, 적외선 신호, 디지털 신호 등), 및 그 밖의 것들을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴(routine), 및 명령어들은 본 명세서에서 소정 동작을 수행하는 것으로서 설명될 수 있다. 하지만, 이러한 설명들은 단지 편의를 위한 것이며, 이러한 동작은 사실상 연산 디바이스, 프로세서, 제어기, 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 디바이스로부터 일어난다는 것을 이해하여야 한다.
이상 특정 실시예들이 설명되었지만, 실시예들은 설명된 것과 다르게 실시될 수 있음을 이해할 것이다.

Claims (15)

  1. 디자인 레이아웃의 부분을 기판 상으로 이미징(image)하는 디바이스 제조 공정을 위한 상기 디자인 레이아웃의 부분의 관심 영역(area of interest)의 오버래핑 공정 윈도우(overlapping process window: OPW)를 결정하는 컴퓨터-구현된 방법에 있어서:
    상기 관심 영역 내의 복수의 피처(feature)들을 얻는 단계;
    상기 디바이스 제조 공정의 1 이상의 처리 파라미터(processing parameter)의 복수의 값들을 얻는 단계;
    상기 복수의 값들 각각 하에서 상기 디바이스 제조 공정에 의해 상기 복수의 피처들을 이미징함에 있어서 결함의 존재, 결함의 존재 확률, 또는 둘 모두를 결정하는 단계; 및
    상기 결함의 존재, 상기 결함의 존재 확률, 또는 둘 모두로부터 상기 관심 영역의 오버래핑 공정 윈도우를 결정하는 단계
    를 포함하는 컴퓨터-구현된 방법.
  2. 제 1 항에 있어서,
    상기 복수의 피처들은 상기 디자인 레이아웃을 나타내는 데이터에 기초하여 선택되는 컴퓨터-구현된 방법.
  3. 제 1 항에 있어서,
    상기 결함의 존재, 상기 결함의 존재 확률, 또는 둘 모두는 전체 개별적인 공정 윈도우(IPW)들을 실제로 결정하지 않고 상기 복수의 피처들의 개별적인 공정 윈도우들의 1 이상의 특성으로부터 결정되는 컴퓨터-구현된 방법.
  4. 제 3 항에 있어서,
    상기 1 이상의 특성을 맵(map)으로 컴파일(compile)하는 단계를 더 포함하는 컴퓨터-구현된 방법.
  5. 제 1 항에 있어서,
    상기 결함의 존재, 상기 결함의 존재 확률, 또는 둘 모두는 경험적 규칙(empirical rule)을 이용하여 결정되는 컴퓨터-구현된 방법.
  6. 제 1 항에 있어서,
    상기 결함의 존재, 상기 결함의 존재 확률, 또는 둘 모두는, 상기 복수의 피처들의 이미지들의 특성 또는 부분을 시뮬레이션하거나 계산하고, 상기 특성 또는 상기 부분으로부터 상기 결함의 존재, 상기 결함의 존재 확률, 또는 둘 모두를 결정하는 연산적 모델(computational model)을 이용하여 결정되는 컴퓨터-구현된 방법.
  7. 제 1 항에 있어서,
    상기 결함의 존재, 상기 결함의 존재 확률, 또는 둘 모두는 웨이퍼 검사 툴로부터 얻어지는 실험 데이터(experimental data)를 이용하여 결정되는 컴퓨터-구현된 방법.
  8. 제 1 항에 있어서,
    상기 결함은 네킹(necking), 라인 풀백(line pull back), 라인 시닝(line thinning), 임계 치수 오차, 오버래핑, 레지스트 최상부 손실(resist top loss), 레지스트 언더컷(resist undercut), 및 브리징(bridging)으로 이루어진 그룹으로부터 선택되고, 및/또는 상기 1 이상의 처리 파라미터는: 포커스, 도즈, 조명 소스의 특성, 투영 광학기의 특성, 레지스트의 특성, 메트롤로지로부터 얻어지는 데이터, 상기 디바이스 제조 공정에서 사용되는 처리 장치의 조작자로부터의 데이터, 상기 레지스트의 현상 및 노광-후 베이킹(post-exposure baking)의 특성, 및 에칭의 특성으로 이루어진 그룹으로부터 선택되는 컴퓨터-구현된 방법.
  9. 제 1 항에 있어서,
    상기 복수의 피처들의 개별적인 공정 윈도우들을 결정하는 단계를 더 포함하는 컴퓨터-구현된 방법.
  10. 제 9 항에 있어서,
    상기 오버래핑 공정 윈도우의 결정은 상기 개별적인 공정 윈도우들을 오버래핑하는 단계를 포함하는 컴퓨터-구현된 방법.
  11. 제 1 항에 있어서,
    상기 오버래핑 공정 윈도우 내의 일 지점을 선택하고, 이 지점에 의해 나타낸 상기 처리 파라미터들의 값들 하에 상기 디바이스 제조 공정을 실행하는 단계를 더 포함하는 컴퓨터-구현된 방법.
  12. 제 1 항에 있어서,
    상기 복수의 피처들은 1 이상의 처리 윈도우 제한 패턴(processing window limiting pattern: PWLP)을 포함하는 컴퓨터-구현된 방법.
  13. 제 1 항에 있어서,
    상기 관심 영역은 1 이상의 처리 윈도우 제한 패턴을 포함하는 컴퓨터-구현된 방법.
  14. 제 1 항에 있어서,
    상기 오버래핑 공정 윈도우로부터 상기 디자인 레이아웃의 부분의 전역적(global) 공정 윈도우를 결정하는 단계를 더 포함하는 컴퓨터-구현된 방법.
  15. 명령어들이 기록된 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품에 있어서,
    상기 명령어들은 컴퓨터에 의해 실행되는 경우, 제 1 항 내지 제 14 항 중 어느 한 항에 따른 방법을 구현하는 컴퓨터 프로그램 제품.
KR1020177010934A 2014-09-22 2015-08-26 공정 윈도우 식별자 KR102021450B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462053629P 2014-09-22 2014-09-22
US62/053,629 2014-09-22
PCT/EP2015/069541 WO2016045901A1 (en) 2014-09-22 2015-08-26 Process window identifier

Publications (2)

Publication Number Publication Date
KR20170063778A true KR20170063778A (ko) 2017-06-08
KR102021450B1 KR102021450B1 (ko) 2019-11-04

Family

ID=54072803

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177010934A KR102021450B1 (ko) 2014-09-22 2015-08-26 공정 윈도우 식별자

Country Status (5)

Country Link
US (3) US9842186B2 (ko)
KR (1) KR102021450B1 (ko)
CN (1) CN107077077B (ko)
TW (1) TWI641959B (ko)
WO (1) WO2016045901A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10075429B2 (en) 2014-09-24 2018-09-11 Oracle International Corporation Policy-based compliance management and remediation of devices in an enterprise system

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112530828A (zh) 2014-06-10 2021-03-19 Asml荷兰有限公司 计算机可读介质
TWI641934B (zh) 2014-08-05 2018-11-21 聯華電子股份有限公司 虛擬量測系統與方法
KR102021450B1 (ko) * 2014-09-22 2019-11-04 에이에스엠엘 네델란즈 비.브이. 공정 윈도우 식별자
US20160162626A1 (en) * 2014-12-01 2016-06-09 Globalfoundries Inc. Lithography process window prediction based on design data
WO2016128189A1 (en) * 2015-02-13 2016-08-18 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
US11010886B2 (en) * 2016-05-17 2021-05-18 Kla-Tencor Corporation Systems and methods for automatic correction of drift between inspection and design for massive pattern searching
EP3343294A1 (en) * 2016-12-30 2018-07-04 ASML Netherlands B.V. Lithographic process & apparatus and inspection process and apparatus
CN111033382B (zh) * 2017-10-22 2021-12-14 科磊股份有限公司 在成像叠加计量中利用叠加错位误差估计
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
EP3627225A1 (en) 2018-09-19 2020-03-25 ASML Netherlands B.V. Particle beam apparatus, defect repair method, lithographic exposure process and lithographic system
CN112969968A (zh) * 2018-11-08 2021-06-15 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
CN113227907A (zh) 2018-12-28 2021-08-06 Asml荷兰有限公司 基于来自经印刷的衬底的测量反馈确定图案分级
CN113366390B (zh) * 2019-01-29 2024-02-20 Asml荷兰有限公司 半导体制造过程中的决定方法
EP4261616A1 (en) 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
WO2023131476A1 (en) 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060234144A1 (en) * 2005-04-13 2006-10-19 Kla-Tencor Technologies Corporation Method for monitoring a reticle
KR20100009563A (ko) * 2007-04-03 2010-01-27 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스를 조명하는 조명 시스템 및 조명 시스템을 제조하는 방법
KR20100076960A (ko) * 2007-11-17 2010-07-06 칼 짜이스 에스엠에스 게엠베하 위상 시프트 마스크 수리 방법
KR20140104465A (ko) * 2011-11-30 2014-08-28 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 및 대응하는 리소그래피 장치

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5045445Y2 (ko) 1971-10-07 1975-12-23
US4890239A (en) * 1987-10-20 1989-12-26 Shipley Company, Inc. Lithographic process analysis and control system
JP4075966B2 (ja) 1996-03-06 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 差分干渉計システム及びこのシステムを具えたリソグラフステップアンドスキャン装置
US6453452B1 (en) * 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US6268093B1 (en) * 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
US6553559B2 (en) * 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6602728B1 (en) * 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
TWI257524B (en) * 2002-12-09 2006-07-01 Asml Netherlands Bv A method for determining parameters for lithographic projection, a computer system and computer program therefor, a method of manufacturing a device and a device manufactured thereby
US6882745B2 (en) 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
KR101056142B1 (ko) * 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
US6847588B1 (en) 2004-03-16 2005-01-25 L-3 Communications Corporation Method for changing the frequency for sampling sonar wavefronts
TW200636521A (en) 2004-07-14 2006-10-16 August Technology Corp All surface data for use in substrate inspection
DE102005009536A1 (de) 2005-02-25 2006-08-31 Carl Zeiss Sms Gmbh Verfahren zur Maskeninspektion im Rahmen des Maskendesigns und der Maskenherstellung
US7760929B2 (en) * 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
EP1920369A2 (en) * 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
JP4996856B2 (ja) 2006-01-23 2012-08-08 株式会社日立ハイテクノロジーズ 欠陥検査装置およびその方法
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US8102408B2 (en) 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
US7665048B2 (en) 2006-12-18 2010-02-16 Cadence Design Systems, Inc. Method and system for inspection optimization in design and production of integrated circuits
US8146024B2 (en) 2006-12-18 2012-03-27 Cadence Design Systems, Inc. Method and system for process optimization
US7694244B2 (en) 2006-12-18 2010-04-06 Cadence Design Systems, Inc. Modeling and cross correlation of design predicted criticalities for optimization of semiconductor manufacturing
TW200830129A (en) 2007-01-12 2008-07-16 Powertech Technology Inc On-line dispatching method used in chip probing
US7689948B1 (en) 2007-02-24 2010-03-30 Cadence Design Systems, Inc. System and method for model-based scoring and yield prediction
JP2008242112A (ja) 2007-03-28 2008-10-09 Toshiba Corp マスクパターン評価装置及びフォトマスクの製造方法
US8452075B2 (en) 2007-04-11 2013-05-28 Synopsys, Inc. Range pattern matching for hotspots containing vias and incompletely specified range patterns
JP4333770B2 (ja) 2007-04-12 2009-09-16 ソニー株式会社 マスクパターン作成プログラム、半導体製造方法、マスクパターン作成方法および半導体設計プログラム
JP4958616B2 (ja) 2007-04-20 2012-06-20 株式会社日立ハイテクノロジーズ ホットスポット絞り込み装置、ホットスポット絞り込み方法、ホットスポット絞り込みプログラム、ホットスポット検査装置、および、ホットスポット検査方法
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP5045445B2 (ja) 2008-01-09 2012-10-10 ソニー株式会社 マスクパターン補正方法、マスクパターン補正プログラム、マスクパターン補正装置、露光条件設定方法、露光条件設定プログラム、露光条件設定装置、半導体装置製造方法、半導体装置製造プログラムおよび半導体装置製造装置
JP5175577B2 (ja) 2008-02-18 2013-04-03 株式会社日立ハイテクノロジーズ 集積回路パターンの欠陥検査方法、及びその装置
US8197996B2 (en) * 2008-09-19 2012-06-12 Tokyo Electron Limited Dual tone development processes
US8146023B1 (en) 2008-10-02 2012-03-27 Kla-Tenor Corporation Integrated circuit fabrication process convergence
JP2010102055A (ja) 2008-10-23 2010-05-06 Sony Corp パターン評価方法、露光用マスク、露光方法、露光用マスクの製造方法および半導体装置の製造方法
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
NL2003699A (en) * 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8302052B2 (en) 2009-06-23 2012-10-30 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing hotspot detection, repair, and optimization of an electronic circuit design
DE102009038558A1 (de) * 2009-08-24 2011-03-10 Carl Zeiss Sms Gmbh Verfahren zur Emulation eines fotolithographischen Prozesses und Maskeninspektionsmikroskop zur Durchführung des Verfahrens
JP5398502B2 (ja) 2009-12-10 2014-01-29 株式会社東芝 パターン作成方法、プロセス決定方法およびデバイス製造方法
US8533637B2 (en) * 2009-12-29 2013-09-10 Mentor Graphics Corporation Retargeting based on process window simulation
US8228403B2 (en) * 2009-12-31 2012-07-24 Omnivision Technologies, Inc. Generating column offset corrections for image sensors
US8918686B2 (en) * 2010-08-18 2014-12-23 Kingtiger Technology (Canada) Inc. Determining data valid windows in a system and method for testing an integrated circuit device
US8372565B2 (en) * 2010-08-31 2013-02-12 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
US8555210B2 (en) 2011-04-29 2013-10-08 Micron Technology, Inc. Systems and methods for stochastic models of mask process variability
US9201022B2 (en) * 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
US8504949B2 (en) 2011-07-26 2013-08-06 Mentor Graphics Corporation Hybrid hotspot detection
US8755045B2 (en) 2012-01-06 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Detecting method for forming semiconductor device
JP5880129B2 (ja) 2012-02-24 2016-03-08 富士通株式会社 欠陥箇所予測装置,欠陥箇所予測プログラムおよび欠陥箇所予測方法
JP5988615B2 (ja) * 2012-02-28 2016-09-07 株式会社日立ハイテクノロジーズ 半導体評価装置、及びコンピュータープログラム
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8940555B2 (en) * 2012-09-06 2015-01-27 Globalfoundries Inc. Method and system for determining overlap process windows in semiconductors by inspection techniques
US8954898B2 (en) * 2013-03-15 2015-02-10 International Business Machines Corporation Source-mask optimization for a lithography process
CN103744267B (zh) 2013-11-28 2015-07-08 上海华力微电子有限公司 基于规则图形过滤的版图设计光刻工艺友善性检查方法
CN103645611B (zh) 2013-11-29 2015-11-25 上海华力微电子有限公司 一种版图设计光刻工艺友善性检测方法
CN103645612B (zh) 2013-11-29 2015-08-05 上海华力微电子有限公司 光刻工艺图形缺陷检测方法
KR101924487B1 (ko) * 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. 수율 추산 및 제어
WO2015101461A2 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
CN105874388B (zh) 2013-12-30 2019-03-15 Asml荷兰有限公司 用于量测目标的设计的方法和设备
SG11201604641PA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
KR101860042B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 장치 및 방법
JP6386569B2 (ja) * 2014-02-12 2018-09-05 エーエスエムエル ネザーランズ ビー.ブイ. プロセスウィンドウを最適化する方法
CN112530828A (zh) * 2014-06-10 2021-03-19 Asml荷兰有限公司 计算机可读介质
WO2016012316A1 (en) * 2014-07-21 2016-01-28 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
KR102021450B1 (ko) * 2014-09-22 2019-11-04 에이에스엠엘 네델란즈 비.브이. 공정 윈도우 식별자
US10437157B2 (en) 2014-12-09 2019-10-08 Asml Netherlands B.V. Method and apparatus for image analysis
WO2016091536A1 (en) 2014-12-09 2016-06-16 Asml Netherlands B.V. Method and apparatus for image analysis
US10372043B2 (en) * 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
US10725372B2 (en) 2015-02-12 2020-07-28 Asml Netherlands B.V. Method and apparatus for reticle optimization
WO2016128189A1 (en) 2015-02-13 2016-08-18 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
US10459345B2 (en) * 2015-03-06 2019-10-29 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
WO2016202559A1 (en) * 2015-06-16 2016-12-22 Asml Netherlands B.V. Process window tracking
WO2017067756A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060234144A1 (en) * 2005-04-13 2006-10-19 Kla-Tencor Technologies Corporation Method for monitoring a reticle
KR20100009563A (ko) * 2007-04-03 2010-01-27 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스를 조명하는 조명 시스템 및 조명 시스템을 제조하는 방법
KR20100076960A (ko) * 2007-11-17 2010-07-06 칼 짜이스 에스엠에스 게엠베하 위상 시프트 마스크 수리 방법
KR20140104465A (ko) * 2011-11-30 2014-08-28 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 및 대응하는 리소그래피 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10075429B2 (en) 2014-09-24 2018-09-11 Oracle International Corporation Policy-based compliance management and remediation of devices in an enterprise system

Also Published As

Publication number Publication date
TWI641959B (zh) 2018-11-21
US20180089359A1 (en) 2018-03-29
US9842186B2 (en) 2017-12-12
CN107077077A (zh) 2017-08-18
US20200372201A1 (en) 2020-11-26
CN107077077B (zh) 2019-03-12
WO2016045901A1 (en) 2016-03-31
KR102021450B1 (ko) 2019-11-04
US11379648B2 (en) 2022-07-05
US20160085905A1 (en) 2016-03-24
TW201633190A (zh) 2016-09-16
US10755025B2 (en) 2020-08-25

Similar Documents

Publication Publication Date Title
US11379648B2 (en) Process window identifier
US11080459B2 (en) Computational wafer inspection
US20220147665A1 (en) Process window optimizer
US20230042759A1 (en) Separation of contributions to metrology data
US11561480B2 (en) System and method for inspecting a wafer
US10866523B2 (en) Process window tracker

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant