KR20110015652A - 모델-기반 스캐너 튜닝 방법 - Google Patents

모델-기반 스캐너 튜닝 방법 Download PDF

Info

Publication number
KR20110015652A
KR20110015652A KR1020107029752A KR20107029752A KR20110015652A KR 20110015652 A KR20110015652 A KR 20110015652A KR 1020107029752 A KR1020107029752 A KR 1020107029752A KR 20107029752 A KR20107029752 A KR 20107029752A KR 20110015652 A KR20110015652 A KR 20110015652A
Authority
KR
South Korea
Prior art keywords
model
scanner
tuning
patterns
wafer
Prior art date
Application number
KR1020107029752A
Other languages
English (en)
Other versions
KR101610734B1 (ko
Inventor
준 예
유 차오
로날드 구센스
웬진 샤오
짐 쿤멘
Original Assignee
브라이언 테크놀로지스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브라이언 테크놀로지스, 인코포레이티드 filed Critical 브라이언 테크놀로지스, 인코포레이티드
Publication of KR20110015652A publication Critical patent/KR20110015652A/ko
Application granted granted Critical
Publication of KR101610734B1 publication Critical patent/KR101610734B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C64/00Additive manufacturing, i.e. manufacturing of three-dimensional [3D] objects by additive deposition, additive agglomeration or additive layering, e.g. by 3D printing, stereolithography or selective laser sintering
    • B29C64/30Auxiliary operations or equipment
    • B29C64/386Data acquisition or data processing for additive manufacturing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70458Mix-and-match, i.e. multiple exposures of the same area using a similar type of exposure apparatus, e.g. multiple exposures using a UV apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

포토리소그래피 공정들을 튜닝하는 시스템들 및 방법들이 개시된다. 튜닝가능한 파라미터들의 일 세트에 대해 타겟 스캐너의 감도를 정의하는 타겟 스캐너의 모델이 유지된다. 변별적 모델은 기준으로부터의 타겟 스캐너의 편차들을 나타낸다. 타겟 스캐너는 변별적 모델 및 기준 스캐너의 세팅들에 기초하여 튜닝될 수 있다. 관련 스캐너 군의 성능은 기준 스캐너의 성능에 대해 특성화될 수 있다. 변별적 모델들은 이미징 동작의 차이를 시뮬레이션하는데 사용될 수 있는 파라미터 오프셋들 및 다른 차이들과 같은 정보를 포함할 수 있다.

Description

모델-기반 스캐너 튜닝 방법{MODEL-BASED SCANNER TUNING METHODS}
본 출원은 2008년 12월 30일 출원된 미국 가특허 출원 제 61/141,578호, 및 2009년 1월 2일 출원된 미국 가특허 출원 제 61/142,305호, 및 2008년 6월 3일 출원된 미국 가특허 출원 제 61/058,511호, 및 2008년 6월 3일 출원된 미국 가특허 출원 제 61/058,520호로부터 우선권을 주장하며, 이 출원들은 본 명세서에서 그 전문이 명백히 인용참조된다.
본 발명은 일반적으로 모델-기반 스캐너 튜닝(model-based scanner tuning) 및 최적화를 수행하는 방법 및 시스템에 관한 것이며, 특히 다중 리소그래피 시스템들의 성능의 최적화에 관한 것이다.
리소그래피 장치는 집적 회로(IC)의 제조에 사용될 수 있다. 마스크는 IC의 개별층에 대응하는 회로 패턴을 포함하며, 이 패턴은 방사선-감응성의 레지스트 재료 층으로 코팅된 실리콘 웨이퍼의 기판 상의 1 이상의 다이를 포함한 타겟부 상으로 이미징(image)된다. 일반적으로, 단일 웨이퍼는 투영 시스템을 통해 한번에 하나씩 연속적으로 조사(irradiate)되는 인접한 타겟부들의 네트워크를 포함할 것이다. 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭하는 리소그래피 투영 장치의 한 형태에서는, 전체 마스크 패턴을 타겟부 상으로 한번에 노광함으로써 각각의 타겟부가 조사된다. 스텝-앤드-스캔 장치(step and scan apparatus)에서는, 투영 빔 하에서 주어진 기준 또는 "스캐닝 방향"으로 마스크 패턴을 점진적으로 스캐닝하는 한편, 동시에 이 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판 테이블을 스캐닝함으로써 각각의 타겟부가 조사된다. 배율 인자(M)(일반적으로 < 1)를 갖는 투영 시스템에서, 기판 테이블이 스캐닝되는 속력(V)은 마스크 테이블이 스캐닝되는 속력의 인자(M) 배일 것이다. 본 명세서에 서술된 바와 같은 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792로부터 얻을 수 있다.
리소그래피 투영 장치를 사용하는 제조 공정에서, 마스크 패턴은 방사선-감응성의 레지스트 재료 층에 의해 전체 또는 부분적으로 덮여 있는 기판 상에 이미징된다. 이러한 이미징 단계에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노광 이후, 기판은 노광후 베이크(post exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 이미징된 피처들의 측정/검사와 같은 다른 절차들을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 패터닝하는 기초로서 사용된다. 그 후, 이러한 패터닝된 층은 개별층을 마무리하기 위해, 에칭, 이온 주입 또는 도핑, 금속피복(metallization), 산화, 화학 기계적 연마 등과 같은 다양한 공정들을 거칠 수 있다. 여러 층이 요구되는 경우에는, 각각의 새로운 층에 대해 상기 절차 또는 그 변형이 반복되어야 할 것이다. 결국, 디바이스들의 어레이가 기판 웨이퍼 상에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어 상에 장착되고, 핀에 연결되는 등의 단계를 거칠 수 있다.
투영 시스템(이하 "렌즈")은 예를 들어 굴절 광학기, 반사 광학기 및 카타디옵트릭(catadioptric) 시스템을 포함하는 다양한 타입의 투영 시스템들을 포괄하며, 1 이상의 렌즈를 포함할 수 있다. 또한, 렌즈는 방사선 투영 빔을 지향, 성형 또는 제어하는데 사용되는 방사선 시스템의 구성요소들을 포함할 수 있다. 또한, 리소그래피 장치는 2 이상의 기판 테이블 및/또는 2 이상의 마스크 테이블을 갖는 형태로 구성될 수 있다. 이러한 다수 스테이지 디바이스에서는 추가 테이블들이 병행하여 사용될 수 있으며, 및/또는 소정 테이블이 노광에 사용되고 있는 동안 다른 테이블에서는 준비 작업 단계가 수행될 수 있다. 트윈 스테이지(twin stage) 리소그래피 장치가, 예를 들어 본 명세서에서 인용참조되는 US 5,969,441에서 설명된다.
앞서 언급된 포토리소그래피 마스크는 실리콘 웨이퍼 상에 집적화될 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 생성하는데 사용되는 패턴들은 "CAD"(computer-aided design) 프로그램들을 사용하여 생성되며, 이 공정은 흔히 "EDA"(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능성 마스크를 생성하기 위해 사전설정된 디자인 규칙의 세트를 따른다. 이 규칙들은 처리 및 디자인 제한에 의해 설정된다. 예를 들어, 디자인 규칙은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, 게이트, 캐패시터 등과 같은 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들은 통상적으로 임계 치수("CD")라고도 칭해진다. 회로의 CD는 라인 또는 홀의 최소폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목표들 중 하나는 마스크를 통해 웨이퍼 상에 원래 회로 디자인을 충실하게 재현하는 것이다.
일반적으로, 최적의/만족스러운 이미징 성능을 달성하기 위해 각각의 리소그래피 시스템의 필요한 세팅들을 결정하는데 있어서 상당한 시간 및 자원을 소비하지 않고, 스캐너들과 같은 상이한 형태의 리소그래피 시스템들로 주어진 패턴을 이미징하는 공통 "공정"을 이용하는 것으로부터 장점이 생길 수 있다. 사전설정된 디자인 요건들을 만족시키는 이미지들을 얻기 위한, 또한 특정 스캐너에 대한 공정을 초기에 셋업하는 경우에, 디자이너/엔지니어는 개구수(numerical aperture: "NA"), σin, σout 등을 포함하는 리소그래피 시스템의 최적 세팅들을 결정하는데 상당한 시간 및 자금을 소비할 수 있다. 흔히, 스캐너 세팅들이 선택되고, 원하는 패턴이 이미징된 후, 출력 이미지가 명시된 공차 내에 있는지를 결정하도록 측정되는 시행착오 공정이 채택된다. 출력 이미지가 공차 내에 있지 않은 경우, 스캐너 세팅들이 조정되어 패턴이 다시 한번 이미징되고 측정된다. 이 공정은 결과적인 이미지가 명시된 공차들 내에 있을 때까지 반복된다.
하지만, 스캐너들이 동일한 모델 타입들이라도 패턴을 이미징하는 경우에 상이한 스캐너들에 의해 상이한 광 근접성 효과("OPE")가 나타나기 때문에, 기판 상에 이미징되는 실제 패턴이 스캐너마다 다를 수 있다. 예를 들어, 소정 스캐너들과 연계된 상이한 OPE들은 피치(pitch)를 통해 상당한 CD 변동들을 도입할 수 있다. 결과로서, 스캐너들 사이에서 스위칭하고, 동일한 이미징된 패턴들을 얻는 것은 흔히 불가능하다. 따라서, 스캐너가 새롭거나 상이하고, 또한 디자인 요건들을 만족시키는 결과적인 이미지들을 얻어야 하는 패턴을 프린트하는데 사용되는 경우, 엔지니어들은 상기 스캐너를 최적화하거나 튜닝(tune)하여야 한다. 통상적으로, 공정들 및 스캐너들을 조정하기 위해, 고가이고 시간-소모적인 시행착오 공정이 사용된다.
현재 기술수준에서, 스캐너 튜닝의 통상적인 형태는 근접성 매칭(proximity matching)이다. 이는 튜닝가능한 스캐너와 기준 스캐너 사이에서 사전정의된 패턴들의 세트에 대해 프린트된 웨이퍼 CD들을 매칭하기 위한 것이다. 전형적으로, 주안점은 스루 피치 1 차원 패턴들("1D 패턴들")에 두는데, 이는 이 패턴들에 대한 임계 치수 균일성이 반도체 디바이스 성능에 대해 가장 임계적이기 때문이다. 사전정의된 패턴들이 기준 스캐너와 튜닝가능한 스캐너를 이용하여 웨이퍼 상에 노광되고, 웨이퍼 CD 값들이 측정된다. 기준 스캐너로부터의 CD 값들에 튜닝 후 CD 값들을 매칭하기 위해, 튜닝가능한 스캐너에 대한 튜닝 오프셋들을 조종하는데 CD의 차가 사용된다. 튜닝 오프셋들에 관련된 CD 값들의 선형 종속(linear dependency)을 가정하면, 최적화는 선형 방식으로 수행된다. 선형 종속은 노브 오프셋(knob offset)들에 대해 CD 값들의 편도함수(partial derivative)로서 정의된 감도들을 특징으로 한다. 감도들은 미국 특허 제 7,003,758호에 의해 제공된 바와 같은 리소그래피 모델로부터 측정되거나 시뮬레이션될 수 있다.
기존 방법론에 있어서 몇몇 결점들이 존재하며, 본 발명은 이를 극복하려고 노력한다. 우선, 매칭될 모든 패턴이 측정되어야 하며, 이는 (일반적으로 높은 수요의) 제작에 있어서 웨이퍼 메트롤로지 시간을 가장 효율적으로 사용하지는 않는다. 반대로, 측정된 것 이외의 패턴들에 대해서는 매칭 또는 이미징 동작의 레벨에 대한 권리가 존재하지 않는다. 이는 생산 환경들에서 문제들을 야기했던 것으로 알려져 있으며, 이때 1D 패턴들의 세트는 충분히 잘 매칭되지만, 2-차원("2D")의 실제 디바이스 패턴들 일부는 튜닝 후 웨이퍼 이미징에 있어서 명백히 매칭되지 않은 결과들을 가졌다. "Accurate Model Base Verification Scheme To Eliminate Hotspots And Manage Warmspots"(Proc. SPIE, Vol. 6925, 69250Z, 2008년), 및 "Scanner Fleet Management Utilizing Programmed Hotspot Patterns"(Proc. SPIE, Vol. 7028, 70280W, 2008년)을 참조한다.
본 발명의 소정 실시예들은 포토리소그래피 공정들을 튜닝하는 시스템 및 방법을 포함한다. 스캐너 튜닝은 스캐너 매칭, 공정 매칭을 위한 스캐너 튜닝, 및 성능 최적화를 위한 스캐너 튜닝으로 분류될 수 있다. 이후, 튜닝될 튜닝가능한 스캐너는 타겟 스캐너라 칭하며, 튜닝 수행의 바람직한 결과는 기준(reference)이라 칭한다. 소정 실시예들에서, 튜닝 기준은 측정된 웨이퍼 윤곽 또는 CD, 시뮬레이션된 웨이퍼 윤곽 또는 CD, 또는 디자인 타겟 다각형(design target polygon)일 수 있다.
본 발명의 소정 실시예들에서, 타겟 스캐너의 모델이 유지되고, 상기 모델은 튜닝가능한 파라미터들의 세트에 대한 타겟 스캐너 및 타겟 스캐너의 구성요소들의 감도를 정의한다. 기준으로부터의 타겟 스캐너의 편차들을 나타내도록 변별적 모델(differential model)이 생성될 수 있다. 타겟 스캐너는 기준 스캐너 및 변별적 모델의 세팅들에 기초하여 튜닝될 수 있다.
소정 실시예들은 기준 스캐너의 성능에 대해 관련 스캐너 군(family)의 성능을 특성화하는 시스템 및 방법을 제공한다. 스캐너 군은 단일 벤더(vendor)에 의해 제조된 스캐너들을 포함할 수 있으며, 상기 군 내의 스캐너들은 동일한 모델 형태 또는 상이한 모델 형태들에 속할 수 있다. 스캐너 군은 상이한 벤더들에 의해 제조된 스캐너들을 포함할 수 있으며, 이때 상기 스캐너들은 적어도 어느정도 기능적으로 유사한 요소들을 포함한다. 예를 들어, 특정 파장 레이저를 이용하는 스캐너들이 공통 기초 모델(common base model)로 모델링될 수 있다. 스캐너 군이 공통 기초 모델에 의해 모델링되는 경우, 공통 기초 모델로부터 개별적인 군 구성원들의 변차(variance)들을 수용하는 소정 튜닝 정보 및 캘리브레이션 정보를 유지하기 위해 추가적인 변별적 모델들이 사용될 수 있다. 변별적 모델들은 파라미터 오프셋들 및 이미징 동작의 차이를 시뮬레이션하는데 사용될 수 있는 다른 차이들과 같은 정보를 포함할 수 있다.
본 발명의 소정 실시예들은 달성되는 웨이퍼 윤곽과 기준 간의 편차를 결정하기 위해 풀-칩(full-chip) 레벨까지의 모델-기반 시뮬레이션들을 포함한다. 이러한 시뮬레이션된 편차들은 측정된 편차들과 조합되어, 타겟 스캐너 세팅들의 최적화를 조종한다. 소정 실시예들에서, 이 최적화는 1 이상의 반복을 포함한다.
소정 실시예들에서, 스캐너 노브 변화들의 결과로서 임계 치수(CD) 또는 웨이퍼 윤곽의 변화들은 타겟 스캐너에 대한 감도 모델(sensitivity model)을 통해 시뮬레이션된다.
아래의 상세한 설명 및 첨부된 개략적인 도면들을 참조하여, 추가 목적들 및 장점들과 함께 본 발명 자체가 더 이해될 수 있다.
도 1은 본 발명의 소정 실시형태들에 따른 리소그래피 모델을 예시하는 도면;
도 2는 본 발명의 소정 실시형태들에 따른 리소그래피 모델을 캘리브레이션하는 전반적인 절차를 예시하는 도면;
도 3은 본 발명의 소정 실시형태들에 따른 변별적 리소그래피 모델을 생성, 조정, 및 최적화하는 공정을 예시하는 도면;
도 4는 본 발명의 소정 실시예들에 따른, 스캐너 메트롤로지에 의해 보상된 스캐너 모델로부터의 광학 파라미터들을 시뮬레이션하고 예측하는 공정의 일 예시를 나타내는 도면;
도 5는 본 발명의 소정 실시형태들에 따른 감도 모델링을 예시하는 도면;
도 6은 본 발명의 소정 실시형태들에 따른 복수의 스캐너들에 대한 변별적 모델들의 캘리브레이션에 대한 공정을 예시하는 도면;
도 7은 본 발명의 소정 실시예들에서의 기초 모델 파라미터들과 유도 모델 파라미터(derived model parameter)들 간의 관계를 예시하는 도면;
도 8은 본 발명의 소정 실시형태들에 따른, 변별적 모델로부터의 시뮬레이션된 윤곽들의 생성을 예시하는 도면;
도 9는 본 발명의 소정 실시형태들에 따른 컴퓨터 시스템을 예시하는 블록도;
도 10은 본 발명의 소정 실시형태들에 따른 리소그래피 투영 장치를 개략적으로 도시하는 도면;
도 11은 본 발명의 소정 실시형태들에 따른 풀-칩 시뮬레이션들을 채택하는 튜닝 방법을 예시하는 흐름도; 및
도 12는 본 발명의 소정 실시형태들에 따른 소형(mini)-레이아웃 접근법을 채택하는 튜닝 공정을 예시하는 흐름도이다.
이제 도면들을 참조하여 본 발명의 실시예들이 상세하게 설명될 것이며, 이는 당업자가 본 발명을 시행할 수 있도록 설명적인 예시들로서 제공된다. 아래의 숫자들 및 예시들은 본 발명의 범위를 단일 실시예에 제한하는 것으로 생각되지 않으며, 설명되거나 예시된 요소들의 일부 또는 전부를 교환함으로써 다른 실시예들도 가능하다는 것을 유의한다. 적절하다면, 동일한 참조 부호들은 도면 전체에서 동일하거나 유사한 부분들을 칭하는데 사용될 것이다. 이 실시예들의 소정 요소들이 알려진 구성요소들을 이용하여 부분적으로 또는 전체적으로 구현될 수 있는 경우, 이러한 알려진 구성요소들에 있어서 본 발명을 이해하는데 필요한 부분들만이 설명될 것이며, 이러한 알려진 구성요소들의 다른 부분들의 세부적인 설명들은 본 발명을 불명료하게 하지 않도록 생략될 것이다. 본 명세서에서, 하나뿐인 구성요소를 나타내는 실시예는 제한적인 것으로 간주되지 않고; 오히려, 본 발명은 본 명세서에서 달리 명백히 언급되지 않는 한 동일한 복수의 구성요소들을 포함한 다른 실시예들을 포괄하도록 의도되며, 그 역으로도 가능하다. 또한, 출원인들은 달리 설명되지 않은 경우, 본 명세서 또는 청구항 내의 여하한의 용어가 통상적이지 않거나 특수한 의미로 여겨질 것을 의도하지 않는다. 또한, 본 발명은 예시의 방식으로 본 명세서에서 언급된 구성요소들에 대하여, 현재 알려진 균등물 및 미래 알려질 균등물을 포함한다.
본 발명의 소정 실시예들에서, 스캐너 튜닝을 위한 풀-칩 웨이퍼 측정의 대안예 또는 보충예로서 풀-칩 웨이퍼 시뮬레이션 및 검증이 채택된다. 시뮬레이션 시 사용되는 모델들로는 감도 모델 및 변별적 모델을 포함할 수 있다. 감도 모델은 튜닝 입력들에 응답하여 스캐너의 이미징 동작의 변화들(즉, 노브들이 튜닝되는 경우)을 설명한다. 변별적 모델은 알려진 세팅들 하에서 리소그래피 공정들의 동작의 차이들을 설명하고 파라미터화한다. 변별적 모델들의 캘리브레이션은 존스 퓨필(Jones pupil), 일루미네이터 맵 등과 같은 스캐너 센서 데이터, 및 웨이퍼 메트롤로지 데이터를 사용한다.
도 1은 본 발명의 소정 실시형태들에 따른 리소그래피 모델(10)을 예시한다. 리소그래피 모델은 마스크 모델(100), 광학 모델(102) 및 레지스트 모델(104)을 포함한다. 또한, 몇몇 실시예에서 리소그래피 모델은 에칭 모델(etch model)을 포함하며, 이는 간명함을 위해 도면에 도시되지 않는다. 마스크 모델은 복수의 마스크 파라미터들(120)의 변화들에 의해 도입되는 가변성을 반영할 수 있으며, 광학 모델은 광학 파라미터들(122)의 변화들에 영향을 받을 수 있고, 레지스트 모델(104)은 레지스트 파라미터들(124)의 세팅들에 의해 제어될 수 있다. 모델(10)은 마스크 디자인(140)으로부터 생성되었을 레지스트 윤곽(164), 또는 에칭 모델 구성요소가 포함되는 경우에는 에칭후 윤곽(after-etch contour)을 예측하는데 사용될 수 있다. 마스크 파라미터들(120)에 의해 구성된 마스크 모델(100)은 예측된 마스크 이미지(160)를 생성하며, 이는 광학 모델(102)에 제공되는 경우에 광학 파라미터들(122)에 기초하여 시뮬레이션된 광학 이미지(162)를 생성한다. 레지스트 파라미터들(124)에 의해 구성된 레지스트 모델(104)은 시뮬레이션된 광학 이미지(162)로부터 레지스트 윤곽(164)을 예측하는데 사용될 수 있다. 에칭 파라미터들에 의해 구성된 에칭 모델이 포함되는 경우, 이는 레지스트 윤곽(164)으로부터 에칭후 윤곽을 예측하는데 사용될 수 있다.
광학 파라미터들(122)은 튜닝가능한 파라미터 및 튜닝가능하지 않은 파라미터를 포함하며, 이때 "튜닝가능한 파라미터"는 NA(개구수)와 같은 스캐너 상에서 조정될 수 있는 노브를 칭하는 한편, "튜닝가능하지 않은 파라미터"는 전형적인 스캐너 디자인들에 대한 존스 퓨필과 같은 조정될 수 없는 스캐너 파라미터들을 칭한다. 본 발명의 방법론은, 어느 파라미터들이 스캐너 상에서 튜닝가능하거나 튜닝가능하지 않은지에 의존하지 않는다. 모델 캘리브레이션을 위해, 튜닝가능하지 않은 파라미터 및 튜닝가능한 파라미터는 모두, 모델에 의해 생성된 이미지가 기준 스캐너에 의해 생성된 실제 이미징 결과들에 매칭할 때까지 조정될 수 있다. 모델 캘리브레이션에서의 파라미터들의 조정은 튜닝가능성 대신에 이 파라미터들에 대한 지식의 정도에 좌우된다. 예를 들어, 스캐너 메트롤로지를 통해 조명 퓨필의 정확한 측정들이 이용가능한 경우, 이러한 측정들은 추가 조정 없이 바로 모델 캘리브레이션에서 사용될 수 있다. 반면에, 스캐너 메트롤로지를 통해 직접 측정하지 않은 파라미터들은 웨이퍼 데이터를 피팅(fit)하기 위해 최적화되어야 한다. 스캐너 메트롤로지 측정들은 집적 렌즈 간섭계(integrated lens interferometer)를 이용하여 수행될 수 있다. 일 실시예에서, 집적 렌즈 간섭계는 파면 센서이고, 필드 지점당 렌즈 수차들을 측정하는데 사용된다. 파면 센서는 전단 간섭(shearing interferometry)의 원리에 기초하며, 소스 모듈 및 센서 모듈을 포함한다. 소스 모듈은 투영 시스템의 대상물 평면 내에 배치되는 패터닝된 크롬 층을 가지며, 크롬 층 위에 제공되는 추가 광학기를 갖는다. 상기 조합은 투영 시스템의 전체 퓨필에 방사선의 파면을 제공한다. 센서 모듈은 투영 시스템의 이미지 평면 내에 배치되는 패터닝된 크롬 층, 및 상기 크롬 층 뒤에 약간 떨어져서 배치되는 카메라를 갖는다. 센서 모듈 상의 패터닝된 크롬 층은 서로 간섭하는 수 개의 회절 차수들로 방사선을 회절시켜, 인터페로그램(interferogram)을 발생시킨다. 인터페로그램은 카메라에 의해 측정된다. 투영 렌즈들의 수차들은 측정된 인터페로그램에 기초하여, 소프트웨어에 의해 결정될 수 있다.
도 2는 리소그래피 모델(222)의 캘리브레이션에 대한 전반적인 절차를 예시한다. 캘리브레이션을 위해, 1 이상의 마스크 디자인(200)이 사용될 수 있다. 마스크 디자인(200)은 몇몇 실시예에서 명확하게는 캘리브레이션을 위해 생성될 수 있지만, 다른 실시예들은 생산 용도로 생성되는 마스크 디자인들을 이용하여 캘리브레이션된다. 리소그래피 모델(222)에서 사용되는 모델링된 마스크, 광학 및 레지스트 파라미터들(220)은 리소그래피 공정(242)에서 사용되는 마스크, 광학기 및 레지스트 효과들(240)을 반영하기 위해 선택된다. 결과적인 시뮬레이션된 레지스트 윤곽들(224) 및 측정된 레지스트 윤곽들(244)은 비교되고 분석될 수 있으며, 시뮬레이션된 윤곽과 측정된 윤곽 간의 차이를 최소화하기 위해 파라미터들(220)이 최적화될 수 있다. 분석은 비용 함수(260)를 이용하여 수행될 수 있으며, 이는 아래에서 더 상세히 설명될 것이다.
소정 실시예들에서, 모델 캘리브레이션 공정은 웨이퍼 메트롤로지(CD-SEM 측정들 및 윤곽들, 스케터로메트리 등) 및 스캐너 데이터(디자인되거나 측정됨)를 모두 포함하여, 모든 측정들 및 그 각각의 불명확성을 고려하고 균형을 맞추는(balance) 최대 우도 문제(maximum likelihood problem)로서 공식화된다. 소정 실시예들에서, 캘리브레이션 공정은 반복적이어서, 실제 웨이퍼 데이터에 충분히 가깝게 결정되는 모델에 의해 생성된 이미징 결과들을 제공하는 캘리브레이션을 얻기 위해 모델 파라미터들이 되풀이하여 조정된다. 사전정의된 오차 기준이 확립될 수 있으며, 및/또는 "가능한 최적 매칭(best match possible)"을 위한 기준이 정의되거나 정량화될 수 있다. 소정 실시예들에서, 스캐너의 이미징 성능을 시뮬레이션하는 여하한의 적절한 모델이 사용될 수 있으며, 예를 들어 미국 특허 제 7,003,758호의 시스템들 및 방법들에 의해 제공된 것들을 포함한다.
절대적 정확성 대 변별적 정확성
통상적인 모델-기반 OPC 적용들에 대해서는, 공칭(nominl) 노광 조건들에서의, 전형적으로 CD-SEM 측정들에 대한 절대적 예측 정확성에 주안점을 크게 두었다. 공정 윈도우에 걸친 OPC 검증 및 공정-윈도우-정통 OPC(process-window-aware OPC)의 출현으로, 주안점이 공정 윈도우에 걸친 예측 정확성을 포괄하도록 확장되었다(미국 특허 출원 제 11/461,994호, "System and Method For Creating a Focus-Exposure Model of a Lithography Process"). 하지만, 성능 지수(figure of merit)는 측정된 CD와 예측된 CD 간의 차이를 남긴다.
주안점은, 매칭 및 성능 최적화를 포함하는 모델-기반 스캐너 튜닝에 대해 반드시 상이하다. 관심 수량(quantity of interest)들은 스캐너 세팅 변화들에 의해 야기된 CD 차들, 스캐너간 차들, 및/또는 공정간 차들을 포함한다. 상기 수량들은 전형적으로 수 나노미터 급 이하로 측정가능하며, 이는 전형적인 OPC 모델들의 절대적 정확성과 비교가능하다. 이러한 차들을 모델링, 시뮬레이션 및 예측하는 것은, OPC 모델링에 필요한 것들과 비교하여 모델 정확성에 상이한 요건들을 부과한다. 본 발명의 소정 실시예들은 이 상이한 요건들을 설명하고 만족시키는 신규한 알고리즘들을 채택한다.
도 3은 변별적 리소그래피 모델(322)을 생성하고, 조정하며, 최적화하는 공정을 예시한다. 마스크 디자인(300)은 일 세트의 공정 조건들(340) 하에서 스캐너들의 모델들(322)을 이용한 시뮬레이션, 및 복수의 스캐너들에 의한 처리(342)에 대해 제공된다. 시뮬레이션된 레지스트 윤곽들(324)은 물리적으로 생성된 레지스트 윤곽들(344)에 대해 분석될 수 있다. 복수의 스캐너들과 연계된 변별적 모델 또는 모델들을 정확하게 특성화할 수 있는 모델을 얻기 위하여, 비용 함수(360)(아래에서 설명됨)가 모델 파라미터들(320)을 조정하는데 사용될 수 있다.
변별적으로 정확한 모델들은 여전히 의례적으로 레지스트 현상 이후나 에칭 이후의 웨이퍼 상의 패턴 윤곽을 시뮬레이션한다. 하지만, 이러한 모델들의 목표는 반드시 절대적 CD 정확성은 아니며, 오히려 스캐너들 간의 차이들을 설명하거나 능동적인 스캐너 튜닝의 효과들을 시뮬레이션하기 위한, 1 이상의 모델 파라미터들이 변동(perturb)되는 경우의 CD 변화들 또는 윤곽 변화들을 예측하는 정확성이다. 이러한 것으로서, 시뮬레이션은 2 가지 경로, 파라미터 변동(parameter perturbation)이 없는 것과 파라미터 변동이 있는 것을 필요로 할 수 있다. 주어진 패턴 i에 대한 관심 수량은 다음과 같다:
ΔCDi = CD(pattern_i,perturbed_model)-CD(pattern_i,unperturbed_model)
유도 모델들의 생성
충분한 변별적 정확성을 갖는 모델("변별적 모델")이 이용가능하다고 가정하면, 본 발명의 소정 실시형태들은 변별적 모델 및 기초 모델에 기초하여 유도 모델들의 생성을 용이하게 한다. 소정 실시예들에서, 기초 모델은 변동전 모델과 동일하며, 이 경우 유도 모델은 변동후 모델과 동일할 것이다. 이 실시예들에서, 유도 모델은 변동된 모델을 이용하는 단 하나의 이미징 시뮬레이션만을 필요로 한다. 다른 실시예들에서는, 기초 모델이 변동전 모델과 상이하며, 이 경우 유도 모델은 기초 모델, 변동되지 않은 모델, 및 변동된 모델을 각각 이용하는 3 개의 이미징 시뮬레이션을 필요로 한다. 이 후자의 실시예들의 일 예시에서 기초 모델은 OPC 모델일 수 있다.
감도 모델링
도 4는 스캐너 모델(402) 및 스캐너 메트롤로지(404)를 통하는 광학 파라미터들(420)에 대한 노브 세팅들(400)의 효과를 예시한다. 소정 광학 파라미터들은 이용가능하거나 채택된 스캐너 노브들의 변화들에 영향을 받지 않으므로, 스캐너 메트롤로지에 의해 완전히 고정될 수 있다. 이것의 예시들은 대역폭 제어가 없는 레이저들로 피팅된 스캐너들에 대한 레이저 스펙트럼을 포함한다. 다른 경우들에서, 광학 파라미터들은 노브 변화들에 의해 영향을 받으며, 스캐너 모델(402) 및 스캐너 메트롤로지(404)의 조합으로부터 유도될 수 있다. 예를 들어, 조명 퓨필은 NA와 시그마 변화들, 및 소정 형태의 스캐너들에 대한 타원율 세팅(ellipticity setting)들을 포함한 다른 변화들에 의해 영향을 받는다. 이러한 것으로서, 조명 퓨필은 스캐너 모델들과 조합된 퓨필 측정들을 이용하여 예측될 수 있다.
도 5는 본 발명의 기본적인 실시형태를 예시하며, 이는 하나의 스캐너에 대한 세팅 변화들에 응답하는 한편, 리소그래피 공정의 다른 상황들은 모두 변화되지 않은 채로 유지하여 임의 패턴들에 대한 이미징 변화들(즉, 임계 치수 변화들 및 윤곽 변화들)을 예측하는 단계를 포함한다. 도시된 예시에서, 일련의 N 개의 시뮬레이션들이 수행되며, 이때 각각의 시뮬레이션은 시뮬레이션된 조건들 하에서 이용가능하거나 생성되는 측정된 윤곽(560 내지 562)에 (각각) 대응하는 시뮬레이션된 윤곽(540 내지 542)을 생성한다. 각각의 시뮬레이션은 스캐너 모델(510)에 의해 사용된 상이한 세트의 노브 세팅들(500 내지 502)에 의해 구별될 수 있다. 스캐너 모델(510)은 스캐너 메트롤로지(512)로부터의 입력을 이용하여 선택적으로 생성될 수 있는 광학 파라미터들(520 내지 522)을 생성하며, 광학 파라미터들(520 내지 522)은 각각의 시뮬레이션된 윤곽들(540 내지 542)을 생성하는데 사용된다. 모델 파라미터들(572)을 생성하고, 캘리브레이션하며, 최적화하기 위해, 시뮬레이션된 윤곽들(540 내지 542) 및 측정된 윤곽들(560 내지 562)이 분석될 수 있다. 일 예시에서, 시뮬레이션된 윤곽 및 측정된 윤곽은 비용 함수(570)를 이용하여 수학적으로 처리될 수 있다.
상징적으로, 감도 모델링의 목표는 노브 변화들(Δkj)에 응답하여 패턴 i에 대한 CD 변화(ΔCDi)를 예측하는 것이다. 전형적인 스캐너 튜닝 적용들에 대해, 선형 모델은 튜닝량이 작기 때문에 꽤 우수하게 작동할 수 있지만, 본 발명은 결코 선형 모델들의 시나리오에 제한되지 않는다. 따라서, 선형 모델이 적용가능한 경우,
Figure pct00001
감도 모델의 목적은 마스크 패턴 i에 주어진 편도함수
Figure pct00002
를 계산하는 것이다. 도함수의 연쇄 법칙에 의해, 다음과 같은 식이 주어진다:
Figure pct00003
이때, pm은 스캐너 모델에서의 물리적 파라미터를 칭한다. 그러므로, 제 1 인자
Figure pct00004
는 리소그래피 이미징 모델에 관계되는 한편, 제 2 인자
Figure pct00005
는 스캐너 모델에 관계되는 것이 명백하다.
더 일반적이고, 비-선형적인 경우, 물리적 현상 및 모델들은 다음과 같이 나타낼 수 있다:
Figure pct00006
레지스트, 광학 및 스캐너의 물리적 현상은 별도의 모델링 구성요소들로서 나타낼 수 있다. 감도 모델의 정확성은 리소 모델(광학 및 레지스트) 및 스캐너 모델(510) 모두의 정확성에 의존한다.
레지스트 모델은 경험적일 수 있으며, 또는 레지스트 공정의 물리적 현상 및 화학적 성질에 기초할 수 있다. 광학 모델은 통상적으로 물리적 모델이고 제 1 원리들에 기초하며, 시뮬레이션 시간을 감소시키기 위해 마스크에 의한 EM 방사선의 3D 산란과 같은 소정 효과들의 근사 처리 가능성을 갖는다. 예를 들어, 광학적 상호작용 범위의 절단(truncation)(유한 범위로도 알려짐), 또는 홉킨스(Hopkins) 접근법에서의 TCC 고유 급수(eigen series)의 절단을 포함한 다른 근사들도 가능하다. 스캐너 모델(510)은 스캐너들의 물리적 고려사항들 및 디자인 지식에 기초할 수 있다. 또한, 스캐너 모델들에 대해 상이한 레벨들의 엄격함(rigor)이 존재할 수 있다. 예를 들어, 레이 트레이싱(ray tracing)에 기초한 모델들은 퓨필들의 매우 정확한 예측들을 생성할 수 있지만, 연산에 있어서 매우 고가인 경향이 있다. 엄격한 모델들 또는 측정들에 대하여 캘리브레이션함으로써, 더 경험적인 근사 모델들이 구성될 수 있다.
감도 모델 정확성의 개념은 상이한 스캐너 세팅들에 대한 이미징 예측들로 수행되어야 하는 모델 분리성의 개념과 밀접하게 관련된다. 예를 들어, 미국 특허 출원 제 11/461,929호 및 제 11/530,402호를 참조한다. OPC-타입 적용들에 대하여, 공정 윈도우(전형적으로, 포커스 및 노광)에 걸친 예측 정확성, 및 노광 세팅들이 변화되는 경우의 모델 캘리브레이션 소요 시간의 감소를 위해서는 분리가능한 모델들이 바람직하다. 리소 모델은, 전형적으로 광학 모델, 레지스트 모델, 및 때로는 에칭 모델을 포함하며, 상이한 모델 단계들 사이에서 분리성이 강조된다.
스캐너 튜닝을 위한 감도 모델의 한가지 변별화 인자(differentiating factor)는 예측 스캐너 모델의 통합이며, 이는 스캐너 디자인의 상세한 지식을 필요로 한다. 스캐너 모델(510)의 예시적인 구성요소는 일루미네이터 예측변수 모델이며, 이는 조명 광학기를 시뮬레이션하고, 레티클 평면에서의 조명을 예측한다. 감도 모델링과 관련하여, 이 모델은 NA, 시그마 및 PUPICOM 세팅들과 같은 노광 세팅들의 변화들 하에서 일루미네이터의 변화들을 예측한다.
또한, 모델 형태의 분리성은, 레지스트 공정이 복수의 스캐너들에 대해 동일하거나 충분히 가까운 경우, 및 캘리브레이션된 레지스트 모델이 하나의 스캐너를 이용하는 리소그래피 공정으로부터 정확하게 캘리브레이션된 감도 모델의 일부인 경우, 복수의 스캐너들 사이에서 정확하게 캘리브레이션된 레지스트 모델이 이식(port)되게 한다. 이 유연성은 실제로 중요할 수 있는데, 이는 레지스트 모델들이 광학 및 스캐너 모델들보다 더 경험적인 경향이 있고, 이에 따라 웨이퍼-기반 캘리브레이션들로부터 더 제약적일 필요가 있기 때문이다. 그러므로, 레지스트 모델을 이식하는 것은 웨이퍼 메트롤로지의 효율적인 사용을 허용한다. 스캐너 모델(510) 및 광학 모델은 제 1 원리들 및 알려진 물리적 현상에 더 기초하며, 웨이퍼 측정들에는 덜 의존한다.
다른 실시예들에서는, 레지스트 부분에서 리소그래피 공정들이 실질적으로 상이하다. 예를 들어, 하나의 공정은 침지 리소그래피를 채택하며, 또 다른 공정은 이를 채택하지 않는다; 두 공정은 전형적으로 전혀 다른 레지스트 재료들 및 필름 스택(film stack)들을 사용한다. 상기 예시에서, 레지스트 모델은 두 공정들 사이에서 이식가능하지 않으며, 감도 모델들은 레지스트 효과들이 실질적으로 상이하기 때문에 별도로 형성되어야 한다.
감도 모델의 캘리브레이션에 대해, 몇몇 실시예들은 존스 퓨필, 스테이지 진동, 레이저 스펙트럼 및 색수차로 인한 포커스 흔들림(focus blurring) 등과 같은 상세한 스캐너 데이터를 포함한다. 소정 실시예들에서, 감도 모델의 캘리브레이션은 공칭 조건(kj)을 더한 변동된 조건들(kj+Δkj), 또는 복수의 스캐너 세팅들에서 웨이퍼 메트롤로지 데이터를 취할 필요가 있다. 1 이상의 노브들은 각각의 변동된 조건에 대해 변화될 수 있다. 감도 모델 캘리브레이션에 대한 비용 함수는 다음과 같다:
Figure pct00007
이때, 제 1 항은 모델과 웨이퍼의 가중 RMS 차이를 통한 절대적 정확성을 정량화하며, 제 2 항은 웨이퍼 측정된 CD 변화들과 모델 예측된 CD 변화들을 비교하는 감도 정확성을 정량화한다. 절대적 정확성과 감도 정확성의 상대 가중치는 조정될 수 있다. 또한, RMS 대신에 범위(max - min) 또는 LP-노름(norm)들과 같은 다른 메트릭(metric)을 사용하는 것이 가능하다. 그 후, 캘리브레이션은 흔히 제약을 받는 최적화 문제로 향할 수 있다.
캘리브레이션된 감도 모델은 칩 디자인에서 생기는 모든 패턴들에 대한 이미징 차이들을 예측하기 위해 풀-칩 레벨에 적용될 수 있다.
감도 모델은 OPC에서, 또는 심지어 OPC 검증에서 사용되는 리소그래피 모델과 동일하거나 상이할 수 있다는 것을 유의한다. 소정 실시예들에서, 감도 모델은 마스크, 스캐너 광학기 및 레지스트에 관련하여 OPC 모델보다 리소그래피 공정의 지식을 더 많이 채택한다. 예를 들어, 소정 실시예들에서 OPC 모델은 얇은 마스크 또는 마스크 회절에 대한 키르히호프(Kirchhoff) 경계 조건, 작은 광학적 상호작용 범위, 및/또는 TCC 고유 급수 전개로부터의 적은 수의 항들을 갖는 공칭적이거나 이상적인 광학기만을 사용한다. 이 모델링 접근법들은 감도 모델링의 정확성 요건들에 대해 불충분할 수 있다. 따라서, 소정 실시예들에서 감도 모델은 스캐너 광학기, 3D 마스크 회절, 더 큰 광학적 상호작용 범위 및/또는 더 많은 수의 TCC 항들에 대한 더 정확한 정보를 채택한다. 감도 모델의 캘리브레이션에 사용되는 테스트 패턴들은 OPC 또는 OPC 검증 모델들에 사용되는 테스트 패턴들과 동일하거나 상이할 수 있다.
소정 실시예들에서, 감도 모델은 새로운 유도 모델을 형성하기 위해 상이한 기초 모델, 예를 들어 OPC 모델과 조합될 수 있다. 이 새로운 유도 모델은 기초 모델로부터의 시뮬레이션된 CD 또는 윤곽 에지 위치에, 변별적 모델로부터의 델타 CD 또는 윤곽 에지 위치를 적용함으로써 형성될 수 있지만, 이는 모델 파라미터들, 시뮬레이션된 에어리얼 이미지, 또는 시뮬레이션된 레지스트 이미지에 델타를 적용함으로써 형성될 수 있다. 모델 파라미터들에 델타를 적용하는 것은, 기초 모델이 변동될 파라미터들을 포함하고 이러한 파라미터들을 정확한 방식으로 사용하는 경우에만 실현가능하다. 소정 실시예들에서, 기초 모델은 상이한 형태, 또는 모델링 소프트웨어의 상이한 벤더, 또는 모델 구성요소들의 상이한 공식화를 갖는 캘리브레이션된 모델이며, 이는 파라미터 델타들을 직접 적용하는데 어려움을 야기할 것이다. 명확하게는, 기초 모델이 톱-햇(top-hat) 조명 형상을 사용했을 수 있으며, 이 경우 톱-햇 조명에 델타 시그마 값들을 적용하는 것은 정확한 결과들을 제공하지 않을 것이다. 또한, 기초 OPC 모델에서의 레지스트 모델은 변별적 정확성에 관하여 불충분하기 쉽다. 이러한 상황들 하에서, 시뮬레이션된 CD 또는 윤곽 레벨에서 감도 모델과 기초 OPC 모델을 조합하는 것이 실현가능하다.
기초 OPC 모델과 감도 모델의 조합으로부터 적어도 2 이상의 장점이 생긴다. 첫째로, OPC 모델은 전형적으로 큰 세트의 패턴들로 캘리브레이션되며, 소정 요건에 대해 절대적 CD 예측 정확성을 보장할 수 있다. 그러므로, OPC 모델과 감도 모델을 조합하는 것은 스캐너 노브 또는 파라미터 변화들의 존재 내에서 절대적 CD의 정확한 예측을 제공할 수 있다. 둘째로, OPC 모델로 OPC 보정들이 수행되며, 이는 OPC 모델로부터의 시뮬레이션된 윤곽들이 OPC-전 타겟 패턴들에 매우 가까울 것으로 예상된다는 것을 의미한다. 그러므로, OPC 모델과 감도 모델을 조합하는 것은 스캐너 노브 또는 파라미터 변동들의 존재 내에서 OPC-전 타겟에 대하여 시뮬레이션-기반 검증을 가능하게 한다.
변별적 모델링
몇몇 실시예들에서, 시스템 레벨 시뮬레이션은 기준 스캐너의 성능에 대해 관련 스캐너 군의 성능을 정의하는 단계를 포함한다. 스캐너 군은 단일 벤더에 의해 제조된 스캐너들을 포함할 수 있으며, 동일한 모델 타입에 속할 수 있다. 스캐너 군은 상이한 벤더들에 의해 제조된 스캐너들을 포함할 수 있으며, 이때 상기 스캐너들은 적어도 어느정도 기능적으로 유사한 요소들을 포함한다. 스캐너 군은 공통 기초 모델에 의해 모델링될 수 있으며, 공통 기초 모델로부터 개별적인 군 구성원들의 변차들을 수용하는 캘리브레이션 정보를 유지하기 위해 추가적인 변별적 모델들이 더해진다.
도 6은 본 발명의 소정 실시형태들에 따른 복수의 스캐너들에 대한 변별적 모델들의 캘리브레이션에 대한 공정을 예시한다. 도시된 예시에서, N 개의 스캐너들(600 내지 602)의 일 세트가 시뮬레이션된다. 스캐너 모델(610)은 스캐너 메트롤로지(612)로부터의 입력을 이용하여 스캐너들(600 내지 602) 각각에 대한 광학 파라미터들(620 내지 622)을 생성한다. 광학 파라미터들(620 내지 622)은 각각의 시뮬레이션된 윤곽들(640 내지 642)을 생성하는데 사용되며, 그 후 이는 모델 파라미터들(672)을 캘리브레이션하고 최적화하기 위해 측정된 윤곽들(660 내지 662)과 처리될 수 있다. 시뮬레이션된 윤곽 및 측정된 윤곽은 비용 함수(670)를 이용하여 수학적으로 처리될 수 있다.
변별적 모델 캘리브레이션을 위해, 튜닝가능하지 않은 스캐너 파라미터 및 튜닝가능한 스캐너 파라미터는 모두, 모델에 의해 생성된 시뮬레이션된 차들이 실제 웨이퍼 차들에 매칭할 때까지 조정될 수 있다. 변별적 모델 캘리브레이션에서의 파라미터들의 조정은 튜닝가능성 대신에 이 파라미터들에 대한 지식의 정도에 좌우된다. 예를 들어, 복수의 스캐너들(600 내지 602)에 대하여 스캐너 메트롤로지(612)를 통해 조명 퓨필의 정확한 측정들이 이용가능한 경우, 이러한 측정들은 추가 조정 없이 바로 모델 캘리브레이션에서 사용될 수 있다. 반면에, 스캐너 메트롤로지(612)를 통해 직접 측정하지 않은 파라미터들은 웨이퍼 데이터를 피팅하기 위해 최적화되어야 한다. 소정 실시예들에서, 모델 캘리브레이션 공정은 웨이퍼 메트롤로지(CD-SEM 측정들 및 윤곽들, 스케터로메트리 등) 및 스캐너 데이터(디자인되거나 측정됨)를 모두 포함하여, 모든 측정들 및 그 각각의 불명확성을 고려하고 균형을 맞추는 최대 우도 문제로서 공식화된다.
몇몇 실시예들에서, 변별적 모델링은 복수의 상이한 리소그래피 공정들에 적용되고, 예를 들어 마스크 차(공간 바이어스 분포, 마스크 메이킹으로 인한 근접성 효과들, 코너 라운딩), 레지스트 재료 차(퀀처 농도, 확산), 트랙 차(베이킹 온도) 및 에칭 차를 포함한 스캐너 이외의 리소그래피 단계들의 차들을 포함한다.
변별적 모델 캘리브레이션에 관련된 한가지 중요한 문제는, 선택된 캘리브레이션 패턴들의 세트에 대한 이미징에 미치는 영향에 있어서, 상이한 공정 파라미터들 간의 가능한 겹침(degeneracy)이다. 이는, 캘리브레이션 패턴들에 대한 이미징 차들이 캘리브레이션의 결과로서 실제 차들로부터 멀리 떨어진 파라미터 차들에 잘못 기인할 수 있는데, 이는 소정 파라미터들이 캘리브레이션 패턴들의 차선으로 선택된 세트의 이미징에 상관되거나 겹치는 영향을 줄 수 있기 때문이라는 것을 의미한다. 예를 들어, 노광 도즈 차가 마스크 바이어스와 겹쳐, 둘 다 피처 CD들을 한 방향으로 (더 크거나 더 작게) 변화하게 할 수 있다. 이 문제는 웨이퍼 측정들에서의 랜덤 잡음의 존재에 의해 악화된다. 이러한 이유로, 몇몇 실시예들은 "직교" 방식으로 파라미터 차들에 민감한 패턴들을 선택한다. 그렇지 않은 경우, 잘못 캘리브레이션된 파라미터 차들이 특히 캘리브레이션 세트에 포함되지 않는 패턴들에 대해 이미징 차들의 잘못된 예측들을 유도할 수 있다.
시뮬레이션들은 다음과 같이 표현되는 물리적 타겟 스캐너
Figure pct00008
및 물리적 기준 스캐너
Figure pct00009
로부터 얻어진 물리적 결과들의 차들을 예측하는데 사용될 수 있다:
Figure pct00010
모델링된 타겟 스캐너
Figure pct00011
및 모델링된 기준 스캐너
Figure pct00012
의 결과들의 차들을 식별하는 변별적 모델이 다음과 같이 표현될 수 있다:
Figure pct00013
그러므로, 변별적 모델의 정확성이 다음과 같이 표현될 수 있다:
Figure pct00014
앞선 양에 기초하여 테스트 패턴들의 일 세트에 대해 계산된 RMS 또는 다른 메트릭(범위, LP-노름 등)은 변별적 모델의 캘리브레이션에 대한 비용 함수로서 사용된다.
소정 실시예들은, 웨이퍼 데이터가 현재 공정 조건 및 튜닝 타겟 공정 조건 모두에 대해 이용가능한 경우에 사용될 캘리브레이션 절차를 채택한다. 예를 들어, 2 개의 물리적 스캐너가 동일한 레지스트 공정 하에서 모델링되어야 하는 경우, 현재 스캐너 및 타겟 스캐너 조건들 모두를 이용하여 웨이퍼 데이터에 대해 합동 캘리브레이션(joint calibration)이 수행될 수 있다. 이는 전형적으로, 레지스트 모델 파라미터들로 하여금 변화하게 하지만 현재 스캐너 조건 및 타겟 스캐너 조건 모두에서 동일하게 강제하지는 않으며, 또한 스캐너 파라미터들로 하여금 두 조건들 하에서 독립적으로 변화하게 하는 합동 모델 캘리브레이션 공정을 수행하는 단계를 수반한다. 합동 캘리브레이션 이후에, 감도 모델 및 변별적 모델이 동시에 얻어진다.
변별적 캘리브레이션의 결과를 이용하기 위해, 기초 모델 및 캘리브레이션된 파라미터 차들로부터 새로운 모델이 형성된다. 이 유도 모델과 기초 모델 간의 시뮬레이션된 CD 차는 웨이퍼 측정들로부터의 실제 차의 예측으로서 취해진다. 도 7은 기초 모델 파라미터들(70)과 유도 모델 파라미터들(72) 간의 관계를 도표로 예시한다: 유도 모델(72)에서의 마스크 파라미터들(720)은 기초 모델(70)의 마스크 파라미터들(700) 및 차들(710)을 이용하여 계산될 수 있고; 유도 모델(72)에서의 광학 파라미터들(722)은 기초 모델(70)의 광학 파라미터들(702) 및 차들(712)을 이용하여 계산될 수 있으며; 유도 모델(72)에서의 레지스트 파라미터들(724)은 기초 모델(70)의 레지스트 파라미터들(704) 및 차들(714)을 이용하여 계산될 수 있다.
소정 실시예들에서, 변별적 모델은 새로운 유도 모델을 형성하기 위해 상이한 기초 모델, 예를 들어 OPC 모델과 조합될 수 있다. 이 새로운 유도 모델은 기초 모델로부터의 시뮬레이션된 CD 또는 윤곽 에지 위치에, 변별적 모델로부터의 델타 CD 또는 윤곽 에지 위치를 적용함으로써 최적으로 형성될 수 있지만, 이는 모델 파라미터들, 시뮬레이션된 에어리얼 이미지, 또는 시뮬레이션된 레지스트 이미지에 델타를 적용함으로써 형성될 수 있다. 모델 파라미터들에 델타를 적용하는 것은, 기초 모델이 변동될 파라미터들을 포함하고 이러한 파라미터들을 정확한 방식으로 사용하는 경우에만 실현가능하다. 소정 실시예들에서, 기초 모델은 상이한 형태, 또는 모델링 소프트웨어의 상이한 벤더, 또는 모델 구성요소들의 상이한 공식화를 갖는 캘리브레이션된 모델이며, 이는 파라미터 델타들을 직접 적용하는데 어려움을 야기할 것이다. 명확하게는, 기초 모델이 톱-햇 조명 형상을 사용했을 수 있으며, 이 경우 톱-햇 조명에 델타 시그마 값들을 적용하는 것은 정확한 결과들을 제공하지 않을 것이다. 또한, 기초 OPC 모델에서의 레지스트 모델은 변별적 정확성에 관하여 불충분하기 쉽다. 이러한 상황들 하에서, 시뮬레이션된 CD 또는 윤곽 레벨에서 변별적 모델과 기초 OPC 모델을 조합하는 것이 실현가능하다.
도 8에 예시된 바와 같이, 마스크 디자인(800)은 리소그래피 시뮬레이션들에 대한 입력으로서 사용된다. 시뮬레이션된 윤곽A(840)는 리소그래피 모델A(820)(기초 모델)로부터 생성된다. 변별적 모델로부터, 시뮬레이션된 윤곽들(841 및 842)이 모델들 821 및 822로부터 생성된다. 윤곽들 841과 842 간의 델타가 윤곽 840에 추가되어, 최종적인 시뮬레이션된 윤곽(880)을 형성한다. 몇몇 실시예들에서, 윤곽의 법선 방향을 따르는 에지 이동들의 의미로 산술 연산들(+ 및 -)이 적용된다.
기초 OPC 모델과 변별적 모델의 조합으로부터 적어도 2 이상의 장점이 생긴다. 첫째로, OPC 모델은 전형적으로 큰 세트의 패턴들로 캘리브레이션되며, 소정 요건에 대해 절대적 CD 예측 정확성을 보장할 수 있다. 그러므로, OPC 모델과 변별적 모델을 조합하는 것은 스캐너 차들을 포함한 리소그래피 공정 차들의 존재 내에서 절대적 CD의 정확한 예측을 제공할 수 있다. 둘째로, OPC 모델로 OPC 보정들이 수행되며, 이는 OPC 모델로부터의 시뮬레이션된 윤곽들이 OPC-전 타겟 패턴들에 매우 가까울 것으로 예상된다는 것을 의미한다. 그러므로, OPC 모델과 변별적 모델을 조합하는 것은 리소그래피 공정 차들의 존재 내에서 OPC-전 타겟에 대하여 시뮬레이션-기반 검증을 가능하게 한다.
튜닝된 모델들을 이용한 스캐너 튜닝 및 시뮬레이션
스캐너 매칭 및 성능 최적화를 위해, 노브 오프셋들을 더한 기초 모델 및 감도 모델에 기초하여 튜닝된 모델들이 생성된다. 이는 감도 모델의 레지스트 모델부를 이용하는 단계, 노브 오프셋들을 포함하도록 스캐너 노브들을 나타내는 파라미터들을 변화시키는 단계, 및 기초 모델과 조합하는 단계를 포함한다.
본 발명의 소정 실시예들에서, 스캐너 튜닝을 위한 풀-칩 웨이퍼 측정의 대안예로서 풀-칩 웨이퍼 시뮬레이션 및 검증이 채택된다. 인쇄된 윤곽이 허용가능한 공차들 내의 타겟에 매칭하게 될 수 있도록, 필요한 노브 오프셋들의 계산을 수행하기 위해 원하는 윤곽 타겟과 실제 윤곽(측정되거나 시뮬레이션됨) 간의 차가 사용될 수 있다. 튜닝 오프셋 생성, 시뮬레이션, 및 검증에 대한 방법들에 관련된 세부내용은 아래에서 설명된다.
본 발명의 실시형태들은 스캐너들로 하여금 알려진 모델 또는 알려진 웨이퍼 윤곽 또는 다른 타겟 패턴에 튜닝되게 할 수 있다. 본 발명의 실시형태들에 따라 제공된 공정들은 리소그래피 공정 드리프트 보정들, 주어진 OPC 공정에 대한 스캐너 최적화, CDU를 최적화하기 위한 특정한 디바이스 마스크에 대한 스캐너 최적화, 및 알려진 마스크 오차에 대한 스캐너 최적화를 허용한다.
바람직하다면, 패턴에 대한 튜닝 효과는 OPC 검증 툴을 이용하여 분석될 수 있는데, 이는 모델이 풀-칩 패턴들에 미치는 모델에 대한 튜닝 관련 변화들의 영향을 정량적으로 분석할 수 있기 때문이다. 본 발명의 소정 실시형태들에 따른 일 예시에서, 적절한 방법은 튜닝 전후의 모델들을 이용하여 풀-칩 웨이퍼-상 윤곽을 시뮬레이션하도록 OPC 검증 툴을 이용하는 단계, 및 2 개의 모델 간의 차들을 분석하도록 2 개의 윤곽 간의 차를 비교하는 단계를 포함할 수 있다.
리소그래피 장치 및 공정 튜닝
본 발명의 또 다른 실시형태들에 따른 튜닝 오프셋 생성, 시뮬레이션, 및 검증에 대한 본 발명의 방법들이 아래에서 설명된다.
일 실시예에서, 튜닝 기준은 측정된 웨이퍼 윤곽들을 포함한다. 대안적인 실시예들에서, 튜닝 기준은 CD들, 시뮬레이션된 웨이퍼 윤곽들 또는 CD들, 디자인 타겟 다각형들, 또는 앞서 언급된 형태의 튜닝 기준들의 여하한 조합을 포함한다. 일 실시예에서, 상이한 형태들의 기준(예를 들어, 웨이퍼 측정들, 웨이퍼 시뮬레이션들 및 디자인 다각형들)이 칩 상의 모든 패턴들의 서브세트에 적용된다. 측정된 웨이퍼 윤곽 및/또는 시뮬레이션된 웨이퍼 윤곽은, 2 이상의 스캐너들의 성능을 매칭하고 제조 공정에서의 가변성을 감소시키기 위한 튜닝 기준들로서 사용될 수 있다. 디자인 타겟 다각형들은, 예를 들어 CD 균일성을 포함한 웨이퍼 상의 패턴 충실도를 개선하기 위한 튜닝 기준들로서 사용될 수 있다. 스캐너 튜닝의 궁극적인 목적은, 본 발명에 따라 튜닝된 리소그래피 장치에 의해 생성된 집적 회로 칩들을 생산하는 경우에 산출량을 개선하거나, 집적 회로 칩들을 작동시키는 전기적 성능을 개선하는 것임을 이해할 것이다.
스캐너 튜닝은 보상될 공정 차들 또는 결손들의 형태에 기초하여, 스캐너 매칭, 공정 매칭을 위한 스캐너 튜닝, 및 성능 최적화를 위한 스캐너 튜닝으로 분류될 수 있다. 가장 간단한 경우, 마스크, 레지스트 또는 에칭 차들이 없을 때 스캐너들 간의 차들을 보상하고 복수의 스캐너들의 이미징 성능들을 매칭하기 위해, 스캐너 매칭이 채택된다. 추가적으로, (잠재적인 스캐너 차들뿐 아니라) 마스크, 레지스트 또는 에칭에서 공정 차들이 존재할 수 있으며, 스캐너들은 공정 매칭을 얻기 위해 모든 차들을 보상하도록 튜닝될 수 있다. 또 다른 예시에서, 스캐너 튜닝은 OPC 보정 및/또는 마스크 메이킹 공정들에서의 결점들로부터 발생하는 파국적이거나 산출량을 제한하는 결함들을 보상할 수 있다. 또한, 튜닝은 디바이스 층의 CD 균일성을 개선하도록 채택될 수 있다.
소정 실시예들에서, 튜닝에 관련된 스캐너들은 동일한 제조자에 의해 제공될 수 있으며, 동일한 제조자의 동일한 타입(예를 들어, 모두 ASML XT:1900i 스캐너들)일 수 있지만, 상이한 타입(예를 들어, ASML XT:1900i 스캐너 하나와 ASML XT:1700i 스캐너 하나)이거나, 스캐너들이 상이한 제조자들에 의해 제조될 수 있다.
모델 생성 및 시뮬레이션
본 발명의 소정 실시예들은 스캐너 튜닝의 결과로서 풀-칩을 포함한 큰 세트의 패턴들에 미치는 이미징 영향을 산정하고 최적화한다. 웨이퍼 메트롤로지에 대한 현재 기술은 이 목표를 달성하는데 있어서 경제적인 방식을 제공하지 않는다. 일 실시예에서, 원하는 파라미터 값들(노브 오프셋들)의 일 세트를 유도하기 위해 감도 모델이 사용되며, 원하는 파라미터 값들(노브 오프셋들)은 시뮬레이션된 웨이퍼 윤곽들을 얻는데 사용될 것이다. 또 다른 실시예에서, 기준 스캐너와 타겟 스캐너 간의 윤곽 및/또는 CD 차들을 예측하기 위해 변별적 모델이 사용된다. 앞서 모델 생성 및 시뮬레이션에 대한 세부내용이 제공된다. 이미징 성능을 시뮬레이션하기에 적절한 모델들은, 예를 들어 미국 특허 제 7,003,758호에 설명된 시스템들 및 방법들을 포함한다.
튜닝 흐름
본 발명의 소정 실시예들은 포토리소그래피 공정들을 튜닝하는 시스템들 및 방법들을 포함한다. 본 발명의 소정 실시형태들에 따르면, 스캐너들의 튜닝가능한 특성 및 튜닝가능하지 않은 특성이 모델링되고 튜닝을 수행하는데 사용된다. 타겟 스캐너가 타겟 스캐너의 감도 모델을 이용하여 기준을 향해 튜닝될 수 있으며, 이때 감도 모델은 튜닝가능한 파라미터들의 일 세트에 대한 타겟 스캐너의 이미징 감도를 정의한다. 이미징 성능에 관하여 기준으로부터 타겟 스캐너의 편차들을 나타내기 위해 타겟 스캐너 변별적 모델이 생성될 수 있다. 변별적 모델은 스캐너들 간의 성능 특성의 튜닝가능하지 않은 차들을 포함할 수 있으며, 이는 몇몇 경우 다른 튜닝가능한 파라미터들의 조정을 통해 수용될 수 있다.
소정 실시예들에서, 튜닝 방법은 1 이상의 반복을 포함하며, 풀-칩 시뮬레이션 데이터를 이용한 각 반복에서의 풀-칩 시뮬레이션 및 검증을 필요로 한다. 일 실시예에서(도 11), 튜닝 타겟 패턴들의 제한된 세트[예를 들어, 1D 스루-피치 패턴(through-pitch pattern)들]에 기초하여 초기에 시험 튜닝 레시피(즉, 파라미터 값들의 시험 세트)가 생성된다. 시험 튜닝 레시피는 선형 또는 비-선형 최적화 절차를 이용하여 생성되며, 이는 노브 오프셋들(파라미터 값들)의 조합에 대해 해결되고 원하는 기준으로부터의 편차를 정량화하는 비용 함수를 최소화한다. 시험 레시피를 이용하여, 도 1 내지 도 8과 관련하여 앞서 설명된 절차에 따라 풀-칩 시뮬레이션 단계(1120)로 입력되는 새로운 리소그래피 모델이 생성될 수 있으며, 이는 튜닝 레시피가 적용될 풀-칩 레이아웃(1100)을 이용한다. 검증 단계(1160)가 동일한 풀-칩 레이아웃(1100) 상의 기준에 대한 모델을 적용하는 시뮬레이션 단계 1140에 의해 별도로 생성된 기준 윤곽(1142)과 시뮬레이션 1120에 의해 생성된 시뮬레이션된 윤곽(1122)을 비교하여, 소정 사용자-정의 규칙들 및 공차들에 따라 핫스폿들을 검출한다. 단계 1162에서 1 이상의 핫스폿이 식별된 후, 핫스폿들은 새로운 라운드(round)의 최적화(1182)를 수행하도록 단계 1180에서 튜닝 타겟 패턴들의 세트에 추가될 수 있으며, 이로부터 업데이트된 튜닝된 리소그래피 모델(1184)이 생성된다. 업데이트된 모델(1184)은 시뮬레이션 단계(1120)로 다시 돌아갈 것이며, 이에 따라 새로운 반복을 시작한다. 수렴 시, 풀-칩 레이아웃 상에 존재하는 모든 패턴들에 대한 이미징 성능을 절충하고 균형을 맞추는 최적 튜닝 레시피(1164)가 얻어진다.
소정 실시예들에서, 튜닝 공정은 1 이상의 반복을 포함하며, 이때 각각의 반복에서의 시뮬레이션 및 검증은 이후 "소형-레이아웃"이라 하는 감소된 세트의 패턴에 대해 수행된다. 도 12를 참조하면, 소형-레이아웃(1206)은 시뮬레이션 및 검증 단계(1202)를 통해 실제 풀-칩 레이아웃(1200)으로부터 선택된 "웜스폿(warmspot)들"의 일 세트를 포함하며, 상기 단계는 소형-레이아웃(1206) 내의 포함을 위해 단계 1204에서 선택될 수 있는 레이아웃 내의 임계 영역들 및 취약 영역들을 식별할 수 있다. 웜스폿들의 선택(1204)은, 전형적으로 광학 변동들에 대한 감도 및 패턴 임계성의 고려사항들에 기초한다. 선택 기준은 공칭 또는 변동된 조건들에서 하한을 초과하는 윤곽 CD[브리징(bridging) 또는 네킹(necking)의 위험을 나타냄], 공칭 또는 변동된 조건들에서 상한을 초과하는 윤곽 CD와 타겟 CD 간의 차, 상한을 초과하는 공칭 조건과 변동된 조건 간의 윤곽 CD의 차(패턴이 광학 변동에 너무 민감하다는 것을 나타냄), 상한을 초과하는 공칭 마스크 패턴과 바이어스된 마스크 패턴 간의 윤곽 CD의 차(마스크 오차에 대한 고감도를 나타냄), 및 하한을 초과하는 에어리얼 이미지 또는 레지스트 이미지 경사(노광 도즈 및 다른 공정 효과들에 대한 고감도를 나타냄)를 포함할 수 있다.
튜닝에 의해 최적화될 비용 함수는 튜닝의 목표 및 기준을 반영한다. 일 실시예에서, 비용 함수는 복수의 패턴들에 대응하는 복수의 항들을 포함하며, 각 항은 1 이상의 패턴들에 대한 기준 윤곽으로부터의 달성된 윤곽의 편차를 정량화한다. 몇몇 실시예들에서, 상기 항들은 전반적인 비용 함수를 계산하도록 사전정의된 가중치들과 합산된다. 소정 실시예들에서, 비용 함수 항들은 달성된 윤곽과 기준 윤곽 간의 오차들에 있어서 오차들의 제곱합 또는 다른 적절히 정의된 노름의 형태를 취한다. 몇몇 실시예들에서, 비용 함수 항들은 상이한 패턴 타입들에 대해 상이한 형태들로 이루어지며, 1D 스루-피치 패턴들과 같은 소정 패턴 타입들에 대해 min-max 범위와 같은 메트릭을 포함한다. 소정 실시예들에서, 비용 함수 항들은 기준 CD 주위에서 양과 음의 오차들에 대해 비대칭적이다. 예를 들어, 패턴이 브리징 또는 네킹의 잠재적인 위험을 보이는 경우, 튜닝된 CD는 더 작은 측보다 더 큰 측의 오차가 생기는 것이 덜 해로울 것이다; 그러므로, 비용 함수는 더 큰 CD들보다 더 작은 CD들에 더 크게 비중을 두어야 한다(penalize). 소정 실시예들에서, 패턴들의 이미징 성능에 대한 사용자의 강조를 나타내는 소정 패턴들에 대한 편차들에 제약들이 적용된다.
스캐너 튜닝에 대한 비용 함수는 다음과 같다:
Figure pct00015
이때, Δkj는 타겟 스캐너에 대한 노브 오프셋들을 표시하고- 첨자 j는 튜닝가능한 노브들을 가리킴 -,
Figure pct00016
는 달성된 윤곽과 기준 윤곽 간의 소정 이미징 메트릭의 편차를 표시한다- 첨자 i는 튜닝 타겟 세트 사이에서 상이한 패턴들을 가리키고, αi는 관련 패턴에 대한 상기 메트릭에 관한 비용 항의 가중치를 나타냄 -. 본 명세서에서, "CD"라는 용어는 임계 치수, 에지 배치, 오버레이 차, 및 포커스와 노광 래티튜드를 포함한 공정 윈도우와 같은 1 이상의 이미징 메트릭을 상징적으로 나타내는데 사용되며, 이미징 메트릭의 선택은 패턴마다 변할 수 있다. 노름은 제곱합 즉 유클리디안(Euclidean), LP-노름, min-max 범위 등 중 1 이상을 포함할 수 있다. 노름은 이미징 메트릭의 양과 음의 차들에 관하여 비대칭적일 수 있다. 기준은 측정된 웨이퍼 윤곽들, 시뮬레이션된 웨이퍼 윤곽들, 또는 디자인 타겟 다각형들로서 선택될 수 있다. 스캐너 튜닝의 목표는 노브 오프셋들의 선택에 의해 이 비용 함수를 최소화하는 것이다.
노브 오프셋들의 계산을 위해 다양한 선형 및 비-선형 최적화 기술들 및 알고리즘들이 사용될 수 있으며, 이는 최소제곱법, 이차 계획법, 가우스-뉴튼(Gauss-Newton), 레벤버그-마쿼르트(Levenberg-Marquardt), 및 BFGS 알고리즘들과 같은 구배-기반 방법(gradient-based method), 및 단체법을 포함한다. 전형적으로, 스캐너 노브들은 기계 제약들을 받으며, 이는 최적화 절차로 통합될 수 있다.
개념들을 예시하기 위해, 아래에서 일 예시로서 선형인 경우가 사용된다. 이 경우, 앞선 비용 함수는 다음과 같이 기록될 수 있다:
Figure pct00017
이때, 노브에 대한 CD의 편도함수는 감도 모델로부터 생성된다. 몇몇 실시예들에서, 노름은 유클리디안이고, 노브 오프셋들은 최소제곱법에 의해 해결될 수 있다. 또한, 기준이 기준 스캐너 또는 기준 공정으로부터의 윤곽인 경우의 스캐너 매칭 또는 공정 매칭에 대해, 앞선 비용 함수는 다음과 같이 기록될 수 있다:
Figure pct00018
이때, ΔCDi DIFFERENTIAL은 변별적 모델에 의해 예측된 바와 같은 튜닝되지 않은 스캐너와 기준 간의 CD 차이다.
모델-기반 스캐너 튜닝은 종래의 방법들보다 유리한 다수의 장점을 제공한다. 본 발명의 소정 실시형태들은 공통 타겟 패턴을 이미징하는데 사용되는 스캐너들을 포함한 상이한 리소그래피 시스템들 간의 OPE 매칭 및 이미징 성능의 최적화를 위해 체계적이고 비용-효과적인 방법을 제공한다.
바람직하다면, 패턴에 대한 튜닝 효과는 Brion's Tachyon "LMC(Lithographic Manufacturability Check)"와 같은 OPC 검증 툴을 이용하여 분석될 수 있는데, 이는 모델이 풀-칩 패턴들에 미치는 모델에 대한 튜닝 관련 변화들의 영향을 정량적으로 분석할 수 있기 때문이다. 본 발명의 소정 실시형태들에 따른 일 예시에서, 적절한 방법은 튜닝 전후의 모델들을 이용하여 풀-칩 웨이퍼-상 윤곽을 시뮬레이션하도록 LMC를 이용하는 단계, 및 2 개의 모델 간의 차들을 분석하도록 2 개의 윤곽 간의 차를 비교하는 단계를 포함할 수 있다.
이제 도 9로 돌아가면, 본 발명의 소정 실시예들의 모델-기반 공정 시뮬레이션 방법들을 돕기 위해 컴퓨터 시스템(900)이 배치될 수 있다. 컴퓨터 시스템(900)은 정보를 전달하는 버스(902) 또는 다른 통신 기구, 및 정보를 처리하는 버스(902)와 커플링된 프로세서(904)를 포함할 수 있다. 또한, 컴퓨터 시스템(900)은 프로세서(904)에 의해 실행될 정보 및 명령어들을 저장하는 "RAM"(random access memory) 또는 여하한의 다른 적절한 동적 저장 디바이스와 같은, 버스(902)에 커플링된 주 메모리(906)를 포함할 수 있다. 또한, 주 메모리(906)는 프로세서(904)에 의해 실행될 명령어들의 실행 시 임시 변수(temporary variable)들 또는 다른 매개 정보(intermediate information)를 저장하는데 사용될 수도 있다. 또한, 컴퓨터 시스템(900)은 프로세서(904)에 대한 정적 정보 및 명령어들을 저장하는 버스(902)에 커플링된 "ROM"(read only memory: 908) 또는 다른 정적 저장 디바이스를 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(910)는 정보 및 명령어들을 저장하기 위해 제공되며 버스(902)에 커플링된다.
컴퓨터 시스템(900)은 버스(902) 또는 다른 연결을 통해, 컴퓨터 시스템(900)의 사용자에게 정보를 보여주도록 구성되고, 이에 적합한 "CRT"(cathode ray tube), 평판 디스플레이 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이 시스템(912)에 커플링될 수 있다. 영숫자(alphanumeric) 또는 다른 키들을 포함하는 입력 디바이스(914)는 정보 및 명령 선택(command selection)들을 프로세서(904)로 전달하기 위해 버스(902)에 커플링된다. 방향 정보 및 명령 선택들을 프로세서(904)로 전달하고, 디스플레이(912) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키와 같은 커서 제어부(cursor control: 916)를 포함하는 또 다른 형태의 사용자 입력 디바이스가 사용될 수 있다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치를 특정화하게 하는 2 개의 축선으로 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널 디스플레이가 사용될 수도 있다. 사용자 입력 및 출력은, 유선 또는 무선에 상관없이 네트워크를 이용하여 원격으로 제공될 수 있다.
본 발명의 일 실시예에 따르면, 주 메모리(906)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스들을 실행하는 프로세서(904)에 응답하여, 컴퓨터 시스템(900)에 의해 스캐너 튜닝 공정의 부분들, 예를 들어 시뮬레이션 작동들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(910)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(906)로 판독될 수 있다. 주 메모리(906) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(904)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(906) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수도 있다. 대안적인 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)는 본 발명을 구현하는 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 발명의 실시예들은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(904)에 명령어를 제공하는데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(910)와 같은 광학 또는 자기 디스크를 포함하며, 네트워크에 의해 연결되어 원격으로, 또는 프로세서(904)에 대해 지역적으로 제공될 수 있다. 비휘발성 저장소는, 예를 들어 Blu-Ray, DVD 또는 CD 저장소, 또는 USB 등을 포함한 표준 인터페이스를 이용하여 컴퓨터로부터 쉽게 연결되거나 분리될 수 있는 메모리 카드들 또는 스틱들의 예시에서와 같이 컴퓨터 시스템(904)으로부터 제거가능할 수 있다.
휘발성 매체는 주 메모리(906)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(902)를 포함하는 와이어들을 포함하여, 동축 케이블, 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 통상적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉서블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, Blu-Ray, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이하 설명되는 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터-판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서(904)로 전달하는데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 지원(bear)될 수 있다. 상기 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(900)에 지역적인 모뎀은 전화선 상의 데이터를 수신할 수 있으며, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(902)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 상기 데이터를 버스(902)에 놓을 수 있다. 버스(902)는 상기 데이터를 주 메모리(906)로 전달하며, 이로부터 프로세서(904)가 명령어들을 회수(retrieve)하고 실행한다. 주 메모리(906)에 의해 수신된 명령어들은 프로세서(904)에 의한 실행 전 또는 후에 저장 디바이스(910)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(900)은 바람직하게 버스(902)에 커플링된 통신 인터페이스(918)를 포함한다. 통신 인터페이스(918)는 로컬 네트워크(922)에 연결되는 네트워크 링크(920)에 커플링하여 쌍방향 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(918)는 ISDN(integrated services digital network) 카드 또는 대응하는 형태의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(918)는 호환성 랜(compatible LAN)에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(918)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(920)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(920)는 로컬 네트워크(922)를 통해 호스트 컴퓨터(host computer: 924)로의, 또는 "ISP"(Internet Service Provider: 926)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 그 결과 ISP(926)는, 이하 통상적으로 "인터넷"(928)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(922) 및 인터넷(928)은 모두 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호를 사용한다. 다양한 네트워크를 통한 신호, 및 컴퓨터 시스템(900)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(918)를 통한 네트워크 링크(920) 상의 신호는 정보를 수송하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(900)은 네트워크(들), 네트워크 링크(920) 및 통신 인터페이스(918)를 통해 프로그램 코드를 포함하는 메시지를 송신하고 데이터를 수신할 수 있다. 인터넷 예시에서, 서버(930)는 인터넷(928), ISP(926), 로컬 네트워크(922) 및 통신 인터페이스(918)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 본 발명에 따르면, 예를 들어 하나의 이러한 다운로드된 어플리케이션은 실시예의 스캐너 시뮬레이션에 대해 제공된다. 수신된 코드는 수신되고, 및/또는 저장 디바이스(910) 또는 추후 실행을 위한 다른 비휘발성 저장소에 저장됨에 따라 프로세서(904)에 의해 실행될 수 있다. 이러한 방식으로, 컴퓨터 시스템(900)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 10은 본 발명의 소정 실시형태들에 따라 제공된 공정들에 의한 튜닝으로부터 이로울 수 있는 리소그래피 투영 장치의 일 예시를 개략적으로 도시한다. 상기 장치는:
- 방사선의 투영 빔(PB)을 공급하는 방사선 시스템(Ex, IL)- 상기 예시에서, 방사선 시스템은 방사선 소스(LA)도 포함함 -;
- 레티클과 같은 마스크(MA)를 유지하는 마스크 홀더가 제공되고, 아이템(PL)에 대하여 마스크를 정확히 위치시키는 제 1 위치설정 수단에 연결된 제 1 대상물 테이블- 또는 마스크 테이블(MT) -;
- 레지스트 코팅된 실리콘 웨이퍼와 같은 기판(W)을 유지하는 기판 홀더가 제공되고, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제 2 위치설정 수단에 연결된 제 2 대상물 테이블 또는 기판 테이블(WT); 및
- 기판(W)의, 예를 들어 1 이상의 다이를 포함하는 타겟부(C) 상으로 마스크(MA)의 조사된 부분을 이미징하는, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭(catadioptric) 광학 시스템과 같은 투영 시스템 또는 "렌즈"(PL)를 포함한다.
상기 예시에 도시된 바와 같이, 상기 장치는 투과 마스크를 갖는 투과형으로 구성된다. 또한, 상기 장치는 예를 들어 반사 마스크를 갖는 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 마스크 사용의 대안예로서 또 다른 종류의 패터닝 수단을 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
상기 소스(LA)는, 예를 들어 수은 램프 또는 엑시머 레이저(excimer laser) 또는 방사선 빔을 생성하는 다른 디바이스일 수 있다. 이 빔은 곧바로 또는, 예를 들어 빔 익스팬더(Ex)와 같은 컨디셔닝 수단을 거친 컨디셔닝 이후에 조명 시스템 또는 일루미네이터("IL")로 공급될 수 있다. 상기 일루미네이터(IL)는 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및/또는 내측-σ라 함)를 설정하는 조정 수단("AM")을 포함할 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 수 있으며, 그 단면에 원하는 균일성 및 세기 분포를 갖는 결과적인 빔(PB)이 마스크(MA)에 입사하게 될 수 있다.
도 10과 관련하여, 소스(LA)는 특히, 예를 들어 상기 소스(LA)가 수은 램프를 포함하는 경우, 리소그래피 투영 장치의 하우징 내에 제공될 수 있다. 또한, 소스(LA)는 리소그래피 투영 장치로부터 멀리 떨어져 제공될 수도 있으며, 그것이 만들어 낸 방사선 빔은 적절한 지향 거울 및/또는 렌즈 등의 도움으로 광 도체에 의해 장치 내부로 들어올 수 있다. 일 예시에서, 예를 들어 KrF, ArF 또는 F2 레이징(lasing)에 기초한 엑시머 레이저를 포함하는 소스(LA)가 투영 장치로부터 약간 떨어져서 위치될 수 있다.
도시된 예시에서, 빔(PB)은 후속하여 마스크 테이블(MT) 상에 유지되어 있는 마스크(MA)를 통과(intercept)할 수 있다. 마스크(MA)를 가로질렀으면, 상기 빔(PB)은 렌즈(PL)를 통과하고, 이는 기판(W)의 타겟부(C) 상에 상기 빔(PB)을 포커스한다. 제 2 위치설정 수단 및/또는 간섭계 측정 수단(IF)의 도움으로, 기판 테이블(WT)은 상기 빔(PB)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 전형적으로 마스크 라이브러리(mask library)로부터의 마스크(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(PB)의 경로에 대해 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 대상물 테이블(MT, WT)들의 이동은 장-행정 모듈(long-stroke module) 또는 개략 위치설정 시스템, 및 단-행정 모듈(short-stroke module) 또는 미세 위치설정 시스템의 도움으로 실현될 수 있으며, 이는 도 10에 명확히 도시되지는 않는다. 하지만, 웨이퍼 스테퍼의 경우, 마스크 테이블(MT)은 단지 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
상기 예시에서 도시된 시스템은 상이한 모드들에서 사용될 수 있다:
- 스텝 모드에서, 마스크 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 마스크 이미지가 한번에 - 즉, 단일 플래시(flash)로 - 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 상기 빔(PB)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트될 수 있다;
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 플래시로 노광되지 않고, 마스크 테이블(MT)이 v의 속도로 주어진 소위 스캔 방향(예를 들어, y 방향)으로 이동가능하여, 투영 빔(PB)이 마스크 이미지에 걸쳐 스캐닝하도록 유도된다는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다; 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동될 수 있으며, 여기서 M은 렌즈(PL)의 배율이다; 통상적으로, M = 1/4 또는 1/5. 이러한 방식으로, 시스템 분해능을 유지하면서 비교적 넓은 타겟부(C)가 노광될 수 있다.
본 발명의 소정 실시형태들에 따라 제공된 시스템들 및 방법들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 상기 시스템들 및 방법들이 점점 더 작은 크기의 파장들을 생성할 수 있는 신흥(emerging) 이미징 기술들로 유리하게 사용될 수 있을 것으로 생각된다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 "EUV"(extreme ultra violet) 리소그래피를 포함한다. 또한, EUV 리소그래피는 싱크로트론(synchrotron)을 이용하거나, 이 범위 내의 광자(photon)를 생성하기 위해 고에너지 전자들로 고체 또는 플라즈마 재료에 충돌함으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다. 대부분의 재료들이 이 범위 내에서 흡수성이기 때문에, 다수-스택의 몰리브덴 및 실리콘을 갖는 반사 거울들에 의해 조명이 생성될 수 있다. 다수-스택 거울은 각 층의 두께가 1/4 파장인 40 층의 몰리브덴 및 실리콘 쌍을 가질 수 있다. X-선 리소그래피를 이용하여 훨씬 더 작은 파장들이 생성될 수 있다. 전형적으로, 싱크로트론은 X-선 파장을 생성하는데 사용된다. 대부분의 재료가 X-선 파장들에서 흡수성이기 때문에, 얇은 조각의 흡수성 재료는 포지티브 레지스트가 사용되는지 또는 네거티브 레지스트가 사용되는지에 따라 각각 피처들이 프린트되는 경우 또는 프린트되지 않는 경우를 정의한다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하는데 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는데 사용되는 것들과 함께 사용될 수도 있다는 것을 이해하여야 한다.
본 발명의 소정 실시형태들의 추가 설명
본 발명의 소정 실시예들은 스캐너들의 시스템 레벨 매칭을 위한 시스템들 및 방법들을 제공한다. 이 실시예들 중 일부는 튜닝가능한 파라미터들의 일 세트에 대한 기준 스캐너의 감도를 식별하는 기준 모델을 유지하는 단계, 타겟 스캐너에 대한 변별적 모델을 생성하는 단계- 상기 변별적 모델은 타겟 스캐너의 감도들을 식별하는 타겟 모델과 기준 모델 간의 맵핑을 제공함 -, 및 변별적 모델 및 기준 모델에 기초하여 타겟 스캐너를 튜닝하는 단계를 포함한다.
이 실시예들 중 일부에서, 시뮬레이션 시 튜닝 및 캘리브레이션 정보의 조합이 사용된다. 이 실시예들 중 일부에서, 튜닝 및 캘리브레이션 정보는 선택된 스캐너와 기준 스캐너 간의 이미징 성능의 차들을 특성화하는 변별적 모델로서 표현되며, 기준 스캐너가 이상적인 스캐너 또는 전형적인 스캐너의 성능을 모델링한다. 이 실시예들 중 일부에서, 이상적인 스캐너가 생성된다. 이 실시예들 중 일부에서, 이상적인 스캐너는 초기에 스캐너의 디자인 요건들에 기초한다. 이 실시예들 중 일부에서, 이상적인 스캐너는 명시된 공칭 값들에서 수행된다.
이 실시예들 중 일부에서, 기준 모델이 1 이상의 스캐너의 실-세계 성능을 반영하도록 변경된다. 이 실시예들 중 일부에서, 공칭 값들로부터 관찰된 편차들이 모델에 추가된다. 이 실시예들 중 일부에서, 스캐너의 작동 환경, 칩 제조에 사용된 재료들의 형태, 및 다른 인자들이 복수의 스캐너들에 대해 특성화된다. 이 실시예들 중 일부에서, 기준 모델은 작동 환경에 기인하는 편차들에 기초하여 조정된다.
본 발명은 특정한 예시적인 실시예들에 대해 설명되었지만, 당업자라면 본 발명의 폭넓은 의도 및 범위로부터 벗어나지 않고 이 실시예들에 대한 다양한 수정예 및 변형예들이 수행될 수 있다는 것을 명백히 알 것이다. 따라서, 본 명세서 및 도면들은 제한적이기보다는 예시적인 의미로 간주되어야 한다.

Claims (15)

  1. 대응하는 모델을 이용하여 리소그래피 장치를 튜닝(tune)하는 방법에 있어서:
    상기 리소그래피 장치 상의 튜닝가능한 파라미터들의 일 세트의 변화들에 좌우되어, 상기 리소그래피 장치를 이용하는 웨이퍼의 주어진 층에 대한 리소그래피 공정의 이미징 동작을 특성화하는 리소그래피 공정 모델을 유지하는 단계;
    상기 리소그래피 공정 모델 및 디자인 레이아웃을 이용하여, 상기 주어진 층 내의 시뮬레이션된 웨이퍼 윤곽을 생성하는 단계;
    기준(reference)에 대하여, 상기 시뮬레이션된 웨이퍼 윤곽 내의 불일치들을 식별하는 단계;
    상기 불일치들을 비용 함수(cost function)로 정량화하는 단계; 및
    상기 비용 함수를 최소화하고, 상기 기준과 상기 시뮬레이션된 웨이퍼 윤곽의 바람직한 정도의 수렴을 얻기 위해, 상기 생성하는 단계 및 식별하는 단계의 반복들을 수행하는 단계- 각각의 반복을 수행하기에 앞서, 상기 리소그래피 장치의 적어도 1 이상의 튜닝가능한 파라미터가 조정됨 -;
    를 포함하는 리소그래피 장치 튜닝 방법.
  2. 제 1 항에 있어서,
    상기 리소그래피 공정 모델은 감도 모델(sensitivity model)인 리소그래피 장치 튜닝 방법.
  3. 제 1 항에 있어서,
    상기 기준은 상기 디자인 레이아웃 내의 패턴들의 일 세트에 대한, 상기 리소그래피 공정과 유사하지 않은 기준 리소그래피 공정으로부터의 측정된 웨이퍼 윤곽인 리소그래피 장치 튜닝 방법.
  4. 제 1 항에 있어서,
    상기 기준은 상기 디자인 레이아웃 내의 패턴들의 일 세트에 대한, 상기 리소그래피 공정 모델과 유사하지 않은 기준 리소그래피 공정 모델로부터의 시뮬레이션된 웨이퍼 윤곽인 리소그래피 장치 튜닝 방법.
  5. 제 1 항에 있어서,
    상기 기준은 상기 디자인 레이아웃 내의 패턴들의 일 세트에 대한 디자인 타겟 다각형(design target polygon)들을 포함하는 리소그래피 장치 튜닝 방법.
  6. 제 1 항에 있어서,
    상기 기준에 대한 상기 시뮬레이션된 웨이퍼 윤곽의 불일치들은 OPC 검증 툴을 통해 얻어지는 리소그래피 장치 튜닝 방법.
  7. 제 3 항 또는 제 4 항에 있어서,
    상기 기준과 튜닝되지 않은 웨이퍼 윤곽 간의 차이는 변별적 공정 모델(differential process model)을 통해 얻어지는 리소그래피 장치 튜닝 방법.
  8. 제 1 항에 있어서,
    상기 비용 함수는 튜닝 타겟 패턴 세트 내의 모든 패턴과 연계된 이미징 메트릭 항(imaging metric term)들을 포함하는 리소그래피 장치 튜닝 방법.
  9. 제 1 항에 있어서,
    상기 웨이퍼 윤곽들의 불일치들은 상기 리소그래피 장치의 광학기, 메카닉(mechanics), 제어 및 디바이스-특정적(device-specific) 레이저 차이들 중 1 이상의 차이들로부터 발생하는 리소그래피 장치 튜닝 방법.
  10. 제 1 항에 있어서,
    상기 웨이퍼 윤곽들의 불일치들은 상기 리소그래피 공정의 마스크, 레지스트, 트랙, 및 에칭 차이들 중 1 이상의 차이들로부터 발생하는 리소그래피 장치 튜닝 방법.
  11. 제 6 항에 있어서,
    상기 불일치들을 식별하는 단계는 핫스폿들을 식별하는 단계를 포함하는 리소그래피 장치 튜닝 방법.
  12. 제 11 항에 있어서,
    상기 핫스폿들은 공정 변동들 하에서 일어나는 산출량-제한 결함들을 포함하는 리소그래피 장치 튜닝 방법.
  13. 제 11 항 또는 제 12 항에 있어서,
    상기 핫스폿들은 상기 리소그래피 장치에 특정한 특성들에 의해 악영향을 받는 상기 디자인 레이아웃 내의 패턴들을 포함하는 리소그래피 장치 튜닝 방법.
  14. 제 1 항에 있어서,
    상기 디자인 레이아웃 내의 소정 패턴들에 대한 임계 치수들을 사전정의된 공차들 내로 가져오는 튜닝 오프셋들을 계산하는 단계를 더 포함하는 리소그래피 장치 튜닝 방법.
  15. 제 14 항에 있어서,
    상기 생성하는 단계, 식별하는 단계, 및 계산하는 단계는 복수의 핫스폿들이 제거될 때까지 반복되는 리소그래피 장치 튜닝 방법.
KR1020107029752A 2008-06-03 2009-05-29 모델-기반 스캐너 튜닝 방법 KR101610734B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US5852008P 2008-06-03 2008-06-03
US5851108P 2008-06-03 2008-06-03
US61/058,520 2008-06-03
US61/058,511 2008-06-03
US14157808P 2008-12-30 2008-12-30
US61/141,578 2008-12-30
US14230509P 2009-01-02 2009-01-02
US61/142,305 2009-01-02

Publications (2)

Publication Number Publication Date
KR20110015652A true KR20110015652A (ko) 2011-02-16
KR101610734B1 KR101610734B1 (ko) 2016-04-08

Family

ID=41198557

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020107029752A KR101610734B1 (ko) 2008-06-03 2009-05-29 모델-기반 스캐너 튜닝 방법
KR1020107029754A KR101749987B1 (ko) 2008-06-03 2009-05-29 모델-기반 공정 시뮬레이션 시스템들 및 방법들
KR1020177014079A KR101928938B1 (ko) 2008-06-03 2009-05-29 모델-기반 공정 시뮬레이션 시스템들 및 방법들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020107029754A KR101749987B1 (ko) 2008-06-03 2009-05-29 모델-기반 공정 시뮬레이션 시스템들 및 방법들
KR1020177014079A KR101928938B1 (ko) 2008-06-03 2009-05-29 모델-기반 공정 시뮬레이션 시스템들 및 방법들

Country Status (6)

Country Link
US (6) US8806387B2 (ko)
JP (5) JP5913979B2 (ko)
KR (3) KR101610734B1 (ko)
CN (2) CN102057330B (ko)
TW (2) TWI460547B (ko)
WO (2) WO2009148974A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210095689A (ko) * 2018-12-31 2021-08-02 에이에스엠엘 네델란즈 비.브이. 패턴화 장치의 광학적 특성의 성분의 서브세트의 결정

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8806387B2 (en) 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
JP2010211046A (ja) * 2009-03-11 2010-09-24 Toshiba Corp パターン検証方法およびパターン検証プログラム
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US8607168B2 (en) * 2010-02-16 2013-12-10 Mentor Graphics Corporation Contour alignment for model calibration
TWI395460B (zh) * 2010-06-02 2013-05-01 Avision Inc 自動存取影像處理程式之掃描設備
KR101659838B1 (ko) * 2010-06-18 2016-09-26 삼성전자주식회사 식각 근접 보정방법 및 그를 이용한 포토마스크 레이아웃의 생성방법
DE102011078927B4 (de) * 2010-07-12 2019-01-31 Carl Zeiss Sms Ltd. Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske
DE102011083774B4 (de) 2010-10-04 2019-06-13 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Laser korrigierenden Tool-Parametern
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
NL2010163A (en) * 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
JP6039932B2 (ja) * 2012-06-22 2016-12-07 キヤノン株式会社 露光装置、露光方法及び物品の製造方法
US9519285B2 (en) * 2013-01-23 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and associated methods for tuning processing tools
NL2013303A (en) 2013-08-13 2015-02-16 Asml Netherlands Bv Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure.
CN104423142B (zh) * 2013-08-22 2020-05-05 中芯国际集成电路制造(上海)有限公司 用于光学邻近校正模型的校准数据收集方法和系统
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
EP2952964A1 (en) * 2014-06-03 2015-12-09 Aselta Nanographics Method for determining the parameters of an ic manufacturing process by a differential procedure
US10345715B2 (en) 2014-09-02 2019-07-09 Nikon Corporation Pattern-edge placement predictor and monitor for lithographic exposure tool
US10018922B2 (en) 2014-09-02 2018-07-10 Nikon Corporation Tuning of optical projection system to optimize image-edge placement
CN112331576A (zh) * 2014-10-03 2021-02-05 科磊股份有限公司 验证计量目标及其设计
TWI701517B (zh) * 2014-12-23 2020-08-11 德商卡爾蔡司Smt有限公司 光學構件
CN104615808B (zh) * 2015-01-19 2018-07-03 北京思朗科技有限责任公司 一种待测试硬件运算部件的测试方法及参考模型装置
CN107430351B (zh) * 2015-03-16 2019-06-11 Asml荷兰有限公司 用于确定抗蚀剂变形的方法
US9928316B2 (en) 2015-03-26 2018-03-27 International Business Machines Corporation Process-metrology reproducibility bands for lithographic photomasks
US11506984B2 (en) 2015-05-29 2022-11-22 Asml Netherlands B.V. Simulation of lithography using multiple-sampling of angular distribution of source radiation
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
EP3118682B1 (en) 2015-07-16 2022-10-19 IMEC vzw Method for designing a lithographic mask
KR102293295B1 (ko) * 2015-08-13 2021-08-25 세메스 주식회사 기판 처리 설비 시뮬레이션 시스템 및 방법
EP3153924B1 (en) * 2015-10-07 2021-11-17 Aselta Nanographics Method for determining the dose corrections to be applied to an ic manufacturing process by a matching procedure
JP6738423B2 (ja) * 2015-12-17 2020-08-12 エーエスエムエル ネザーランズ ビー.ブイ. 測定を向上させるための非対称なサブ分解能フィーチャを用いるリソグラフィプロセスの光学計測
CN112198762B (zh) 2015-12-22 2023-09-19 Asml荷兰有限公司 用于过程窗口表征的设备和方法
DE102016200043A1 (de) * 2016-01-05 2017-07-06 Eos Gmbh Electro Optical Systems Verfahren zum Kalibrieren einer Vorrichtung zum Herstellen eines dreidimensionalen Objekts
KR20180115299A (ko) 2016-02-22 2018-10-22 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
CN105607413B (zh) * 2016-03-18 2019-11-01 京东方科技集团股份有限公司 产生掩膜图案的系统和方法以及曝光系统
US11731365B2 (en) 2016-04-25 2023-08-22 Renishaw Plc Calibration method of plurality of scanners in an additive manufacturing apparatus
TWI631415B (zh) * 2016-07-01 2018-08-01 美商格羅方德半導體公司 同時微影及蝕刻校正流程之方法
DE102016013260B4 (de) 2016-11-09 2020-07-23 Carl Zeiss Smt Gmbh Verfahren zur Erzeugung eines Referenzbildes bei der Charakterisierung einer Maske für die Mikrolithographie
KR102434050B1 (ko) * 2016-12-02 2022-08-19 에이에스엠엘 네델란즈 비.브이. 에치 파라미터를 변화시키는 방법
EP3336608A1 (en) 2016-12-16 2018-06-20 ASML Netherlands B.V. Method and apparatus for image analysis
CN110121681B (zh) * 2016-12-28 2022-04-01 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN110140088B (zh) * 2016-12-28 2021-08-31 Asml荷兰有限公司 量测图像与设计之间的模拟辅助的对准
CN110325921B (zh) * 2017-01-26 2022-02-18 Asml荷兰有限公司 微调过程模型的方法
KR102449586B1 (ko) 2017-02-24 2022-10-04 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
KR102349124B1 (ko) 2017-06-06 2022-01-10 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 장치
EP3688529B1 (en) * 2017-09-27 2023-12-13 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
US10495967B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN111788589A (zh) * 2018-02-23 2020-10-16 Asml荷兰有限公司 训练用于计算光刻术的机器学习模型的方法
WO2019179782A1 (en) * 2018-03-20 2019-09-26 Asml Netherlands B.V. Instant tuning method for accelerating resist and etch model calibration
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
KR102529085B1 (ko) 2018-06-25 2023-05-08 에이에스엠엘 네델란즈 비.브이. 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
EP3588191A1 (en) * 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
CN109586688B (zh) * 2018-12-07 2022-10-18 桂林电子科技大学 基于迭代计算的时变可分非下采样图滤波器组的设计方法
JP7171468B2 (ja) * 2019-02-20 2022-11-15 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、物品の製造方法、物品の製造システム、及び出力方法
US11568101B2 (en) 2019-08-13 2023-01-31 International Business Machines Corporation Predictive multi-stage modelling for complex process control
US11119404B2 (en) * 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks
JP2022552845A (ja) * 2019-10-23 2022-12-20 ラム リサーチ コーポレーション 半導体を製造するためのレシピの決定
WO2022109767A1 (en) * 2020-11-24 2022-06-02 Applied Materials, Inc. Optimization-based image processing for metrology
CN112987488B (zh) * 2021-02-22 2024-03-12 上海华力集成电路制造有限公司 Opc修正方法
CN114357928A (zh) * 2021-12-31 2022-04-15 东方晶源微电子科技(北京)有限公司 一种光刻模型优化方法
CN115408650B (zh) * 2022-08-03 2023-04-28 武汉宇微光学软件有限公司 光刻胶多级串连表征网络的建模、校准、仿真方法和系统

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5225462A (en) 1975-08-22 1977-02-25 Okada Sangyo Kk Aeration and organism purifying device for polluted water
JP3114132B2 (ja) 1992-02-10 2000-12-04 能美防災株式会社 光電式分離型煙感知器
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US6564112B1 (en) * 1999-11-08 2003-05-13 Eventide Inc. Method of customizing electronic systems based on user specifications
JP2002175969A (ja) 2000-12-07 2002-06-21 Hitachi Ltd パターン検証方法及びデータ処理システム
JP2002174890A (ja) 2000-12-07 2002-06-21 Hitachi Ltd 半導体集積回路の製造方法
JP2002353104A (ja) 2001-05-24 2002-12-06 Hitachi Ltd 半導体デバイスの露光方法、その露光システム及びそのプログラム
US6581193B1 (en) * 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
KR100468741B1 (ko) 2002-06-22 2005-01-29 삼성전자주식회사 노광 장치의 어퍼처 설계를 위한 시뮬레이션 방법 및장치, 그리고 시뮬레이션 방법을 기록한 기록매체
JP2004103674A (ja) 2002-09-06 2004-04-02 Renesas Technology Corp 半導体集積回路装置の製造方法
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP4192618B2 (ja) * 2003-02-17 2008-12-10 ソニー株式会社 マスクの補正方法
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
KR100824031B1 (ko) * 2004-01-30 2008-04-21 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
JP2007536564A (ja) * 2004-04-02 2007-12-13 クリア・シェイプ・テクノロジーズ・インコーポレーテッド 集積回路の製造における超解像プロセスのモデル化
US7053979B2 (en) * 2004-05-12 2006-05-30 Litel Instruments Process for amelioration of scanning synchronization error
US7116411B2 (en) 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
US7251807B2 (en) 2005-02-24 2007-07-31 Synopsys, Inc. Method and apparatus for identifying a manufacturing problem area in a layout using a process-sensitivity model
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
JP2006292813A (ja) * 2005-04-06 2006-10-26 Seiko Epson Corp 設計パターン補正方法、パラメータ設定方法、設計パターン補正装置、パラメータ設定装置、及びプログラム
US7743359B2 (en) * 2005-05-02 2010-06-22 Cadence Design Systems, Inc. Apparatus and method for photomask design
JP4701030B2 (ja) * 2005-07-22 2011-06-15 キヤノン株式会社 露光装置、露光パラメータを設定する設定方法、露光方法、デバイス製造方法及びプログラム
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
CN101258498B (zh) 2005-08-08 2011-04-13 Asml荷兰有限公司 用于形成光刻工艺的焦点曝光模型的系统和方法
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7425397B2 (en) 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of determining an illumination profile and device manufacturing method
JP2007142275A (ja) * 2005-11-21 2007-06-07 Toshiba Corp フォトマスクの判定方法、半導体装置の製造方法及びプログラム
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7679069B2 (en) * 2006-03-16 2010-03-16 Kla-Tencor Technologies Corporation Method and system for optimizing alignment performance in a fleet of exposure tools
JP2007324342A (ja) * 2006-05-31 2007-12-13 Nikon Corp 露光方法、露光システムの管理方法、露光システム、及びデバイス製造方法
JP4866683B2 (ja) 2006-08-25 2012-02-01 富士通セミコンダクター株式会社 半導体デバイスの製造方法、データ作成装置、データ作成方法、およびプログラム
JP4999161B2 (ja) 2007-02-19 2012-08-15 新日本無線株式会社 半導体装置の製造方法
JP4989279B2 (ja) * 2007-04-05 2012-08-01 株式会社東芝 パラメータ値調整方法、半導体装置製造方法およびプログラム
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US8037428B2 (en) * 2008-05-29 2011-10-11 Synopsys, Inc. Method and system for post-routing lithography-hotspot correction of a layout
US8806387B2 (en) 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210095689A (ko) * 2018-12-31 2021-08-02 에이에스엠엘 네델란즈 비.브이. 패턴화 장치의 광학적 특성의 성분의 서브세트의 결정

Also Published As

Publication number Publication date
JP2011522439A (ja) 2011-07-28
US20140351773A1 (en) 2014-11-27
US8874423B2 (en) 2014-10-28
CN102057329B (zh) 2013-08-21
CN102057329A (zh) 2011-05-11
JP2011522440A (ja) 2011-07-28
JP5913979B2 (ja) 2016-05-11
JP2018197876A (ja) 2018-12-13
TWI460547B (zh) 2014-11-11
CN102057330A (zh) 2011-05-11
CN102057330B (zh) 2013-07-17
WO2009148972A1 (en) 2009-12-10
US8806387B2 (en) 2014-08-12
US20200189192A1 (en) 2020-06-18
KR101749987B1 (ko) 2017-06-22
TWI460546B (zh) 2014-11-11
US20090300573A1 (en) 2009-12-03
US20140046646A1 (en) 2014-02-13
JP6735794B2 (ja) 2020-08-05
US20150045935A1 (en) 2015-02-12
JP5750417B2 (ja) 2015-07-22
US10569469B2 (en) 2020-02-25
TW201011473A (en) 2010-03-16
US10137643B2 (en) 2018-11-27
KR101928938B1 (ko) 2018-12-13
KR20110021998A (ko) 2011-03-04
WO2009148974A1 (en) 2009-12-10
KR20170060186A (ko) 2017-05-31
US8571845B2 (en) 2013-10-29
JP2016066091A (ja) 2016-04-28
TW201011474A (en) 2010-03-16
JP2013012773A (ja) 2013-01-17
US20100010784A1 (en) 2010-01-14
KR101610734B1 (ko) 2016-04-08
JP5225462B2 (ja) 2013-07-03
JP6468993B2 (ja) 2019-02-13

Similar Documents

Publication Publication Date Title
US20200189192A1 (en) Model-based scanner tuning systems and methods
US9009647B2 (en) Methods and systems for lithography calibration using a mathematical model for a lithographic process
US8542340B2 (en) Illumination optimization
KR101043016B1 (ko) 리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들
EP1560073A2 (en) Method of predicting and minimizing model opc deviation due to mix/match of exposure tools using a calibrated eigen decomposition model
US9588439B1 (en) Information matrix creation and calibration test pattern selection based on computational lithography model parameters
KR20120050390A (ko) 투영 광학기에 의한 광 조작을 포함하는 패턴-의존적 근접성 매칭/조율
NL2006091A (en) Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
TW202418147A (zh) 用於判定與半導體製造相關之光罩設計的深度學習模型

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant