JP2007179056A - 減衰型の位相シフトマスクの製造方法およびこれにより得られるデバイス - Google Patents

減衰型の位相シフトマスクの製造方法およびこれにより得られるデバイス Download PDF

Info

Publication number
JP2007179056A
JP2007179056A JP2006346100A JP2006346100A JP2007179056A JP 2007179056 A JP2007179056 A JP 2007179056A JP 2006346100 A JP2006346100 A JP 2006346100A JP 2006346100 A JP2006346100 A JP 2006346100A JP 2007179056 A JP2007179056 A JP 2007179056A
Authority
JP
Japan
Prior art keywords
phase shift
mask
halftone
performance parameter
shift mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006346100A
Other languages
English (en)
Other versions
JP5026065B2 (ja
Inventor
Masaki Yoshizawa
吉澤 正樹
Leonardus Leunissen
レオナルドゥス・ロイニッセン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Sony Corp
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC, Sony Corp filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2007179056A publication Critical patent/JP2007179056A/ja
Application granted granted Critical
Publication of JP5026065B2 publication Critical patent/JP5026065B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof

Abstract

【課題】改善した減衰型の位相シフトマスク、こうした減衰型の位相シフトマスクの製造方法、およびこうした減衰型の位相シフトマスクの使用方法を提供する。
【解決手段】本発明は、デバイスのハイパーNAリソグラフプロセスに適した減衰型の位相シフトマスクと、こうしたマスクを製作する方法と、そして、こうしたマスクを用いたハイパーNAリソグラフプロセスに関する。減衰型の位相シフトマスクは、リソグラフシステムの開口数の効果を考慮して製作される。
【選択図】図5

Description

本発明は、例えば、電子デバイスのプロセス、特に、半導体プロセスにおける、デバイスのリソグラフプロセスのための方法およびシステムに関し、特に、リソグラフの減衰型(attenuated)の位相シフトマスク、これらを製作する方法、およびリソグラフの減衰型の位相シフトマスクを使用する方法に関する。
リソグラフプロセスは、今日の回路および集積部品の製造において重要な特徴の1つである。リソグラフプロセスの品質は、使用するリソグラフシステムとマスクに大きく依存する。リソグラフマスクの異なるタイプは、クロム・オン・ガラスマスクなどのバイナリー(binary)マスク、交互アパーチャ位相シフトマスク(AAPSM)や減衰型の位相シフトマスク(att.PSM)などの位相シフトマスクが知られている。
バイナリーマスクの場合のように、交互位相シフトマスクは、リソグラフプロセスの際に用いる光の波長に関して透明である基板で構成される。この透明な基板の表面には、光の伝搬を阻止する不透明なエリアが形成される。しかしながら、バイナリーマスクとは異なり、全ての光透過エリアは、光の伝搬に対して同様な強度および位相で影響を与え、交互位相シフトマスク上の透過エリアは、伝搬する光の強度を維持しつつ、マスク上で、これらの透過エリアを通る光伝搬と他の透過エリアを通る光伝搬との間に180°位相差をもたらすように設計される。
マスク、即ち、不透明エリア上の特徴部は、異なる位相の透過エリアによって常に囲まれている。位相差の発生は、破壊的な干渉をもたらし、シャープな暗い像を生じさせる。交互位相シフトマスクの場合のように、減衰型の位相シフトマスクについても、マスク上の別々のエリアを通る光伝搬の間で180°位相差が生成される。しかしながら、減衰型の位相シフトマスクの場合は、この180°位相差は、透過エリアと不透明エリアとの間で生成される。
この点で、バイナリーの交互位相シフトマスクは、ハーフトーン材料、即ち、リソグラフプロセスの際に用いる光の波長に関して部分的に透明である材料または材料のスタックによって置き換えられる。入射光の一部は、ハーフトーン材料によって吸収され、入射光の一部は、ハーフトーン材料を通過するようになる。
図1は、透明基板(110)を含む減衰型の位相シフトマスク(100)による、感光層(210)で覆われた基板(220)を備えたデバイス(200)の直交露光を示す。この透明基板(110)の表面には、透過エリア(130)と吸収エリア(120)が存在する。吸収エリア(120)での光ビーム(320)の強度および位相は、振幅(A)を時間(t)の関数として示す各波状の曲線で示すように、透過エリア(130)での光ビーム(310)の強度および位相に対して変化する。
これらのハーフトーンエリア(120)を通る光の伝搬量は、ウエハ上の対応したエリアにある感光レジストを現像可能とするには不充分である。全強度を持つ光(330)、即ち、透過エリア(130)を伝搬する光と、減衰した強度および位相シフトを持つ光(340)、即ち、ハーフトーンエリアを伝搬する光との間の位相差の発生は、破壊的な干渉をもたらし、改善した分解能を生じさせる。
図1では、ハーフトーン膜を伝搬する光(340)の減衰した負の振幅を、透過エリア(130)を伝搬する光(330)の実質的に影響されない正の振幅と比較して図示している。こうしたハーフトーン薄膜は、二層膜、例えば、クロム(Cr)と酸窒化シリコン(SiON)、タンタル(Ta)と二酸化シリコン(SiO)、または単層のモリブデンシリコン(MoSi)やモリブデンシリサイド(MoSi)などで製作できる。
これらのハーフトーン層は、製造の複雑さおよびハーフトーン特徴部の傾斜プロファイルの形成を低減するために、可能な限り薄くすべきである。現時点では、モリブデンシリコンが、好ましいハーフトーン材料である。必要な減衰と位相シフトを提供する吸収エリア(120)を形成するのに、単層の材料の処理を必要とするだけであるからである。
米国出願第5869212号は、減衰型の位相シフトマスクの製造方法を開示する。
スケール縮小技術により、マスク上の特徴部はより小さな寸法を有する。マスクを伝搬する光はより回折するようになり、リソグラフツールのレンズ系によって集められる光が少なくなり、得られる分解能は減少する。光学リソグラフの分解能を改善するために、高い開口数(NA)を持つリソグラフシステムが開発中である。市場で入手可能な高度な乾式リソグラフシステムは、約0.9のNAを持つことができるが、非常に高品質のレンズ材料の費用がかかる。一方、湿式リソグラフシステムは、1以上のNAを提供するように開発中である。
こうしたリソグラフシステムは、しばしばハイパーNAシステムと呼ばれる。湿式リソグラフは、浸漬リソグラフとしてよく知られており、1より大きな屈折率を有する液体が、レンズ系と、露光すべき基板との間に存在する。この浸漬液体の存在は、光がより大きな角度で露光基板に衝突できるため、システムの分解能をさらに改善するようになる。
ハイパーNAリソグラフにおいて最新の減衰型の位相シフトマスクを用いる不具合は、これらのマスクは、高度な技術に必要となる品質を持つ印刷像を提供しない点である。石英基板上の最新の減衰型のハーフトーン材料について下記(表1)で示すように、開口数が増加すると、レジストでの像コントラストが減少する。
パラメータの像コントラストは、リソグラフシステムにより、フォトレジストで覆われた基板にマスクパターンを投影することで得られる、像の最大強度と最小強度の合計に対する最大強度と最小強度の差の比率として定義される像の測定基準である。
Figure 2007179056
米国出願第5869212号 国際出願第WO2005/090931号
従って、例えば、高い開口数またはハイパー開口数のリソグラフシステムおよび特徴部のサイズおよびピッチの関連した縮小への進展の観点から、有用な減衰型の位相シフトマスク(att.PSM)を有する必要があり、このことは、関連したリソグラフプロセスのプロセス・ウインドウに強く要求されている。
本発明の目的は、良好な減衰型の位相シフトマスク、例えば、改善した減衰型の位相シフトマスク、こうした減衰型の位相シフトマスクの製造方法、およびこうした減衰型の位相シフトマスクの使用方法を提供することである。
本発明の実施形態の利点は、ハイパーNAリソグラフシステムにおいて、デバイスのリソグラフプロセスに関し、増加したプロセス・ウインドウを供与する位相シフトマスクを提供することである。
本発明の実施形態の更なる利点は、ハイパーNAリソグラフシステムにおいて、低減したマスクエラー増大因子(MEEF: mask error enhancement factor)供与する位相シフトマスクを提供することである。
上記目的は、本発明の実施形態に係る方法およびデバイスによって達成される。
本発明は、リソグラフプロセスでの使用のための組込み(embedded)減衰型の位相シフトマスクまたはマスクブランクを設計するための方法に関し、
マスクまたはマスクブランクは、ハーフトーンスタック(stack)を備え、
マスクまたはマスクブランクは、軸外(off-axis)照射及び/又はハイパー開口数(NA)システムとの使用に適しており、
該方法は、位相シフトマスクまたはマスクブランクに関するマスク設定値を選択することと、
リソグラフプロセスに関するプロセスパラメータ値を選択することと、
プロセスパラメータは、少なくとも、軸外照射及び/又はハイパー開口数(NA)システムとの使用を含むものであり、
像性能パラメータを選択することと、
選択したマスク設定値および選択したプロセスパラメータ値を用いて、空間像(aerial image)シミュレーションを実施し、空間像シミュレーションから、像性能パラメータに関して対応する値を導出することと、
導出した像性能パラメータ値を、予め定めた像性能パラメータ基準値に関して評価することと、
もし前記導出した像性能パラメータが像性能パラメータ基準値を満足しない場合、導出した像性能パラメータに基づいてマスク設定値を調整することと、前記シミュレーションおよび前記評価することを繰り返すこととを含む。
ハイパー開口数とは、1以上の開口数を意味する。
像性能パラメータは、レジストでの像コントラスト、焦点深度、露光ラチチュード、マスクの偏光(polarization)効果、マスクエラー増大因子(MEEF)または、照射器偏光に対するライン幅感度でもよい。
位相シフトマスクまたはマスクブランクに関する設定値を選択することは、ハーフトーンスタックでの層数を選択することを含んでもよい。
位相シフトマスクまたはマスクブランクに関する設定値を選択することは、ハーフトーンスタックの材料のタイプおよび数を選択することを含んでもよい。
ハーフトーンスタックの材料のタイプおよび数を選択することは、吸収体材料および位相シフト材料を選択することを含んでもよい。
吸収体材料は、金属、好ましくは、クロムまたはタンタルでもよい。位相シフト材料は、誘電体、好ましくは、酸化シリコンまたは酸窒化シリコンでもよい。
位相シフトマスクまたはマスクブランクに関するマスク設定値を選択することは、少なくとも12%の最大露光ラチチュードを提供するために、吸収体材料及び/又は位相シフト材料の厚さを選択することを含んでもよい。
マスク設定値を調整することは、吸収体材料及び/又は位相シフト材料の選択した厚さを調整することを含んでもよい。
本発明はまた、リソグラフプロセスでの使用のための組込み減衰型の位相シフトマスクまたはマスクブランクを設計するための方法に関し、
マスクまたはマスクブランクは、ハーフトーンスタック(stack)を備え、
該方法は、位相シフトマスクまたはマスクブランクに関するマスク設定値を選択することと、
該マスク設定値は、ハーフトーンスタックでの吸収体材料および位相シフト材料の層数を含み、合計層数は3と等しいか又はそれ以上であり、
リソグラフプロセスに関するプロセスパラメータ値を選択することと、
偏光度を像性能パラメータとして選択することと、
選択したマスク設定値および選択したプロセスパラメータ値を用いて、空間像シミュレーションを実施し、空間像シミュレーションから、像性能パラメータに関して対応する値を導出することと、
導出した像性能パラメータ値を、予め定めた像性能パラメータ基準値に関して評価することと、
もし前記導出した像性能パラメータが像性能パラメータ基準値を満足しない場合、導出した像性能パラメータに基づいてマスク設定値を調整することと、前記シミュレーションおよび前記評価することを繰り返すこととを含む。
本発明はまた、リソグラフプロセスでの使用のための組込み減衰型の位相シフトマスクまたはマスクブランクを設計するための自動化した方法に関し、
マスクまたはマスクブランクは、ハーフトーンスタック(stack)を備え、
マスクまたはマスクブランクは、軸外(off-axis)照射及び/又はハイパー開口数(NA)システムとの使用に適しており、
該方法は、位相シフトマスクまたはマスクブランクに関して選択したマスク設定値を受け取ることと、
リソグラフプロセスに関して選択したプロセスパラメータ値を受け取ることと、
プロセスパラメータは、少なくとも、軸外照射及び/又はハイパー開口数(NA)システムとの使用を含むものであり、
選択した像性能パラメータを受け取ることと、
選択したマスク設定値および選択したプロセスパラメータ値を用いて、空間像シミュレーションを実施し、空間像シミュレーションから、像性能パラメータに関して対応する値を導出することと、
導出した像性能パラメータ値を、予め定めた像性能パラメータ基準値に関して自動的に評価することと、
もし前記導出した像性能パラメータが像性能パラメータ基準値を満足しない場合、導出した像性能パラメータに基づいてマスク設定値を自動的に調整することと、前記シミュレーションおよび前記評価することを繰り返すこととを含む。
本発明はまた、透明基板とハーフトーンスタック(stack)を備えた、組込み減衰型の位相シフトマスクに関し、
ハーフトーンスタックは、吸収体材料と位相シフト材料とを備え、あるいはこれらで構成され、
吸収体材料の厚さおよび位相シフト材料の厚さは、軸外照射を考慮して決定されている。
吸収体材料の厚さおよび位相シフト材料の厚さは、1と等しい又はそれより大きい開口数を更に考慮して決定してもよい。
ハーフトーンスタックは、吸収体材料層と位相シフト材料層からなる二層膜(bilayer)として構成してもよい。
ハーフトーンスタックはまた、吸収体材料と位相シフト材料の交互シーケンスからなる多層スタックとして構成してもよい。
吸収体材料は、金属でもよく、好ましくは、クロムまたはタンタルである。
位相シフト材料は、誘電体であり、好ましくは、酸化シリコンまたは酸窒化シリコンである。
吸収体材料は、25nm〜45nmの範囲、好ましくは25nm〜35nmの範囲、より好ましくは約30nmの厚さを有するタンタルでもよく、位相シフト材料は、135nm〜140nmの範囲、好ましくは約140nmの厚さを有する酸化シリコンでもよい。
吸収体材料は、45nm〜70nmの範囲、好ましくは45nm〜60nmの範囲、より好ましくは約50nmの厚さを有するクロムでもよく、位相シフト材料は、90nm〜110nmの範囲、好ましくは約100nmの厚さを有する酸窒化シリコンでもよい。
本発明はまた、上述のような組込み減衰型の位相シフトマスクを製造するためのマスクブランクに関する。
組込み減衰型の位相シフトマスクは、透明基板とハーフトーンスタック(stack)を備え、
ハーフトーンスタックは、吸収体材料と位相シフト材料とを備え、あるいはこれらで構成され、
吸収体材料の厚さおよび位相シフト材料の厚さは、軸外照射を考慮して決定されている。
本発明は、さらに、デバイスのリソグラフプロセス方法に関し、
該方法は、上述のような軸外照射および組込み減衰型の位相シフトマスクを使用することを含む。
リソグラフプロセスは、1以上の開口数を持つプロセスを含む。
本発明はまた、プロセスシステムに関し、
該システムは、位相シフトマスクまたはマスクブランクに関して選択したマスク設定値を受け取るため、リソグラフプロセスに関して選択したプロセスパラメータ値を受け取るため、プロセスパラメータ値は、軸外照射を少なくとも含むものであり、および、選択した像性能パラメータを受け取るための入力手段と、
入力された、選択したマスク設定値および選択したプロセスパラメータ値を用いて、空間像シミュレーションを実施し、空間像シミュレーションから、選択した像性能パラメータに関して対応する値を導出するための処理手段と、
導出した像性能パラメータ値を、予め定めた像性能パラメータ基準値に従って評価するための評価手段と、
もし前記導出した像性能パラメータが像性能パラメータ基準値を満足しない場合、導出した像性能パラメータに基づいてマスク設定値を調整するための調整手段とを備える。
選択したプロセスパラメータ値を受け取るための入力手段は、1と等しいか又はそれ以上の開口数を少なくとも含む選択したプロセスパラメータ値を受け取るように適合していてもよく、そして、空間像シミュレーションを実施するための処理手段は、1と等しいか又はそれ以上の開口数を少なくとも含む選択したプロセスパラメータ値を用いて、空間像シミュレーションを実施するように適合していてもよい。
本発明はまた、上述したいずれかの設計方法に従って、組込み減衰型の位相シフトマスク及び/又はマスクブランクを設計する方法を実行するためのコンピュータプログラム製品に関する。
さらに本発明は、こうしたコンピュータプログラム製品を保存するマシン読み取り可能なデータストレージ装置に関し、あるいは、こうしたコンピュータプログラム製品をローカルエリアまたはワイドエリアの遠距離通信ネットワークで伝送することに関する。
本発明の特定かつ好ましい態様は、付随する独立および従属請求項に記述されている。従属請求項からの特徴は、独立請求項の特徴と組み合わせてもよく、他の従属請求項の特徴と、請求項で明示的に記述されるものだけでなく、適切に組み合わせてもよい。
本発明の上記または他の特性、特徴および利点は、本発明の原理を例示する添付図面と関連しつつ、下記の詳細な説明から明らかとなるであろう。この説明は、発明の範囲を限定することなく、単に例として用いられる。下記で引用した参照図面は、添付図面を参照する。
本発明は、特定の実施形態に関して一定の図面を参照して説明するが、本発明はこれに限定されず、請求項によってのみ限定される。記載した図面は、概略的かつ非限定的なものである。図面において、幾つかの要素のサイズは、説明目的のために誇張したり、縮尺どおり描写していないことがある。寸法および相対寸法は、本発明の実際の具体化に対応していない。
さらに、説明における用語、第1、第2、第3などは、類似のエレメントを区別するために用いられ、必ずしも順番や時間順を記述していない。そのように用いた用語は、適切な状況下で交換可能であり、ここで説明した本発明の実施形態は、ここで説明または図示した他の順番で動作可能であると理解すべきである。
請求項で用いた用語「備える、含む(comprising)」は、列挙した手段に限定されるものと解釈すべきでなく、他の要素やステップを排除するものでない。参照したように、記述した特徴、整数、ステップまたは構成要素の存在を特定するものと解釈され、1つ又はそれ以上の他の特徴、整数、ステップまたは構成要素、あるいはこれらのグループの存在や追加を排除するものでない。「AとBとを備えるデバイス」という表現の範囲は、構成要素A,Bだけからなるデバイスに限定されるべきでない。本発明に関して、デバイスの関連した構成要素がAとBであることを意味する。
本発明の幾つかの実施形態の詳細な説明によって、本発明を説明する。本発明の他の実施形態は、本発明の真の精神または技術的教示から逸脱することなく、当業者の知識に従って構成可能であることは明らかであり、本発明は、添付した請求項の用語によってのみ限定される。
本発明の実施形態を説明するために、この説明で用いたデータは、KLA−Tencor社の空間像シミュレーションツール、Prolithバージョン9.0.1を使用して得られた。本発明の範囲から離れることなしに他のソフトウエアも使用してもよいことに留意する。
(表2)は、使用したリソグラフプロセスパラメータ値を列挙している。レジスト厚さは、約2.2の同じアスペクト比を実質的に維持する縮小デバイス寸法とともに減少させた。
(表3)は、使用したハーフトーン材料の屈折率の実部(屈折率n)と虚部(消衰係数k)の値を列挙している。軸外照射光源として、方位角で偏光したc−四重極(quadruple)(中心c=0.89、半径r=0.08μm)照射を使用した。石英基板を使用した。
Figure 2007179056
Figure 2007179056
先行技術では、ハーフトーン材料の厚さは、直交した入射光を用いて決定され、透過エリアとハーフトーンまたは部分吸収エリアとの間で所望の180°位相差が得られるように、6%の全体透過係数を仮定している。
例えば、方位角で偏光した四重極照射などの軸外照射技術を用いた、ハイパーNAリソグラフプロセスでの使用のための減衰型の位相シフトマスクを製造するための、この先行技術の手法を用いた場合、(表4)で与えられるようなプロセス・ウインドウ(露光ラチチュード:EL、焦点深度:DOF)が得られる。
このデータから、先行技術の減衰型の位相シフトマスク(att.PSM)を用いた場合、プロセス・ウインドウが非常に減少することが明らかである。よって、先行技術の手法は、ハイパーNAリソグラフシステムでの使用に適した減衰型の位相シフトマスクを製造するため、ハーフトーン材料の厚さを決定できない。
Figure 2007179056
本発明の第1実施形態において、好ましくは、ハイパーNAリソグラフプロセスでの使用のための組込み減衰型の位相シフトマスクを作成するために、組込み減衰型の位相シフトマスクまたはマスクブランクを設計する方法が開示されている。マスクブランクは、クロムなどの吸収体や時にはレジストでコートされた、例えば、ガラス、石英、合成石英などのブランクマスク基板として定義され、マスクを製作するのに使用される。マスクは、基板上の感光層に印刷すべき特徴部について、透過率及び/又は位相の変動としてコード化された情報を含む、例えば、ガラス、石英などの透明基板として定義される。
この方法は、こうした組込み減衰型の位相シフトマスクを用いたリソグラフプロセスの改善した性能を得るために、吸収体(130)材料の総厚t、位相シフト材料(140)の総厚t、吸収体および位相シフトの数を決定することができる。これらのリソグラフプロセスは、好ましくは、必要に応じて軸外照射を用いるハイパーNAリソグラフプロセスである。
本発明の実施形態に係る設計方法(400)の例は、図2に示した下記ステップを含んでもよい。
第1ステップ(410)では、組込み減衰型の位相シフトマスクの初期のマスク設定値が用意される。これらの初期マスク設定値は、ハーフトーンスタック(120)の材料のタイプと数の選択を含み、例えば、減衰と位相シフトを提供する単一材料、例えば、MoSiなど、を選択したり、あるいは減衰と位相シフトをそれぞれ提供する2つの材料、例えば、一方はCr,Taなどの金属、他方はSiON,SiOなどの誘電体など、を選択する。
これらの初期マスク設定値を選択することは、これらの材料の合計厚さ、特徴部、ピッチ、孔、ライン/スペースなどの特徴部のサイズ、の初期値を選択することをさらに含む。
第2ステップ(420)では、リソグラフプロセスパラメータ値が選択される。これらのリソグラフプロセスパラメータ値は、ハイパーNAの値、軸外照射光源(ダイポール、四重極、環状、…)を選択することを含む。必要に応じて、マスクパターンが転写される感光材料(210)のタイプが選択可能である。
第3ステップ(430)では、測定基準、即ち、最適化すべきマスクに関する像性能パラメータが選択される。この像性能パラメータは、レジストでの像コントラスト、焦点深度、露光ラチチュード、マスクの偏光(polarization)効果、マスクエラー増大因子(MEEF)または、照射器偏光に対するライン幅感度とすることができる。
第1ステップから第3ステップを実施するシーケンスは、本発明では限定されない。
第4ステップ(440)では、空間像シミュレーションを、例えば、感光層(210)のレベルで実施する。このシミュレーションから、想定される測定基準が得られる。こうした空間像シミュレーションは、例えば、KLA−Tencor社の空間像シミュレーションツール、Prolithバージョン9.0.1を用いて行うことができる。
第5ステップ(450)では、性能が、像性能パラメータについて得られた空間像に基づいて評価される。こうした評価は、得られた像性能が予め定めた像性能レベルに達したか否かを決定することを含んでもよい。これは、例えば、プロセス・ウインドウが著しく大きいか否か、あるいはレジストでのコントラスト、焦点深度、露光ラチチュード、マスクの偏光効果、マスクエラー増大因子(MEEF)または、照射器偏光に対するライン幅感度が、予め定めた値より小さいか大きいかを決定することを含んでもよい。
この判定基準に基づいて、像性能が許容されるか否かを決定する。この評価ステップは、自動化した方法で行ってもよく、例えば、アルゴリズム、ニューラルネットワークなどに基づいて行ってもよい。
性能がこの判定基準を満足する場合、出力ステップ(470)に示すように、適切な測定基準、好ましくは、最適な測定基準を提供するマスク設定値を出力することができる。もし性能がこの判定基準を満足しない場合、ステップ(460)に示すように、ハーフトーンスタックを決定するマスク設定値を調整してもよく、シミュレーションステップおよび評価ステップは、これらの調整した値に関して繰り返してもよい。
代替として、シミュレーションステップは、異なるマスク設定値、特に、ハーフトーンスタックのものに関して繰り返してもよく、相応の測定基準が得られる。そして、これらの測定基準は、マスク設定値の関数として出力することができ、調査した測定基準に関して最適な測定基準を提供するマスク設定値を決定できる。
対応した組込み減衰型の位相シフトマスク及び/又はマスク自体のためのマスクブランクは、これらの出力されたマスク設定値に従って製造することができる。
組込み減衰型の位相シフトマスクを設計するための自動化した方法の例では、初期のマスク設定値を選択するため、または初期のマスク設定値を用意するための選択ステップの代わりに、選択したマスク設定値を受け取るための受け取りステップを実施する。リソグラフプロセスパラメータ値を選択する代わりに、選択したリソグラフプロセスパラメータ値を受け取るための受け取りステップを実施する。そして、像性能パラメータを選択する代わりに、像性能パラメータを受け取るための受け取りステップを実施する。パラメータは、上記選択ステップで説明したような同じ特徴部および限定事項を含む。
そして、空間像シミュレーション、評価ステップおよび調整ステップは、典型的には自動化したステップである。ハーフトーンスタック(120)が、2つの異なる材料で構成される場合、必要に応じて、いずれの材料について得られた厚さt,tを多層膜に配置することを決定できる。これは、初期マスク設定値選択ステップの際、あるいは、単一の金属層および単一の誘電体に基づいて、厚さの最適化の後に行うことができる。
二層膜ハーフトーンスタックの代わりに、金属層(130)および誘電体層(140)の交互シーケンスを含む多層化したハーフトーンスタックが得られ、合計厚さは、二層膜スタックでのいずれの材料について得られた厚さt,tと実質的に等しい。得られる合計厚さの最適化の後、材料を多層膜に配置することを決定した場合、ステップ(440,450)は、更なる最適化のために、異なるマスク設定値に関して繰り返すことができる。
上記決定ステップは、自動化した方法、例えば、所定のアルゴリズムに従って実施してもよい。
組込み減衰型の位相シフトマスクのハーフトーンスタックの組成および厚さを、所望のリソグラフ性能の観点から決定する場合、高度なリソグラフプロセスのハイパーNAおよび軸外照射を考慮できることは好都合である。
減衰型の位相シフトマスク(att.PSM)のハーフトーンスタックの組成を決定するための第1実施形態の方法は、0.85に等しいか又はそれより大きいNAを用いたリソグラフプロセスに適用可能である。好ましくは、該方法は、1に等しいか又はそれより大きいNAを用いたリソグラフプロセスにおいて、減衰型の位相シフトマスク(att.PSM)の設計に使用される。
上記方法を用いて、図3a〜図3cに示したような応答カーブが得られる。これらの図面は、0.85〜1.3の範囲にあるNA値について、先行技術で利用可能な異なるハーフトーン材料、(a)モリブデンシリコン(MoSi)、(b)クロム/酸窒化シリコン(Cr/SiON)、(c)タンタル/二酸化シリコン(Ta/SiO)に関して、像コントラスト対吸収層の厚さを表す応答カーブを示す。開口数1.3,1.2,1.05,0.93,0.85は、カーブ502,504,506,508,510でそれぞれ示している。
これらの図面から、所定のリソグラフプロセスパラメータ値とNA=1.2に関して、二層吸収体スタックでの金属層の最適化した厚さは、99nmのSiONの場合、Crは約50nmであり、139nmのSiOの場合、Taは約30nmであると結論付けられる。MoSiに関して、厚さは71nmまで少し増加する。
図4a〜図4cは、45nmのライン/スペースを形成するハイパーNAリソグラフプロセスにおいて、先行技術の減衰型の位相シフトマスクを用いた場合(カーブa)と、本発明の実施形態に係る減衰型の位相シフトマスクを用いた場合(カーブb)とで得られるプロセス・ウインドウ間の比較を示す。
図4aは、EL〜13%、DOF〜0.68μmのプロセス・ウインドウを有し、厚さが68nmである先行技術のモリブデン・酸窒化シリコン層(カーブa)と、EL〜13%、DOF〜0.68μmのプロセス・ウインドウを有し、厚さが77nmである本発明の実施形態に係るモリブデン・酸窒化シリコン層(カーブb)に関するプロセス・ウインドウを示す。
図4bは、露光ラチチュード(EL)〜10%、焦点深度(DOF)〜0.62μmのプロセス・ウインドウを有し、25nmのCr/99.2nmのSiONの先行技術のスタック(カーブa)と、EL〜13.2%、DOF〜0.68μmのプロセス・ウインドウを有し、50nmのCr/99.2nmのSiONの厚さを有する本発明の実施形態に係るCr/SiONスタック(カーブb)に関するプロセス・ウインドウを示す。
図4cは、EL〜14%、DOF〜0.72μmのプロセス・ウインドウを有し、21nmのTa/50nmのSiOの先行技術のスタック(カーブa)と、EL〜15.2%、DOF〜0.74μmのプロセス・ウインドウを有し、30nmのTa/50nmのSiOの厚さを有する本発明の実施形態に係るTa/SiOスタック(カーブb)に関するプロセス・ウインドウを示す。
これらのカーブから、ハイパーNAリソグラフプロセスを実施する際、本発明に係る減衰型の位相シフトマスクの優位性が結論付けられる。
吸収エリアを、二層膜のハーフトーン材料、例えば、クロムと酸窒化物、またはタンタルと酸化物の二層膜で形成した場合、本発明に係るハーフトーン材料スタックの厚さを決定することによって、プロセス・ウインドウを改善することができる。
吸収エリアを、単層のハーフトーン材料、例えば、MoSiで形成した場合、ハイパーNAリソグラフプロセスにおいて、プロセス・ウインドウに実質的な差が無い。しかしながら、ハイパーNAプロセスでの、両方のタイプの単層、即ち、先行技術と本発明に従って最適化したものに関するプロセス・ウインドウは、より低いNA値、例えば、1より小さいNAを用いたリソグラフプロセスに関するプロセス・ウインドウと比べものにならない。
図5は、45nmのライン/スペースを形成するハイパーNAリソグラフプロセスにおいて、先行技術の減衰型の位相シフトマスク(カーブc:68nmのMoSi、カーブa:21nmのTa/144nmのSiO)を用いた場合と、本発明の実施形態に係る減衰型の位相シフトマスク(カーブb:30nmのTa/144nmのSiO)を用いた場合で、マスク上の特徴物での寸法(mask CD,nm)と露光ラチチュード(EL %)との間の関係を示す。
本発明に係る減衰型の位相シフトマスクは、寸法の変動に対して、ハイパーNAリソグラフプロセスの減少した感度を提供する。45nmの目標寸法で、改善したマスクに関して、露光ラチチュードで表されるようなプロセス・ウインドウが最大になるだけでなく、偏差する寸法について最大となり、よって目標寸法より大きく又は小さくなる。
下記(表5)は、図5で表した3つのマスクについてのマスクエラー増大因子(MEEF)を列記する。MEEFとは、対応するマスク特徴物のサイズでの単位変化当りの最終レジスト特徴物のサイズでの増加する変化を意味するもので、この場合、マスク寸法は、像形成ツールの縮小率だけ、ウエハサイズに応じて縮尺されている。それは、略称したMEEFまたはMEFである。MEEF値が1とは、ウエハに対してマスク特徴物の線形像形成を意味する。
最適化した吸収体層スタック(カーブb)は、最小のMEEFを有する。最適化したTa/SiOのMEEFが小さくなるほど、目標寸法から偏差する寸法に関してプロセス・ウインドウがより大きくなる。
先行技術の単層MoSiの減衰型の位相マスクについて、マスク上の寸法は、寸法変動を補償するように偏って(bias)いた。本発明は、改善した減衰型の位相シフトマスクのより小さなMEEFに起因して、こうした偏りの必要性を緩和している。
Figure 2007179056
第2実施形態では、吸収体材料と位相シフト材料のシーケンスを備えたハーフトーンスタックを開示する。
第1実施形態では、図6aで示すように、ハーフトーンを伝搬する光の減衰のかなりの部分を占める金属層と、ハーフトーンを伝搬する光の位相シフトのかなりの部分を占める誘電体層とからなるハーフトーンを開示した。
誘電体材料の厚さtと金属材料の厚さtは、これらの前の実施形態で開示した方法に従って、最適化した。吸収体材料および位相シフト材料を単層内に設ける代わりに、吸収体材料および位相シフト材料を多層膜に配置することができる。
図6aは、こうした多層膜ハーフトーンスタックの例を示す。2つの金属層(130)の厚さ合計tm1+tm2は、第1実施形態に従って最適化した単一金属層の厚さtに対応しており、両方のハーフトーンスタックに関し、伝搬する光について実質的に同じ減衰が得られる。同様に、2つの誘電体層(140)の厚さ合計td1+td2は、前の実施形態に従って最適化した単一誘電体層の厚さtに対応しており、両方のハーフトーンスタックに関し、伝搬する光について実質的に同じ位相シフトが得られる。
金属層(130)の最適厚さtおよび誘電体層(140)の最適厚さtがいったん決定されると、各材料について形成すべき層数を選択できる。
こうして本発明の第2実施形態に係るハーフトーンスタックは、吸収体材料、例えば、クロムやタンタルなどの金属層からなる少なくとも1つの層と、酸化物や酸窒化物などの誘電体材料からなる少なくとも1つの層とを備える。
本発明の第2実施形態に係るハーフトーンスタックはまた、吸収体材料、例えば、クロムやタンタルなどの金属層からなる少なくとも2つの層(130)と、酸化物や酸窒化物などの誘電体材料からなる少なくとも1つの層(140)とを備え、誘電体材料からなる層(140)は、吸収体材料からなる2つの層(130)の間に挟まれる。
本発明に係るハーフトーンスタックはまた、吸収体材料、例えば、クロムやタンタルなどの金属層からなる少なくとも1つの層(130)と、酸化物や酸窒化物などの誘電体材料からなる少なくとも2つの層(140)とを備え、吸収体材料からなる層(130)は、誘電体材料からなる2つの層(140)の間に挟まれる。
本発明に係るハーフトーンスタックはまた、吸収体材料、例えば、クロムやタンタルなどの金属層からなる複数の層(130)と、酸化物や酸窒化物などの誘電体材料からなる複数の層(140)とを備え、吸収体材料からなる層(130)と誘電体材料からなる層(140)が交互に存在している。従って、吸収体材料層(130)と誘電体材料層(140)は、交互シーケンスで配置してもよい。
例として、図3a〜図3cに基づいて、所定のリソグラフプロセスパラメータ値とNA=1.2に関して、ハーフトーンスタック(120)での金属の最適化した厚さは、99nmのSiONの場合、Crは約50nmであり、144nmのSiOの場合、Taは約30nmである。MoSiに関して、厚さは71nmまで少し増加する。
このハーフトーンスタック(120)は、50nmのクロム単層と99nmのSiON単層として、25nm厚のクロム層と49nm厚のSiON層の2層の交互シーケンス、即ち、25nmのクロム/49nmのSiON層/25nmのクロム/49nmのSiON層として、あるいは、13.3nm厚のクロム層と33.3nm厚のSiON層の3層の交互シーケンス、即ち、13.3nmのクロム/33.3nmのSiON層/13.3nmのクロム/33.3nmのSiON層/13.3nmのクロムとして、構成することができる。
所定のリソグラフプロセス、特に、ハイパーNAリソグラフツールの所定のNAに関して、クロム材料とSiON材料の合計厚さがそれぞれ50nmと90nmの最適化した厚さに等しければ、クロム層とSiON層のいずれの組合せも可能である。
本発明の第3実施形態では、本発明の実施形態に係る組込み減衰型の位相シフトマスクを伝搬する光の偏光に関する改善した性能を開示している。こうして本発明は、第1実施形態に従って、組込み減衰型の位相シフトマスクを設計するため、または組込み減衰型の位相シフトマスク用のマスクブランクを設計するための方法、あるいは、マスクを伝搬する光の偏光に関する改善した性能に関して設計した、第2実施形態に係る組込み減衰型の位相シフトマスクに関する。後者は、例えば、レジストレベルで存在するような、光の偏光を像性能パラメータとして選択することによって得られる。
組込み減衰型の位相シフトマスクまたはマスクブランクを設計する方法は、高い開口数(NA)システムまたは軸外照射を伴うシステムに特に適している必要はない。換言すると、該方法は、位相シフトマスクまたはマスクブランクに適合した軸上(on-center)照射、即ち、マスクに垂直に入射する光を発生する照射に適しており、及び/又は1より小さい開口数もしくは0.85より小さい開口数を持つシステムであっても適している。
MoSiは、到来する光(320)を、TMモード、即ち、光波の伝搬方向によって形成される平面である入射面内の方向で、基板(200)の反射する表面の平面に対する法線ベクトルに、偏光することで知られている。このTM偏光の光(340)が基板(200)に当たると、この入射光のかなりの部分が、この基板(200)の表面で反射するようになる。従って、到来するTM電磁波で利用可能な光エネルギー全てが感光層に入るのでなく、到来するTM波のエネルギーの少量が、フォトリソグラフ・パターニングプロセスに寄与することになる。
例えば、Cr,Taなどの金属層は、到来する光(320)を、TEモード、即ち、入射面に対して垂直な方向に偏光することで知られている。このTE偏光の光(340)が基板(200)に当たると、この入射光のかなりの部分が基板中を通過して、フォトリソグラフプロセスに寄与することになる。
異なる反射率の挙動および到来する光の偏光状態へのリソグラフプロセスの依存性は、光が基板に対してある角度で当たる場合、より顕著になる。軸外照射では、光は基板(200)に対してある角度で当たるようになり、対応するリソグラフプロセスは偏光の影響をより受けることになる。従って、本発明は、軸外照射を採用するリソグラフプロセスで特に使用される。
軸外照射では、アパーチャが、照射系からの光を制限して、レンズ系の光軸に対してある角度だけでレンズ系に入るようにするために使用される。軸外照射は、所定の波長での分解能を改善するために、ステッパおよびスキャナなどの高度な露光システムとともに使用される。リソグラフプロセスの光軸に関して、存在する光源の数、これらの形状および位置に依存して、例えば、ダイポール照射、四重極照射、環状照射など、各種の軸外照射技術がある。
図7a〜図7bは、3つのハーフトーンスタック、(a)4層の10nmのTaと4層の35nmのSiOの交互シーケンス、(b)30nmのTaと139nmのSiOの二層膜、(c)68nmのMoSiの単層、に関して、偏光度(DoP)をピッチ(nm)の関数として示す。
図7aは、通過した光(340)の0次ピークに関するDoPを示し、図7bは、通過した光(340)の1次ピークに関するDoPを示す。偏光度は、到来する波のTM成分およTE成分に存在するエネルギーの相対比率:DoP=(TM−TE)/(TM+TE)として定義される。
最適化したTa/SiOのハーフトーン二層膜スタックは、前の実施形態で開示したように、特に、ハイパーNAリソグラフプロセスにおいて、改善したELとDOFを提供するが、Ta/SiOの多層膜ハーフトーンスタックは、さらに、改善した偏光度を提供する。
他の実施形態に従って、多層化した組込み減衰型の位相シフトマスクを使用した場合、ピッチへの偏光度の依存性が、0次については確実に適度なものになる。
さらに、1次成分に関する偏光度は、僅かに正に維持することができる。金属層(130)の数および誘電体層(140)の数は、所定の合計の金属厚tと誘電体tについて、所定のピッチ範囲で最適なDoPが得られるように選択される。最適なDoPとは、−0.2〜0.2の範囲、好ましくは、−0.1〜0.1の範囲にあるDoPを意味する。所定のピッチ範囲とは、300nm超〜50nmの範囲のピッチ、好ましくは、300nm〜50nmの範囲のピッチを意味する。
下記(表6)は、(表2)のNA=1.2と45nmのライン間隔のプロセスについて最適化した二層膜および多層膜ハーフトーンスタックのリソグラフ性能を列記する。
Figure 2007179056
本発明の第4実施形態では、マスクブランクを開示する。マスクブランクは、クロムなどの吸収体や時にはレジストでコートされた、例えば、ガラス、石英、合成石英などのブランクマスク基板として定義され、マスクを製作するのに使用される。マスクは、基板上の感光層に印刷すべき特徴部について、透過率及び/又は位相の変動としてコード化された情報を含む、例えば、ガラス、石英などの透明基板として定義される。これらのマスクブランクの組成および厚さは、この説明の他の実施形態の方法を用いて決定される。
図6aと図6bに示すように、本発明の実施形態に係る減衰型の位相シフトマスクの製造用のマスクブランク(500)は、1つ又はそれ以上の層でコートされた透明基板(110)を備える。
一実施形態に係るマスクブランク(500)は、図6aに示す。それは、透明基板(110)に接する金属層(130)と、金属層(130)に接する誘電体層(140)とからなる二層膜吸収体スタック(120)をさらに備える。
他の実施形態に係るマスクブランク(500)は、図6bに示す。それは、多層膜吸収体スタック(120)をさらに備える。図6bに示したマスクブランクは、金属層(130)/誘電体層(140)/金属層(130)/誘電体層(140)からなるスタックが基板(110)上に形成されている。
より一般的には、本発明の実施形態に係る多層膜吸収体スタック(120)は、金属(130)または誘電体(140)の材料が用いられた、少なくとも3つの層を備える。金属層(130)と誘電体層(140)からなるこの交互スタックは、ハイパーNAリソグラフプロセスで使用した場合、充分なプロセス・ウインドウを提供する組込み減衰型の位相シフトマスクに必要な減衰および位相シフトを提供する。
そして、本発明に係るマスクブランクは、特に、ハイパーNAリソグラフプロセスにおいて、改善したプロセス・ウインドウおよび性能を提供する組込み減衰型の位相シフトマスクを製造するために使用可能である。必要に応じて、追加の吸収体層(150)、例えば、クロムなどの金属層及び/又はレジスト層(不図示)が、誘電体層(140)と接して存在可能である。必要に応じて、同一の溝(trench)が、透明基板のハーフトーン特徴物のいずれの側にエッチングされる。
国際出願第WO2005/090931号は、クロム層(114)がハーフトーン特徴物の上部に存在する、減衰型の位相シフトマスク(att.PSM)を示している。このクロム層は、選択したハーフトーン特徴物がこのクロムで部分的に覆われるようにパターン化され、これらのハーフトーン特徴物を伝搬する光の全体的な減衰を更にもたらしている。実質的に同じ深さを持つ溝(118)が、基板(110)にエッチングされて、これらの溝(118)の間で実質的な位相差が生じないようにしている。
本発明の第5実施形態では、前の実施形態の1つ以上に係る組込み減衰型の位相シフトマスクを、デバイスのリソグラフプロセスにおいて使用している。この実施形態は、本発明の実施形態に係る組込み減衰型の位相シフトマスク(100)を用いた、デバイスのリソグラフプロセス方法に関するものであり、これにより、吸収体材料(130)の合計厚さt、位相シフト材料(140)の合計厚さt、および吸収体層と位相シフト層の数が、本発明の実施形態に従って決定される。
こうしたリソグラフプロセスは、好ましくは、基板(220)を用意することと、基板(220)の上に感光層(210)を形成することと、実施形態に係る減衰型の位相シフトマスク(100)を通じて感光層(210)を照射することと、感光層(210)に照射パターンを形成することと、照射された感光層(210)を現像して、そこにパターンを形成することとを含む。
ポジ型およびネガ型の感光層を、対応するマスクパターンとの組合せで使用してもよい。好ましくは、使用するリソグラフプロセスは、ハイパーNAリソグラフプロセス、例えば、浸漬リソグラフなどである。好ましくは、リソグラフプロセスは、例えば、ダイポール照射、四重極照射、環状照射など、軸外照射を採用する。
第6実施形態では、本発明は、本発明に係る設計方法または作成方法の実施形態が少なくとも部分的に実施されるプロセスシステムに関するものであり、換言すると、前の実施形態のいずれかに係る減衰型の位相シフトマスクを設計または作成するための方法を実施するように適合したプロセスシステムに関する。
例示のプロセスシステム400は、図8に示す。図8は、少なくとも一形態のメモリ、例えば、RAM、ROMなどを含むメモリサブシステム405と接続された、少なくとも1つのプログラム可能なプロセッサ403を含むプロセスシステム400の一構成を示している。少なくとも1つのディスクドライブ及び/又はCD−ROMドライブ及び/又はDVDドライブを有するストレージサブシステム407を含んでもよい。
幾つかの実施例では、ディスプレイシステム、キーボードおよびポインティングデバイスが、使用者に情報の手入力を提供するユーザインタフェイスのサブシステム409の一部として含んでもよい。データの入出力用のポートもまた含んでもよい。図8では示していないが、例えば、ネットワーク接続、種々のデバイスとのインタフェイスなどのエレメントを含んでもよい。
プロセスシステム400の種々のエレメントは、簡単のため単一のバスとして図8に示しているが、当業者には少なくとも1つのバスのシステムを含むものと理解されるバスサブシステム413を経由するなど、種々の方法で接続することができる。メモリサブシステム405のメモリは、プロセスシステム400上で実行されると、ここで説明した方法の実施形態のステップを実行する命令セットの一部または全部(いずれの場合も符号411として図示している)を時おり保持し得る。
こうして図8に示したようなプロセスシステム400は先行技術であるが、本発明の態様を実行する命令を含んだシステムは先行技術でなく、よって、図8は先行技術のラベルを付していない。
プロセッサ403または複数のプロセッサは、汎用のものまたは特別な目的のプロセッサであってもよく、デバイス、例えば、別の機能を実施する別の構成要素を有するチップの中に組み込み用であってもよいことに留意する。
こうして本発明の1つ又はそれ以上の態様は、デジタル電子回路またはコンピュータのハードウエア、ファームウエア、ソフトウエアもしくはこれらの組合せにおいて実施することができる。
さらに、本発明の態様は、プログラム可能なプロセッサで実行するためのマシン読み取り可能なコードを搭載したキャリア媒体の中に有形的に埋め込まれたコンピュータプログラム製品において実行することができる。
本発明の態様の方法ステップは、例えば、入力データ上で動作し、出力データを発生することによって、本発明の態様の機能を実施するための命令を実行するプログラム可能なプロセッサによって実施してもよい。
従って、本発明は、コンピュータ装置上で実行した場合、本発明に係る方法のいずれかの機能性を提供するコンピュータプログラム製品を含む。
さらに、本発明は、コンピュータ製品をマシン読み取り可能な形式で保存しており、コンピュータ装置上で実行した場合、本発明の方法の少なくとも1つを実行する、例えば、CD−ROMまたはディスケットなどのデータキャリアを含む。
今日では、こうしたソフトウエアはダウンロード用にインターネットまたは会社のイントラネット上でしばしば提供されており、よって本発明は、本発明に係るコンピュータ製品をローカルまたはワイドエリアネットワーク上で伝送することを含む。
(先行技術)減衰型の位相シフトマスクを通した基板の直交露光を示す概略図である。 実施形態に係る組込み減衰型の位相シフトマスクの設計方法の概要を示す。 実施形態に係るハーフトーン材料、モリブデンシリコン(MoSi)に関して、像コントラスト対吸収層の厚さを表す応答カーブを示す。 実施形態に係るハーフトーン材料、クロム/酸窒化シリコン(Cr/SiON)に関して、像コントラスト対吸収層の厚さを表す応答カーブを示す。 実施形態に係るハーフトーン材料、タンタル/二酸化シリコン(Ta/SiO)に関して、像コントラスト対吸収層の厚さを表す応答カーブを示す。 実施形態に係るハーフトーン材料、モリブデンとシリコン(MoSi)に関して、NAが1.2、ライン/スペースが45nmのときの、プロセス・ウインドウ、即ち、露光ラチチュード(EL %)対焦点深度(DOF nm)を示す。 実施形態に係るハーフトーン材料、クロムと酸窒化物(Cr/SiON)に関して、NAが1.2、ライン/スペースが45nmのときの、プロセス・ウインドウ、即ち、露光ラチチュード(EL %)対焦点深度(DOF nm)を示す。 実施形態に係るハーフトーン材料、タンタルと酸化物(Ta/SiO)に関して、NAが1.2、ライン/スペースが45nmのときの、プロセス・ウインドウ、即ち、露光ラチチュード(EL %)対焦点深度(DOF nm)を示す。 実施形態に係るハーフトーン材料、(a)21nmのタンタルと酸化物(Ta/SiO)、(b)30nmのタンタルと酸化物(Ta/SiO)、(c)68nmのモリブデンとシリコン(MoSi)に関して、NAが1.2、目標ライン/スペースが45nmのときのプロセス・ウインドウをマスクでの寸法(Mask CD,nm)の関数として示す。 実施形態に係る、二層膜ハーフトーンスタックを備えた組込み減衰型の位相シフトマスクを示す。 実施形態に係る、多層膜ハーフトーンスタックを備えた組込み減衰型の位相シフトマスクを示す。 実施形態に係る異なるハーフトーンスタック、(a)4層の10nmのTaと4層の35nmのSiOの交互シーケンス、(b)30nmのTaと139nmのSiOの二層膜、(c)68nmのMoSiの単層、を通過した光の0次成分に関して、偏光度をピッチ(nm)の関数として示す。 実施形態に係る異なるハーフトーンスタック、(a)4層の10nmのTaと4層の35nmのSiOの交互シーケンス、(b)30nmのTaと139nmのSiOの二層膜、(c)68nmのMoSiの単層、を通過した光の1次成分に関して、偏光度をピッチ(nm)の関数として示す。 本発明に係る方法の実施形態のいずれかの少なくとも一部を実施するように適合したプロセスシステムを示す。
符号の説明
100 位相シフトマスク
110 透明基板
120 ハーフトーンスタック
130 吸収体材料
140 位相シフト材料
150 吸収体層
200 デバイス
210 感光層
220 基板
400 プロセスシステム
403 プロセッサ
405 メモリサブシステム
500 マスクブランク

Claims (20)

  1. リソグラフプロセスでの使用のための組込み減衰型の位相シフトマスク(100)またはマスクブランク(500)を設計するための方法(400)であって、
    マスクまたはマスクブランクは、ハーフトーンスタック(120)を備え、
    マスクまたはマスクブランクは、軸外照射及び/又はハイパーNAに適しており、
    該方法は、位相シフトマスク(100)またはマスクブランク(500)に関するマスク設定値を選択すること(410)と、
    リソグラフプロセスに関するプロセスパラメータ値を選択すること(420)と、
    像性能パラメータを選択すること(430)と、
    選択したマスク設定値を用いて、空間像シミュレーションを実施し、空間像シミュレーションから、像性能パラメータに関して対応する値を導出すること(440)と、
    導出した像性能パラメータ値を、予め定めた像性能パラメータ基準値に関して評価すること(450)と、
    前記導出した像性能パラメータが像性能パラメータ基準値を満足しない場合、導出した像性能パラメータに基づいてマスク設定値を調整すること(460)と、前記シミュレーションおよび前記評価することを繰り返すことと、
    を含み、
    プロセスパラメータ値を選択することは、1に等しい又はそれより大きい開口数を少なくとも含むプロセスパラメータを選択することを含み、
    空間像シミュレーションを実施することは、1に等しい又はそれより大きい開口数を少なくとも含む選択したプロセスパラメータ値を用いて空間像シミュレーションを実施することを含むことを特徴とする方法。
  2. 像性能パラメータは、レジストでの像コントラスト、焦点深度、露光ラチチュード、マスクの偏光効果、マスクエラー増大因子、または、照射器偏光に対するライン幅感度のいずれかである請求項1記載の方法。
  3. 位相シフトマスク(100)またはマスクブランク(500)に関する設定値を選択すること(410)は、ハーフトーンスタック(120)の材料のタイプおよび数を選択することを含む請求項1または2記載の方法。
  4. ハーフトーンスタックの材料のタイプおよび数を選択することは、吸収体材料(130)および位相シフト材料(140)を選択することを含む請求項3記載の方法。
  5. 吸収体材料(130)は、金属、好ましくは、クロムまたはタンタルであり、
    位相シフト材料(140)は、誘電体、好ましくは、酸化シリコンまたは酸窒化シリコンである請求項4記載の方法。
  6. 位相シフトマスクまたはマスクブランクに関するマスク設定値を選択すること(410)は、少なくとも12%の最大露光ラチチュードを提供するために、吸収体材料(130)及び/又は位相シフト材料(140)の厚さを選択することをさらに含む請求項3,4または5記載の方法。
  7. マスク設定値を調整すること(460)は、吸収体材料(130)及び/又は位相シフト材料(140)の選択した厚さを調整することを含む請求項6記載の方法。
  8. 透明基板(110)とハーフトーンスタック(120)を備えた、組込み減衰型の位相シフトマスク(100)であって、
    ハーフトーンスタック(120)は、吸収体材料(130)と位相シフト材料(140)とを備え、あるいはこれらで構成され、
    吸収体材料の厚さおよび位相シフト材料の厚さは、軸外照射を考慮して決定され、
    吸収体材料の厚さおよび位相シフト材料の厚さは、1と等しい又はそれより大きい開口数を更に考慮して決定されていることを特徴とする位相シフトマスク。
  9. ハーフトーンスタック(120)は、吸収体材料(130)層と位相シフト材料(140)層からなる二層膜スタックとして構成される請求項8記載の位相シフトマスク。
  10. ハーフトーンスタック(120)は、吸収体材料(130)と位相シフト材料(140)の交互シーケンスからなる多層スタックとして構成される請求項8記載の位相シフトマスク。
  11. 吸収体材料(130)は、金属、好ましくは、クロムまたはタンタルであり、
    位相シフト材料(140)は、誘電体、好ましくは、酸化シリコンまたは酸窒化シリコンである請求項8〜10のいずれかに記載の位相シフトマスク。
  12. 吸収体材料(130)は、25nm〜45nmの範囲、好ましくは25nm〜35nmの範囲、より好ましくは約30nmの厚さを有するタンタルであり、
    位相シフト材料(140)は、135nm〜140nmの範囲、好ましくは約140nmの厚さを有する酸化シリコンである請求項11記載の位相シフトマスク。
  13. 吸収体材料(130)は、45nm〜70nmの範囲、好ましくは45nm〜60nmの範囲、より好ましくは約50nmの厚さを有するクロムであり、
    位相シフト材料(140)は、90nm〜110nmの範囲、好ましくは約100nmの厚さを有する酸窒化シリコンである請求項11記載の位相シフトマスク。
  14. 請求項8〜13のいずれかに記載の組込み減衰型の位相シフトマスクを製造するためのマスクブランク。
  15. デバイスのリソグラフプロセス方法であって、
    軸外照射と、請求項8〜13のいずれかに記載の組込み減衰型の位相シフトマスクとを使用することを含む方法。
  16. リソグラフプロセスは、1と等しい又はそれより大きい開口数を持つプロセスを含む請求項15記載の方法。
  17. 位相シフトマスク(100)またはマスクブランク(500)に関して選択したマスク設定値と、軸外照射を少なくとも含む、リソグラフプロセスに関して選択したプロセスパラメータ値と、選択した像性能パラメータとを受け取るための入力手段(409)と、
    入力された、選択したマスク設定値および選択したプロセスパラメータ値を用いて、空間像シミュレーションを実施し、空間像シミュレーションから、選択した像性能パラメータに関して対応する値を導出するための処理手段(403)と、
    導出した像性能パラメータ値を、予め定めた像性能パラメータ基準値に関して評価するための評価手段(412)と、
    前記導出した像性能パラメータが像性能パラメータ基準値を満足しない場合、導出した像性能パラメータに基づいてマスク設定値を調整するための調整手段(414)とを備え、
    選択したプロセスパラメータ値を受け取るための入力手段(409)は、1と等しい又はそれより大きい開口数を少なくとも含む選択したプロセスパラメータ値を受け取るように適合しており、
    空間像シミュレーションを実施するための処理手段(403)は、1と等しい又はそれより大きい開口数を少なくとも含む選択したプロセスパラメータ値を用いて、空間像シミュレーションを実施するように適合していることを特徴とするプロセスシステム(400)。
  18. 請求項1〜7のいずれかに記載の組込み減衰型の位相シフトマスク及び/又はマスクブランクを設計する方法を実行するためのコンピュータプログラム製品。
  19. 請求項18記載のコンピュータプログラム製品を保存するマシン読み取り可能なデータストレージ装置。
  20. 請求項18記載のコンピュータプログラム製品の、ローカルエリアまたはワイドエリアの遠距離通信ネットワークでの伝送。
JP2006346100A 2005-12-27 2006-12-22 減衰型の位相シフトマスクの製造方法 Expired - Fee Related JP5026065B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP05077992A EP1804119A1 (en) 2005-12-27 2005-12-27 Method for manufacturing attenuated phase- shift masks and devices obtained therefrom
EP05077992.5 2005-12-27

Publications (2)

Publication Number Publication Date
JP2007179056A true JP2007179056A (ja) 2007-07-12
JP5026065B2 JP5026065B2 (ja) 2012-09-12

Family

ID=36408021

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006346100A Expired - Fee Related JP5026065B2 (ja) 2005-12-27 2006-12-22 減衰型の位相シフトマスクの製造方法

Country Status (3)

Country Link
US (1) US7709160B2 (ja)
EP (1) EP1804119A1 (ja)
JP (1) JP5026065B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5630592B1 (ja) * 2013-06-17 2014-11-26 大日本印刷株式会社 フォトマスクの製造方法
JP2015092281A (ja) * 2013-08-20 2015-05-14 大日本印刷株式会社 マスクブランクス、位相シフトマスク及びその製造方法
KR20160141720A (ko) * 2014-04-08 2016-12-09 다이니폰 인사츠 가부시키가이샤 마스크 블랭크, 위상 시프트 마스크 및 그 제조 방법

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7930657B2 (en) 2008-01-23 2011-04-19 Micron Technology, Inc. Methods of forming photomasks
NL2010025A (en) 2012-01-17 2013-07-18 Asml Netherlands Bv Lithographic mask, lithographic apparatus and method.
US9310674B2 (en) 2014-02-20 2016-04-12 International Business Machines Corporation Mask that provides improved focus control using orthogonal edges
US10120963B1 (en) * 2017-05-05 2018-11-06 Globalfoundries Inc. Figurative models calibrated to correct errors in process models

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07175204A (ja) * 1993-11-08 1995-07-14 Sony Corp フォトマスク、フォトマスクの製造方法、露光方法及び半導体装置の製造方法
JPH07333825A (ja) * 1994-05-31 1995-12-22 Advanced Micro Devicds Inc 減衰型位相シフトマスクおよびそれを製造するためのプロセス
JPH09244210A (ja) * 1996-03-08 1997-09-19 Toshiba Corp 露光用マスク及びその製造方法
JPH10171094A (ja) * 1996-12-11 1998-06-26 Nippon Telegr & Teleph Corp <Ntt> フォトマスク
JP2001358070A (ja) * 2000-05-01 2001-12-26 Asml Masktools Netherlands Bv 光学的近接補正
JP2002072442A (ja) * 2000-08-30 2002-03-12 Sony Corp 位相シフトマスクの製造方法、レジストパターンの形成方法および半導体装置の製造方法
JP2003322946A (ja) * 2002-04-26 2003-11-14 Hoya Corp ハーフトーン型位相シフトマスクブランク及びハーフトーン型位相シフトマスク
JP2004247737A (ja) * 2003-02-11 2004-09-02 Asml Netherlands Bv リソグラフ装置および光リソグラフシミュレーションを用いて照明源を最適化する方法
JP2005242004A (ja) * 2004-02-26 2005-09-08 Renesas Technology Corp 半導体装置の製造方法およびマスクパターンデータ作成方法
JP2005259789A (ja) * 2004-03-09 2005-09-22 Nikon Corp 検知システム及び露光装置、デバイス製造方法
JP2005277363A (ja) * 2003-05-23 2005-10-06 Nikon Corp 露光装置及びデバイス製造方法
JP2005284213A (ja) * 2004-03-31 2005-10-13 Shin Etsu Chem Co Ltd 位相シフトマスクブランク、位相シフトマスク及びパターン転写方法
JP2005345960A (ja) * 2004-06-07 2005-12-15 Renesas Technology Corp 半導体装置の製造方法
JP2006065338A (ja) * 2004-08-24 2006-03-09 Asml Masktools Bv 1/4波長リソグラフィの焦点深さを上げるためにモデルに基づき散乱バーを配置する方法、プログラム製品および装置
JP2006511967A (ja) * 2003-02-21 2006-04-06 エーエスエムエル ホールディング ナームローゼ フェンノートシャップ 偏光された光によるリソグラフィ印刷
JP2006293089A (ja) * 2005-04-12 2006-10-26 Sony Corp 位相シフト量設定方法、並びに、位相シフト型のフォトマスク及びその作製方法
JP2007102230A (ja) * 2005-10-03 2007-04-19 Interuniv Micro Electronica Centrum Vzw 交互位相シフトマスク
JP2009505400A (ja) * 2005-08-08 2009-02-05 ブライオン テクノロジーズ インコーポレイテッド リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5869212A (en) 1996-05-31 1999-02-09 Kabushiki Kaisha Toshiba Integrated circuit photofabrication masks and methods for making same
US6803155B2 (en) * 2001-07-31 2004-10-12 Micron Technology, Inc. Microlithographic device, microlithographic assist features, system for forming contacts and other structures, and method of determining mask patterns
US20030044695A1 (en) * 2001-09-06 2003-03-06 Mordechai Rothschild Attenuating phase shift mask for photolithography
US7011910B2 (en) * 2002-04-26 2006-03-14 Hoya Corporation Halftone-type phase-shift mask blank, and halftone-type phase-shift mask
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
JP4212421B2 (ja) * 2003-06-26 2009-01-21 株式会社東芝 マスク、露光量調整方法及び半導体デバイスの製造方法
US7312004B2 (en) 2004-03-18 2007-12-25 Photronics, Inc. Embedded attenuated phase shift mask with tunable transmission
US7556892B2 (en) * 2004-03-31 2009-07-07 Shin-Etsu Chemical Co., Ltd. Halftone phase shift mask blank, halftone phase shift mask, and pattern transfer method

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07175204A (ja) * 1993-11-08 1995-07-14 Sony Corp フォトマスク、フォトマスクの製造方法、露光方法及び半導体装置の製造方法
JPH07333825A (ja) * 1994-05-31 1995-12-22 Advanced Micro Devicds Inc 減衰型位相シフトマスクおよびそれを製造するためのプロセス
JPH09244210A (ja) * 1996-03-08 1997-09-19 Toshiba Corp 露光用マスク及びその製造方法
JPH10171094A (ja) * 1996-12-11 1998-06-26 Nippon Telegr & Teleph Corp <Ntt> フォトマスク
JP2001358070A (ja) * 2000-05-01 2001-12-26 Asml Masktools Netherlands Bv 光学的近接補正
JP2002072442A (ja) * 2000-08-30 2002-03-12 Sony Corp 位相シフトマスクの製造方法、レジストパターンの形成方法および半導体装置の製造方法
JP2003322946A (ja) * 2002-04-26 2003-11-14 Hoya Corp ハーフトーン型位相シフトマスクブランク及びハーフトーン型位相シフトマスク
JP2004247737A (ja) * 2003-02-11 2004-09-02 Asml Netherlands Bv リソグラフ装置および光リソグラフシミュレーションを用いて照明源を最適化する方法
JP2006511967A (ja) * 2003-02-21 2006-04-06 エーエスエムエル ホールディング ナームローゼ フェンノートシャップ 偏光された光によるリソグラフィ印刷
JP2005277363A (ja) * 2003-05-23 2005-10-06 Nikon Corp 露光装置及びデバイス製造方法
JP2005242004A (ja) * 2004-02-26 2005-09-08 Renesas Technology Corp 半導体装置の製造方法およびマスクパターンデータ作成方法
JP2005259789A (ja) * 2004-03-09 2005-09-22 Nikon Corp 検知システム及び露光装置、デバイス製造方法
JP2005284213A (ja) * 2004-03-31 2005-10-13 Shin Etsu Chem Co Ltd 位相シフトマスクブランク、位相シフトマスク及びパターン転写方法
JP2005345960A (ja) * 2004-06-07 2005-12-15 Renesas Technology Corp 半導体装置の製造方法
JP2006065338A (ja) * 2004-08-24 2006-03-09 Asml Masktools Bv 1/4波長リソグラフィの焦点深さを上げるためにモデルに基づき散乱バーを配置する方法、プログラム製品および装置
JP2006293089A (ja) * 2005-04-12 2006-10-26 Sony Corp 位相シフト量設定方法、並びに、位相シフト型のフォトマスク及びその作製方法
JP2009505400A (ja) * 2005-08-08 2009-02-05 ブライオン テクノロジーズ インコーポレイテッド リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法
JP2007102230A (ja) * 2005-10-03 2007-04-19 Interuniv Micro Electronica Centrum Vzw 交互位相シフトマスク

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5630592B1 (ja) * 2013-06-17 2014-11-26 大日本印刷株式会社 フォトマスクの製造方法
JP2015092281A (ja) * 2013-08-20 2015-05-14 大日本印刷株式会社 マスクブランクス、位相シフトマスク及びその製造方法
KR20160141720A (ko) * 2014-04-08 2016-12-09 다이니폰 인사츠 가부시키가이샤 마스크 블랭크, 위상 시프트 마스크 및 그 제조 방법
KR102260188B1 (ko) 2014-04-08 2021-06-04 다이니폰 인사츠 가부시키가이샤 마스크 블랭크, 위상 시프트 마스크 및 그 제조 방법

Also Published As

Publication number Publication date
US20070178392A1 (en) 2007-08-02
JP5026065B2 (ja) 2012-09-12
EP1804119A1 (en) 2007-07-04
US7709160B2 (en) 2010-05-04

Similar Documents

Publication Publication Date Title
US9551924B2 (en) Structure and method for fixing phase effects on EUV mask
JP5020616B2 (ja) 短波長を持つ電磁放射を用いたリソグラフ方法および装置
JP5026065B2 (ja) 減衰型の位相シフトマスクの製造方法
JP2015194758A (ja) 位相シフトマスク
JP6524614B2 (ja) マスクブランクス、ネガ型レジスト膜付きマスクブランクス、位相シフトマスク、およびそれを用いるパターン形成体の製造方法
TWI243962B (en) A photolithography mask, a method and a computer program product of transferring a lithographic pattern, and a device manufacturing method
TW480368B (en) Lithograph method and mask to its application
Erdmann et al. Attenuated phase shift masks: a wild card resolution enhancement for extreme ultraviolet lithography?
JP4099589B2 (ja) マスクパターン補正方法、露光用マスクおよびマスク製造方法
JP5023589B2 (ja) フォトマスクおよび該フォトマスクの設計方法
JP5668356B2 (ja) 転写方法
JP5724509B2 (ja) フォトマスクおよびフォトマスクブランクス
JP2014191176A (ja) フォトマスクブランクス、フォトマスク及びその製造方法
JP3759138B2 (ja) フォトマスク
JPH07253649A (ja) 露光用マスク及び投影露光方法
US8589826B2 (en) Photomask constructions having liners of specified compositions along sidewalls of multi-layered structures
US5962174A (en) Multilayer reflective mask
JP6379556B2 (ja) マスクブランクス、ネガ型レジスト膜付きマスクブランクス、位相シフトマスク、およびそれを用いるパターン形成体の製造方法
JP6119836B2 (ja) フォトマスク
JP4997902B2 (ja) ハーフトーンマスク
JPH08106151A (ja) 位相シフト・マスクおよびその製造方法
JP3178516B2 (ja) 位相シフトマスク
Schenker et al. Integration of pixelated phase masks for full-chip random logic layers
JP5949877B2 (ja) マスクパターン転写方法
JP4539955B2 (ja) 位相シフトマスク及びその製造方法並びに露光方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091218

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110613

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110628

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110728

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120612

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120620

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150629

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5026065

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees