JP2010114444A - リソグラフィ較正のための方法及びシステム - Google Patents

リソグラフィ較正のための方法及びシステム Download PDF

Info

Publication number
JP2010114444A
JP2010114444A JP2009249693A JP2009249693A JP2010114444A JP 2010114444 A JP2010114444 A JP 2010114444A JP 2009249693 A JP2009249693 A JP 2009249693A JP 2009249693 A JP2009249693 A JP 2009249693A JP 2010114444 A JP2010114444 A JP 2010114444A
Authority
JP
Japan
Prior art keywords
polynomial
model
estimated
image
parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009249693A
Other languages
English (en)
Other versions
JP5191975B2 (ja
Inventor
Jun Ye
イェ,ジュン
Yu Cao
カオ,ユ
Hanying Feng
フェン,ハニング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brion Technologies Inc
Original Assignee
Brion Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brion Technologies Inc filed Critical Brion Technologies Inc
Publication of JP2010114444A publication Critical patent/JP2010114444A/ja
Application granted granted Critical
Publication of JP5191975B2 publication Critical patent/JP5191975B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Computer Hardware Design (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】複数のフィーチャを有するターゲット設計を結像するために使用されるリソグラフィプロセスの結像性能をシミュレートすることに基づく効率的光学及びレジストパラメータ較正の方法を提供する。
【解決手段】この方法は、シミュレートされた像を生成するための関数を決定するステップであって、その関数がリソグラフィプロセスに関連するプロセス変動を説明するステップと、その関数を使用してシミュレートされた像を生成するステップであって、シミュレートされた像がリソグラフィプロセスに関するターゲット設計の結像結果を表すステップとを含む。リソグラフィプロセスの較正のためのシステム及び方法であって、それにより、光学システムの公称構成について多項式フィットが計算され、それを使用して他の構成に関するクリティカルディメンションを推定することができる。
【選択図】図3

Description

[0001] 本発明は、フォトリソグラフィシステムを較正する方法に関し、フォトリソグラフィシステム及び較正方法を較正するためのコンピュータプロフラムを担持するコンピュータ可読媒体に関する。
[0002] 例えば、集積回路(IC)の製造などにリソグラフィ装置を使用することができる。その場合、マスクは、ICの個々のレイヤに対応する回路パターンを含むことができ、このパターンを放射感応性材料(レジスト)のレイヤでコーティングされた基板(シリコンウェーハ)上のターゲット部分(例えば、1つ又は複数のダイを含む)上に結像することができる。一般に、1つのウェーハは、投影システムを介して一度に1つずつ連続的に照射される隣接するターゲット部分のネットワーク全体を含む。あるタイプのリソグラフィ投影装置では、マスクパターン全体をターゲット部分上に一度に露光することで各ターゲット部分が照射される。上記装置は、一般にウェーハステッパと呼ばれる。ステップアンドスキャン装置と一般に呼ばれる別の装置では、投影ビームが当たったマスクパターンを所与の基準方向(「スキャン」方向)に漸進的にスキャンしながら、これに同期してこの方向に平行又は逆平行に基板テーブルをスキャンすることで各ターゲット部分が照射される。一般に、投影システムは、倍率係数M(一般に、<1)を有するので、基板テーブルがスキャンされる速度Vは、係数Mにマスクテーブルのスキャン回数を乗じた値になる。本明細書に記載するリソグラフィデバイスに関する詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許第6,046,792号から入手することができる。
[0003] リソグラフィ投影装置を用いた製造プロセスでは、放射感応性材料(レジスト)のレイヤで少なくとも部分的に覆われた基板上にマスクパターンが結像される。この結像ステップに先立って、プライミング、レジストコーティング、及びソフトベークなどの種々の手順を基板に対して行うことができる。露光後に、基板に対して、結像されたフィーチャの露光後ベーク(PEB)、現像、ハードベーク及び測定/検査などの他の手順を実行することができる。この一連の手順は、デバイス、例えば、ICの個々のレイヤにパターン形成する基礎として使用される。そのようなパターン形成されたレイヤについて、次に、個々のレイヤを完成させるためのエッチング、イオン注入(ドーピング)、金属化、酸化、化学的機械的研磨などの種々のプロセスを行うことができる。幾つかのレイヤが必要な場合、手順全体、又はその変形手順を新しいレイヤごとに繰り返す必要がある。最後に、デバイスのアレイが基板(ウェーハ)上に形成される。これらのデバイスは、次に、ダイシング又はのこ引きなどの技術によって互いに分離され、それによって個々のデバイスをピンなどに接続されたキャリア上に実現することができる。
[0004] 話を分かりやすくするため、以下、投影システムを「レンズ」と呼ぶことがある。しかし、この用語は、例えば、屈折光学系、反射光学系、及び反射屈折光学系を含む各種投影システムを含むものと広義に解釈すべきである。放射システムも、放射投影ビームを誘導し、整形し、又は制御する任意のこれらの設計タイプに従って動作するコンポーネントを含むことができ、そのようなコンポーネントも、以下に集合的又は単独で「レンズ」と呼ぶことがある。さらに、リソグラフィ装置は、2つ以上の基板テーブル(及び/又は2つ以上のマスクテーブル)を有するタイプであってもよい。そのような「マルチステージ」デバイスでは、追加のテーブルを平行して使用するか、又は1つ又は複数の他のテーブル上で準備ステップを実行しながら1つ又は複数の他のテーブルを露光に使用することができる。例えば、本明細書に参照により組み込むものとする米国特許第5,969,441号には、ツインステージリソグラフィ装置が記載されている。
[0005] 上記フォトリソグラフィマスクは、シリコンウェーハ上に集積する回路コンポーネントに対応する幾何学パターンを含む。そのようなマスクを作成するためのパターンは、このプロセスが多くの場合EDA(電子設計オートメーション)と呼ばれるCAD(コンピュータ支援設計)プログラムを用いて生成される。大半のCADプログラムは、機能マスクを作成するために一組の所定のデザインルールに従う。これらのルールは、処理及び設計の制限によって設定される。例えば、デザインルールは、回路デバイス(ゲート、コンデンサなど)又は相互接続線間の空間許容範囲を定義して、回路デバイス又は線が好ましくない形で相互動作しないようにする。デザインルールの限界は、「クリティカルディメンション」(CD:Critical Dimension)とも呼ばれる。回路のクリティカルディメンションは、線若しくは穴の最小幅又は2本の線若しくは2つの穴の間の最小空間として定義することができる。それ故、CDは、設計された回路の全体のサイズと密度とを決定する。集積回路の製作の目標の1つが元の回路設計をウェーハ上に(マスクを介して)忠実に再現することであるのは当然である。
[0006] 上記の通り、マイクロリソグラフィは半導体集積回路の製造の中心的ステップであり、半導体ウェーハ基板上に形成されたパターンによってマイクロプロセッサ、メモリチップなどの半導体デバイスの機能要素が画定される。フラットパネルディスプレイ、MEMS(micro-electro mechanical system)、その他のデバイスの形成にも同様のリソグラフィ技術が使用される。
[0007] 半導体製造プロセスが進歩し続けるにつれて、回路素子の寸法は絶え間なく縮小され、デバイスあたりのトランジスタなどの機能要素の数量は、「ムーアの法則」と一般に呼ばれるトレンドに従って、数十年間にわたり着実に増え続けてきた。現在の技術状態では、深紫外線レーザ光源からの照明を使用して基板上にマスクイメージを投影し、100nmを十分下回る寸法、すなわち、投影光の波長の半分未満の寸法を有する個々の回路フィーチャを作成するスキャナとして知られる光リソグラフィ投影システムを使用して最先端デバイスのクリティカルレイヤが製造される。
[0008] 光学投影システムの伝統的な解像限界より小さい寸法のフィーチャがプリントされるこのプロセスは、解像式CD=k1×λ/NAにより、一般にLow-k1リソグラフィとして知られており、式中、λは使用する放射線の波長(現在、大半のケースでは248nm又は193nm)であり、NAは投影光学系の開口数であり、CDは一般に最小プリントフィーチャサイズである「クリティカルディメンション」であり、k1は実験的解像因子である。一般に、特定の電気的機能性及び性能を達成するために回路設計者が計画した形状及び寸法に似ているパターンをウェーハ上に再現することは、k1が小さいほど困難になる。このような困難を克服するために、投影システム並びにマスク設計に精巧な微調整ステップが適用される。このステップとしては、例えば、NA及び光コヒーレンスの設定の最適化、カスタマイズした照明方式、位相シフトマスクの使用、マスクレイアウトの光近接効果補正、又は一般に「超解像技術」(RET:Resolution Enhancement Techniques)として定義されるその他の方法を含むが、これらに限定されない。
[0009] 重要な例の1つとして、光近接効果補正(OPC:Optical Proximity Correction、時には「光学及びプロセス補正(optical and process correction)」とも呼ばれる)は、ウェーハ上にプリントされたフィーチャの最終的なサイズ及び配置が単にマスク上の対応するフィーチャのサイズ及び配置の関数になるわけではないことに対処するものである。本明細書では「マスク」と「レチクル」という用語が区別なく使用されることは注目に値する。典型的な回路設計上に小さいフィーチャサイズ及び高いフィーチャ密度が存在する場合、所与のフィーチャの特定のエッジの位置は、他の隣接するフィーチャの有無によってある程度影響を受ける。このような近接効果はフィーチャ間で結合されるわずかな量の光から発生する。同様に、一般にリソグラフィ露光に続く露光後ベーク(PEB)、レジスト現像、及びエッチング中の拡散及びその他の化学効果から近接効果が発生する場合もある。
[0010] 所与のターゲット回路設計の要件に応じて半導体基板上にフィーチャが生成されることを保証するために、精巧な数値モデルを使用して近接効果を予測する必要があり、ハイエンドデバイスの正常な製造が可能になる前にマスクの設計に補正又は予歪を適用する必要がある。"Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005)という論文には、現在の「モデルベース」光近接効果補正プロセスの概要が示されている。典型的なハイエンド設計では、ターゲット設計に十分匹敵するプリントパターンを達成するために、ほとんどすべてのフィーチャエッジで何らかの変更が必要である。このような変更としては、エッジ位置又は線幅のシフト又はバイアス並びにそれ自体をプリントするためのものではない「アシスト」フィーチャの適用を含むことができるが、関連の主要フィーチャの特性に影響するであろう。
[0011] 典型的にチップ設計内に数百万個のフィーチャが存在する場合、ターゲット設計にモデルベースのOPCを適用するには、良好なプロセスモデルと相当な計算資源が必要である。しかし、OPCの適用は、一般に、「精密科学(exact science)」ではないが、レイアウト上で発生する可能性のあるすべての弱点を必ず解決するわけではない実験的な反復過程である。従って、OPC後の設計、すなわち、OPC及び任意の他のRETによるすべてのパターン変更の適用後のマスクレイアウトは、設計欠陥がマスクセットの製造に組み込まれる可能性を最小限にするために、設計検査、すなわち、較正された数値プロセスモデルを使用する徹底的なフルチップシミュレーションによって検証する必要がある。これは、数百万ドルの範囲で行われるハイエンドマスクセット作成に要する膨大なコスト並びに製造された後で実際のマスクを再加工又は修理することによるターンアラウンドタイムへの影響によって余儀なくされる。
[0012] OPC及びフルチップRET検証はいずれも、例えば、米国特許第7,003,758号及び"Optimized Hardware and Software For Fast, Full Chip Simulation", by Y. Cao et al., Proc. SPIE, Vol. 5754, 405 (2005)という論文に記載されている数値モデリングシステム及び方法に基づくことができる。
[0013] リソグラフィパターニングプロセスのフルチップ数値シミュレーションは、典型的に、最良焦点及び最良露光ドーズ又は最良「公称」条件という単一のプロセス条件で実証されているが、ある設計の製造性には、実際の製造中に避けがたいプロセス条件の小さい変動に対して十分なパターン忠実度の許容範囲が必要であることは周知のことである。この許容範囲は、一般に、CD又はエッジ配置変動が定義済みマージン(すなわち、誤差許容範囲)内、例えば公称線幅の±10%以内になる露光−デフォーカス空間内の幅と高さ(又は「寛容度(latitude)」)として定義されるプロセスウィンドウとして表される。実際には、実際のマージン要件は、その機能及びクリティカリティ次第で異なるフィーチャタイプごとにそれぞれ異なる可能性がある。さらに、このプロセスウィンドウの概念は、露光ドーズ及びデフォーカスに加えて又はそれらの他に、他の基本パラメータに拡張することができる。
[0014] 所与の設計の製造性は一般に、単一レイヤ内のすべてのフィーチャの共通プロセスウィンドウによって決まる。最新式のOPC適用及び設計検査方法は公称条件で設計を最適化し検証することができるが、最近では、減少し続ける許容範囲とCD要件のために今後のプロセスノードで製造性を保証するためには、プロセスウィンドウ認識OPCモデルが必要になることが確認されている。
[0015] 現在、十分な正確さと適用範囲を有する所与の設計のプロセスウィンドウを精密に計画するために、N個のパラメータ設定(例えば、デフォーカス及び露光ドーズ)におけるシミュレーションが必要であり、Nはおよそ12又はそれ以上にすることができる。その結果、典型的にフルチップリソグラフィシミュレーションを複数回繰り返すことを伴う、このような種々の設定における反復シミュレーションをOPC適用及び検証フローのフレームワークに直接取り入れる場合、N倍の計算時間が必要である。しかし、所与のターゲット回路の妥当性検査及び/又は設計を試みるときに、このような計算時間の増加は法外なものになる。
[0016] このため、OPC及びRET検証に使用することができ、既知の従来技術のシステムによって現在実行されている種々の条件における反復シミュレーションのこのような「総当たり(brute-force)」手法より計算上効率的なプロセスウィンドウ内の変動を説明するシミュレーション方法及びシステムが必要である。
[0017] 本発明の特定の諸態様によれば、計算上効率的な技法を可能にし、従来技術の技法の上記の欠陥を克服する較正方法が提供される。より具体的には、本発明の特定の諸実施形態は、複数のフィーチャを有するターゲット設計を結像するために使用されるリソグラフィプロセスの結像性能のシミュレーションに基づく光学パラメータ及びレジストパラメータの較正の方法に関し、空間像及びレジスト像の多項式展開に基づく効率的な較正方法を提供する。
[0018] 本発明の特定の諸態様による方法は、シミュレートされた像を生成するための関数を決定するステップであって、その関数がリソグラフィプロセスに関連するプロセス変動を説明するステップと、その関数を使用してシミュレートされた像を生成するステップであって、シミュレートされた像がリソグラフィプロセスに関するターゲット設計の結像結果を表すステップとを含む。所与の一実施形態では、この関数は以下のように定義される。
Figure 2010114444
但し、I0は公称焦点における像強度を表し、f0は公称焦点を表し、fはシミュレートされた像が計算される実際の焦点レベルを表し、パラメータ「a」及び「b」は1次派生像(first order derivative image)及び2次派生像(second order derivative image)を表す。
[0019] 本発明の他の一態様によれば、リソグラフィプロセス用のモデルを生成することを含み、そのモデルがリソグラフィプロセスの物理パラメータの公称値付近の多項式級数展開を含む較正方法が提供される。この較正方法は、少なくとも1つの多項式展開係数を最適化することにより物理パラメータの複数の値でリソグラフィプロセスを適用することによって得られた結像結果の測定寸法にモデルをフィットさせることをさらに含む。多項式級数展開を適用することにより、そのモデルを光学モデル部分とレジストモデル部分に分離する必要はなくなり、その統合(すなわち、結合)モデルについて多項式級数展開を行うことができることに留意されたい。さらに、パラメータが非線形であっても、その較正は線形問題になる。
[0020] 本発明は従来技術の方法を上回る重大な利点を提供する。最も重要なことに、本発明は、プロセスウィンドウ内の変動(例えば、焦点変動及び露光ドーズ変動)に関する説明を含む計算上効率的なシミュレーションプロセスを提供し、既知の従来技術の方法によって現在実施されているように種々の条件で反復シミュレーションを行う「総当たり」手法を実行する必要性を除去する。
[0021] さらに、特異かつ特定のパラメータ設定のみではなく、プロセスウィンドウの全域で有効かつロバストで正確なモデルを提供するようなリソグラフィモデルのための較正手順が必要であることは留意すべきことである。従来技術の較正手順は典型的に、対応するウェーハ測定値(ウェーハCD又は輪郭など)を有する特定の数の既知のマスクパターンから始める。次に、モデルによる予測値(CD、輪郭など)が実際の測定値とマッチングするように、モデルパラメータ(光学及びレジストの両方)を決定する。モデル予測とモデルパラメータとの関係は非常に複雑なので、既知の従来技術のシステムは、特に光学パラメータ較正の場合、較正の際に総当たりサーチ(brute-force search)に依存する。このような総当たりサーチでは、ユーザはまず、それぞれのモデルパラメータについてサーチ範囲を特定しなければならない。次に、可能なパラメータ値の組合せ(すなわち、可能なプロセス条件)ごとに、すべての既知のマスクパターンからCD又は輪郭を予測し、ウェーハ測定値と比較する。可能なパラメータ値の組合せをすべて使い果たした後、結果的に予測値と測定値との最良マッチングが得られるようなものを選択する。この総当たりの手法には、主に2つの欠点がある。すなわち、(1)それぞれのパラメータ値の組合せからモデルを構築するのは計算上高価であるので、時間のかかるものであり、(2)サーチ範囲を決定することが難しい。サーチ範囲が大きすぎる場合、較正プロセスは法外なほど低速になる可能性があり、サーチ範囲が小さすぎる場合、正しいパラメータ値の組合せをまったく含まない可能性がある。この問題は、リソグラフィプロセスの他の物理パラメータの他の公称値付近の他の多項式級数展開を含み、その結像結果が他の物理パラメータの複数の値で得られ、そのモデルをフィットさせることが他の多項式級数展開に対応する少なくとも1つの他の多項式展開係数を最適化することを含む、較正方法を提供する本発明の一態様によって解決される。その物理パラメータ及び他の物理パラメータに2つの多項式級数展開を使用することにより、解くべき方程式を線形化して、それを解くための効率的な方法を可能にする。このように2つの多項式級数展開を使用するので、モデルへのフィットは、リソグラフィプロセスを適用するために使用される物理パラメータの値の組合せに依存しない(すなわち、その組合せに対して制限をもたらすことはない)。
[0022] 本稿では、ICの製造における本発明の使用への特定の言及がなされているかもしれないが、本発明は、多数の他の可能な用途を有することを明確に理解されたい。例えば、磁気ドメインメモリ、液晶表示パネル、薄膜磁気ヘッド用の集積光学系、案内及び検出パターンの製造に採用することができる。当業者であれば、このような別の用途の場合、本明細書で用いる「レチクル」、「ウェーハ」、又は「ダイ」という用語のいかなる使用もより一般的な用語である「マスク」、「基板」及び「ターゲット部分」という用語にそれぞれ置き換えることができることを理解することができるだろう。
[0023] 本明細書では、「放射」及び「ビーム」という用語は、紫外線(例えば、365、248、193、157又は126nmの波長を有する)及びEUV(極端紫外線、例えば、波長が5〜20nmの範囲)を含むすべてのタイプの電磁放射を含むために使用される。
[0024] 本稿で使用するマスクという用語は、基板のターゲット部分に作成されるパターンに対応するパターン付き断面を入射放射ビームに与えるために使用できる汎用パターニング手段を指すものと広義に解釈することができ、これに関連して「ライトバルブ」という用語も使用することができる。典型的なマスク(透過又は反射;バイナリ、位相シフト、ハイブリッドなど)に加えて、他のこのようなパターニング手段の例としては以下のものを含む。
・プログラマブルミラーアレイ。このようなデバイスの一例は、粘弾性制御レイヤと反射面を有するマトリクスアドレッサブル表面である。このような装置の基本原理は、(例えば)反射面のアドレスエリアが回折光として入射光を反射し、非アドレスエリアが非回折光として入射光を反射することである。適切なフィルタを使用すると、反射ビームから前記非回折光をフィルタで除去し、回折光のみを残すことができ、このように、ビームはマトリクスアドレッサブル表面のアドレッシングパターンに応じてパターン付きになる。適切な電子手段を使用して、必要なマトリクスアドレッシングを実行することができる。このようなミラーアレイに関する詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許第5,296,891号及び第5,523,193号から入手することができる。
・プログラマブルLCDアレイ。このような構造の一例は、本明細書に参照により組み込むものとする米国特許第5,229,872号に示されている。
[0025] 以下の詳細な説明及び添付概略図面を参照することにより、本発明そのものとともに追加の目的及び利点をさらに理解することができる。
[0026] 次に、対応する参照記号が対応する部分を示す添付概略図面に関連して、例としてのみ、本発明の諸実施形態について説明する。
[0027] 典型的なリソグラフィ投影システムを示すブロック図である。 [0028]本発明の一実施形態によるリソグラフィシミュレーションモデルの機能モジュールを示す図である。 [0029]本発明の一実施形態によるフローチャートである。 [0030]本発明の一実施形態によるフローチャートである。 [0031]本発明の一実施形態によるフローチャートである。 [0032]本発明の一実施形態で使用されるコンピュータシステムを示す図である。 [0033]本発明の一実施形態によるリソグラフィ投影装置を示す図である。 [0034]従来技術のリソグラフィ較正プロセスを示す図である。 [0035]本発明の一実施形態によるフローチャートである。 [0036]本発明の一実施形態によるフローチャートである。
[0037] 図1は、リソグラフィ投影システム10の一例を示している。主要コンポーネントは、深紫外線エキシマレーザ源にすることができる光源12と、部分コヒーレンス(シグマとして表示)を画定し、特定の光源整形光学系14、16a及び16bを含むことができる照明光学系と、マスク又はレチクル18と、ウェーハ面22上にレチクルパターンのイメージを生成する投影光学系16cである。瞳面のアジャスタブルフィルタ又はアパーチャ20は、最大可能角が投影光学系の開口数NA=sin(θmax)を画定するウェーハ面22に衝突するビーム角の範囲を制限することができる。
[0038] リソグラフィシミュレーションシステムでは、図2の例に示されているように個別の機能モジュールでこれらの主要システムコンポーネントを記述することができる。図2を参照すると、機能モジュールとしては、ターゲット設計を画定する設計レイアウトモジュール26と、結像プロセスで使用するマスクを画定するマスクレイアウトモジュール28と、シミュレーションプロセス中に使用するマスクレイアウトのモデルを画定するマスクモデルモジュール30と、リソグラフィシステムの光学コンポーネントの性能を画定する光学モデルモジュール32と、所与のプロセスで使用するレジストの性能を画定するレジストモデルモジュール34とを含む。既知の通り、シミュレーションプロセスの結果は、例えば、結果モジュール36内に予測輪郭及びCDを生成する。
[0039] 照明及び投影光学系の特性は典型的に、NA−シグマ(σ)設定並びに任意の特定の照明源形状を含む光学モデル32で捕捉される。基板上にコーティングされたフォトレジストレイヤの光学特性、すなわち、屈折率、膜厚、伝搬及び偏光効果も光学モデル32の一部として捕捉することができる。マスクモデル30は、レチクルの設計上の特徴を捕捉し、例えば、米国特許出願第60/719,837号に記載されているように、マスクの詳細な物理的性質の表現も含むことができる。レジストモデル34は、例えば、基板ウェーハ上に形成されたレジストフィーチャの輪郭を予測するために、レジスト露光、PEB及び現像中に行われる化学プロセスの効果を記述する。シミュレーションの目的は、ターゲット設計と比較可能なエッジ配置、CDなどを正確に予測することである。ターゲット設計は、一般に、プレOPCマスクレイアウトと定義され、典型的にGDSII又はOASISなどの標準化されたデジタルファイルフォーマットで提供される。
[0040] 特定の諸実施形態では、光学モデルとレジストモデルとの接続はレジストレイヤ内のシミュレートされた空間像であり、これは基板上への光の投影、レジスト境界面での屈折、並びにレジストフィルムスタック内の複数の反射から発生する。光強度分布(「空間像」)は、光子の吸収により潜在するレジスト像になり、これは拡散プロセス及び種々のローディング効果によってさらに変更される。フルチップ適用に十分な高速である効率的なシミュレーション方法は、2次元空間像及び/又はレジスト像によってレジストスタック内の現実的な3次元強度分布を近似する。リソグラフィモデルの効率的な実現例の1つは以下の形式主義を使用して可能であり、その場合、イメージは瞳面内の信号振幅におけるフーリエ合計として表される。そのイメージは、この場合はスカラ形式で表され、そこから偏光ベクトル効果を含むように展開することができる。既知のホプキンス理論によれば、空間像強度は以下の式で定義することができる。
Figure 2010114444
但し、I(x)は像平面内の点xにおける空間像強度であり(表記の簡略化のために、単一変数で表される2次元座標を使用する)、kはソース面上の点を表し、A(k)は点kからのソース振幅であり、k’及びk”は瞳面上の点であり、Mはマスクイメージのフーリエ変換であり、Pは瞳関数であり、
Figure 2010114444
である。上記の導出の顕著な態様は、加法順序の変化(kにおける合計を内側に移動する)及び指数の変化(k’をk+k’で置換し、k”をk+k”で置換する)であり、その結果、式中の3行目の角括弧の内側の項によって定義される透過クロス係数(TCC:Transmision Cross Coefficients)が分離される。これらの係数は、マスクパターンとは無関係であり、従って、光学素子又は構成(例えば、NA及びσ又は詳細なイルミネータプロファイル)のみの知識を使用して事前計算することができる。さらに、所与の例(式1)ではスカラ結像モデルから導出されるが、この形式主義はベクトル結像モデルに展開することもでき、TE及びTM偏光コンポーネントが別々に合計されることは注目に値する。
[0041] さらに、近似空間像は、TCC行列を対角化し、その最大固有値に対応する項を保持することによって決定できる、限られた数のドミナントTCC項のみを使用することによって計算することができ、すなわち、以下の式が得られる。
Figure 2010114444
但し、λi(i=1,...,N)はN個の最大固有値を示し、φi(・)はTCC行列の対応する固有ベクトルを示す。(式2)はまさにすべての項が固有級数展開に保持される場合であり、すなわち、NがTCC行列のランクに等しい場合であることは注目に値する。しかし、実際の適用例では、計算プロセスの速度を上げるためにより小さいNを選択することによりこの級数を切り捨てることが典型的である。それ故、(式1)は以下のように書き直すことができる。
Figure 2010114444
但し
Figure 2010114444
であり、|・|は複素数の大きさを示す。
[0042] 十分に大きい数のTCC項と適切なモデル較正方法を使用することにより、光学投影プロセスの正確な記述を可能にし、光学系及びレジストモデル又は各部分へのリソグラフィシミュレーションモデルの「分離性(separability)」を提供する。理想的な分離可能モデルでは、NA、シグマ、デフォーカス、収差などのすべての光学効果は光学モデルモジュールで正確に捕捉され、レジスト効果のみがレジストモデルによってシミュレートされる。しかし、実際には、(一般に遅すぎるので、フルチップシミュレーションに実用的なものになるために必要な調整可能パラメータが多すぎる第1原理モデルとは対照的に)すべての「効率的な」リソグラフィシミュレーションモデルは、ある程度は実験的であり、限られた一組のパラメータを使用する傾向がある。場合によっては、「集中(lumped)」パラメータは、光学特性とレジスト特性の両方の特定の結合ネット効果を説明することができる。例えば、レジストのPEB中の拡散プロセスは、レジスト内に形成された像をぼかすガウスフィルタによってモデリングすることができ、同様のフィルタは投影システムの迷光の効果、ステージ振動、又は高次収差の結合効果を記述することもできる。集中パラメータは、フィットさせた較正点に近いプロセス挙動を再現することができるが、典型的に、分離可能モデルと比較して予測能力が劣っている。分離性は、典型的に、十分に詳細なモデル形式を必要とし、例えば、上述の例では、光学ぼけ及びレジスト拡散について2つの独立フィルタを使用するとともに、レジスト効果からの光学効果の分離を保証する適切な較正方法を使用することができる。
[0043] 分離可能モデルは大半の適用例に適したものである可能性があるが、以下により詳細に示すように、本発明の特定の諸態様による方法に関連するスループロセスウィンドウ(through-process window)「PW」空間像変動の記述は厳密なモデル分離性を必要としない。スルーPW変動を正確に捕捉するために一般的なレジストモデルをフィットさせる方法についても以下に説明する。
[0044] 特定の諸実施形態は、プロセスウィンドウ全体にわたるパラメータ変動、すなわち、露光ドーズ及びデフォーカス又は追加のプロセスパラメータの変動をカバーするリソグラフィパターニング性能の効率的なシミュレーションを可能にする。イメージベースの手法を使用して、特定の諸実施形態は、焦点と露光ドーズ変動又は一般化したPWのその他の追加座標の関数として、空間像又はレジスト像に関する多項式級数展開を提供する。これらの式は、TCC及び派生TCC行列に関連する像及び派生像を含む。これらの式の1次結合により、任意のPW点で生成された像の非常に効率的な評価が可能になる。加えて、PW全体にわたるエッジ配置シフト又はCD変動も限られた一組のシミュレート像の単純な1次結合として分析形式で表される。この一組の像は、N通りの個別PW条件で像を計算することによるNxではなく、NC(Nominal Condition 公称条件)で単一像を計算するための計算時間の2倍程度の計算時間内に生成することができる。この一組の像が既知であると、設計上のすべての単一エッジ又はCDの完全なスルーPW挙動を直ちに決定することができる。
[0045] 本発明の特定の諸実施形態は、モデル較正、リソグラフィ設計検査、共通PWの評価に基づく歩留まり推定、ホットスポットの識別、PW認識OPCによるホットスポットの変更及び修理、例えば、リソレイヤの共通PWをセンタリングするためのモデルベースのプロセス制御補正に併せて使用可能な方法を提供する。
[0046] 汎用レジスト線のレジスト線幅(又はエッジ配置)のスルーフォーカス(through-focus)変化の例を考慮することにより、この方法の特定の諸態様を最も良く認識し理解することができる。レジスト線のCDは典型的に最良焦点で最大値又は最小値を有するが、CDはいずれかの方向のデフォーカスとともに滑らかに変化することは周知のことである。従って、特定のフィーチャのスルーフォーカスCD変動は、CD対デフォーカス(CD vs. defocus)の多項式フィット、例えば十分に小さいデフォーカス範囲に関する2次フィットによって近似されることができる。しかし、CDの変化の方向及び大きさは、レジストしきい値(クリアするためのドーズ)、特定の露光ドーズ、フィーチャタイプ、及び近接効果に強く依存する。それ故、露光ドーズ及びスルーフォーカスCD変化は、PW空間全体にわたるCD又はエッジ配置変化の直接的かつ一般的なパラメータ表示を防止するように非線形に強く結合される。
[0047] しかし、空間像は、焦点を通る連続変動を示すものと予想される。すべてのマスクポイントは、投影システムの点広がり関数(point spread function)によって特徴付けられる像平面内の有限サイズのスポットに結像することができる。このスポットは、最良焦点で最小サイズになるが、ポジティブとネガティブ両方のデフォーカスとともにより広い分布になるように連続的にぼける。従って、以下のように露光フィールド内の個々の像点に関する2次多項式として焦点を通る像強度の変動を近似することが可能である。
Figure 2010114444
但し、f0は公称又は最良焦点位置を示し、fはその像Iが計算される実際の焦点レベルである。この2次近似は、十分小さいデフォーカス範囲について十分に適用されるものと予想されるが、その近似の正確さは、必要な場合により高次の項(例えば、3次及び/又は4次の項)を含めることにより容易に改善することができる。実際に、(式4)は、以下のように公称最良焦点面付近の空間像のテイラー級数展開の先頭項として識別することもできる。
Figure 2010114444
これは、原則として、追加のより高次の項をさらに含むように空間像の実際のスルーフォーカス挙動を任意に十分に表現したものに拡張することができる。多項式基本関数の選択は焦点を通る空間像の級数展開を表すための1つの可能性にすぎず、本発明の方法はこの実施形態に制限されず、例えば、基本関数はベッセル関数(Bessel Function)、ルジャンドル関数(Legendre Function)、チェビシェフ関数(Chebyshev Function)、三角関数などの特殊関数にすることができることは注目に値する。加えて、プロセスウィンドウの項はデフォーカス及び露光ドーズに関するスパン変動(spanning variation)として最も一般的に理解されているが、プロセスウィンドウの概念は、NA及びシグマなどの変動などの追加又は代替のパラメータ変動をカバーするように一般化し拡張することができる。
[0048] (式4)と(式5)の比較により、パラメータ「a」及び「b」の物理的意味が1次派生像及び2次派生像として明らかになる。これらは、原則として、すべての像点について有限差分法によって導関数として直接決定し、(式4)及び(式5)に入力して像変動を補間することができる。代替的に、より広い範囲における実際のスルーフォーカス変動と補間との全体的な一致を改善するために、それに関する空間像が{I1,I2,...,IL}として明確に計算される、幾つかの焦点位置{f1,f2,...,fL}において(式4)の最小2乗フィットからパラメータa及びbを求めることができる。次に、最小2乗の意味で以下の連立方程式に対する解としてパラメータ「a」及び「b」を求める(ここで、L>3と想定し、その場合、この連立方程式は過剰決定(over-determined)である)。
[0049] 普遍性を失わずに、表記を簡単にするためにf0=0と想定する。次に、固定像点について、以下の式が得られる。
Figure 2010114444
但し、I0は公称条件(NC)における空間像であり、すなわち、f=f0である。上記の一組の方程式に対する解は、以下の2乗差分の和(sum of squared differences)を最小限にし、指数IはL通りの焦点条件を指す。
Figure 2010114444
但し、Wlはデフォーカスfl(I=1,2,...,L)に対するユーザ割り当ての重みである。{W1,W2,...,WL}により、異なる焦点に異なる重みを割り当てることは可能である。例えば、2次多項式近似によりNCにより近いPW点でより良好なマッチングを得るために、NCに近いより大きい重みとNCから離れるより小さい重みを割り当てることは可能であり、すべての焦点が等しい重要性を持つことが望ましい場合、単純に等しい重みを割り当てることができ、すなわち、W1=W2=...=WL=1になる。公称条件に対する焦点及びドーズの偏差が大きい場合、多くのパターンはプリント時に不安定になり、CDの測定値は信頼できないものになり、このような場合、このようなプロセスウィンドウ条件に対して小さい重みを割り当てることが望ましい可能性がある。
[0050] (式7)を解くために、最良フィットが以下の条件を満たすことは注目に値する。
Figure 2010114444
(式8)は分析的に解くことができ、その結果、以下に示すように{Il}の1次結合又は加重和として「a」及び「b」に関する即時式(immediate expression)が得られる。この1次結合の係数は、ピクセル座標又はパターンに依存せず、{fl}及び{Wl}の値のみに依存する。このため、これらの係数は、fという空間における補間のための線形フィルタを形成するものとして理解することができ、基本関数として特定の多項式を選択することにより、マスクパターンとは無関係に係数の特定の値を誘発する。より具体的には、特定の光学露光設定を把握していないか又は実際に空間像シミュレーションを実行しなくても、{fl}及び{Wl}の値が決定されると、これらの係数の計算が実行される。
[0051] (式8)を解くことに関して、(式7)を以下のように書き直すことができる。
Figure 2010114444
但し、l=1,2,K,Lの場合にΔIl=Il−I0である。
その結果、(式8)を以下のように展開することができる。
Figure 2010114444
したがって、
Figure 2010114444
ここで、
Figure 2010114444
但し、以下に留意されたい。
Figure 2010114444
以下に明らかにする通り、この特性はレジストモデルの項で有用になる。上記の一組の方程式は、より高次の多項式フィットに対処するように容易に一般化することができる。
[0052] 派生像「a」及び「b」を取り入れることの利点は、(式4)を使用すると、PW分析に必要なそれぞれの特定のデフォーカス設定でフルイメージシミュレーション(すなわち、マスクパターンとTCCとの畳み込み)を実行するのではなく、デフォーカスオフセット及び単純加算によりa及びbの像の簡単なスケーリングによって、プロセスウィンドウの任意の点で空間像を予測できることである。加えて、係数(1+ε)だけ像強度を単純に拡大又は縮小することにより、露光ドーズの変化を以下のように表すことができる。
Figure 2010114444
但し、I(x,f)は公称露光ドーズにおける空間像であり、εはドーズの相対的変化である。
これを(式4)と結合すると、以下の一般的結果が得られる。
Figure 2010114444
但し、ΔIは典型的に妥当な範囲のPWパラメータ変動内の小さい摂動になる。
[0053] 上記の方法は、異なるデフォーカス条件で空間像から輪郭、CD、又はエッジ配置誤差(EPE: Edge Placement Errors)が抽出される、図3のフローダイアグラムによって特徴付けられる。図3を参照すると、このプロセスの第1のステップ(ステップ40)は、シミュレートすべきターゲットパターン又はマスクパターンと、使用すべきプロセス条件を識別することである。次のステップ(ステップ42)は、上記の(式3)により公称像I0とM個のデフォーカス像{Il}を生成することである。その後、(式9)を使用して派生像「a」及び「b」を生成する(ステップ43)。次のステップ(ステップ44)は、(式4)、すなわち、I0とa(fでスケーリング済み)及びb(f2でスケーリング済み)との合成を使用してデフォーカス像を生成することを伴う。次に、シミュレートされた像から輪郭を抽出し、CD又はフィーチャEPEを決定する(ステップ46)。次にプロセスはステップ48に移行し、十分な適用範囲があるかどうか(例えば、プロセスウィンドウの境界を決定することが可能であるかどうか)を判断し、答えがnoである場合、プロセスはステップ44に戻り、上記のプロセスを繰り返す。十分な適用範囲がある場合、プロセスは完了する。
[0054] プロセスウィンドウの十分な適用範囲がN個のプロセスウィンドウ点での評価を必要とし、派生像a及びbのフィットにL<N個の像を使用する場合、所定の像I0、a及びbのスケーリングに必要な計算時間は、それぞれの新しいパラメータ設定で投影像について行う独立再計算より著しく少ないので、計算時間の短縮がL/Nに近くなることは注目に値する。上記の方法は一般に、空間像シミュレーションの特定の詳細とは無関係に適用可能である。さらに、空間像並びにシミュレートされたレジスト輪郭が抽出されるレジスト像にも適用可能である。
[0055] また、上記の方法は、変化するデフォーカスで一組の空間像{I1,I2,...,IL}をシミュレートするために使用される特定のモデル又は実現例に依存しない。しかし、上記の方法は、考慮中のマスクレイアウトごとに幾つかの(L>2)個別の像をシミュレートする必要がある。本発明の方法の第2の実施形態では、(式1)に取り入れられたTCC形式主義により、さらに効率的な解法が可能になる。
[0056] (式1)により、焦点fl(l=0,1,...,L)における各空間像を以下のように定義することができる。
Figure 2010114444
但し、TCClは焦点flにおけるTCCであり、TCCl,k’,k”はTCClの行列要素であり、M(・)は焦点とは無関係のマスクイメージを表す。
[0057] これを(式9)と結合し、加法次数を交換すると、
Figure 2010114444
それ故、2つの新しいTCCが以下のようにTCCl(l=0,1,...,L)の1次結合として定義される場合、
Figure 2010114444
「a」及び「b」はA及びBから直接計算できる「空間像」であり、すなわち、
Figure 2010114444
但し、
Figure 2010114444
及び
Figure 2010114444
はそれぞれA及びBの行列要素である。これは、異なる平面の空間像の1次結合がこれらの平面に対応するTCCの単一1次結合を使用して計算できることを意味する。
[0058] L個のスルーフォーカス像の代わりにTCC0、A、及びBを使用する重大な利点は、照明及び投影パラメータが既知である場合に、実際のマスクパターンとは無関係に、TCC0、A、及びBを事前計算することができ、それにより、以下に詳述するように(マスクパターンごとにL回のスルーフォーカスシミュレーションから)計算時間をさらに短縮する可能性がもたらされることである。A及びBいずれの生成も異なるデフォーカス条件で一組の空間像の計算を必要とせず、この一組の空間像からの較正も必要としないことは注目に値する。TCC0、A、及びBが計算されると、一般にこれらの項を適用し、(式15)及び(式4)を使用して任意の特定のマスク設計についてスルーフォーカス結像性能を予測することができる。スルーフォーカス変動の他に、上記の(式11)及び(式12)によって記述されているものと同じ線形スケーリングにより、公称条件付近の露光ドーズの変動をTCCの項に適用することができる。
[0059] TCC A及びBから派生像a及びbを計算すると、(式2)に関連する考察のように、A及びBのドミナント項のみを使用することにより、計算時間をさらに短縮することができる。より具体的には、TCC0、A、及びBの対角化が以下のようになると想定する。
Figure 2010114444
但し、λ0,i(i=1,...,N0)はN0個の最大固有値を示し、φ0,i(・)はTCC行列TCC0の対応する固有ベクトルを示し、λA,i(i=1,...,NA)はNA個の最大固有値を示し、φA,i(・)はTCC行列Aの対応する固有ベクトルを示し、λB,i(i=1,...,NB)はNB個の最大固有値を示し、φB,i(・)はTCC行列Bの対応する固有ベクトルを示す。次に、(式3)から、マスクイメージM(・)の場合、以下のようになる。
Figure 2010114444
但し、I0は公称空間像であり、
Figure 2010114444
Figure 2010114444
及び
Figure 2010114444
である。
より多くのTCC項を使用すると、光学モデルの正確さ並びに光学モデル成分及びレジストモデル成分の分離性を改善することができる。しかし、像又はTCC導関数はPW内の比較的軽微な像変動、典型的におよそ10%のCD変動に関連するので、A及びB項については公称条件TCC0の場合より少ない項で十分である可能性がある。例えば、TCC0について64個の項を考慮する場合(すなわち、N0=64)、十分なCD予測精度を達成するために、典型的にA及びB項のそれぞれについて32個の項のみが必要になり、すなわち、NA=NB=32である。この場合、公称条件I0と比較して、派生像a及びbを生成するために、ほぼ同じ量の計算時間が必要になる。元のTCC行列とは異なり、A又はBなどの係数TCC行列は一般に非負定値(non-negative-definite)ではなく、派生TCC行列について正及び負両方の固有値が存在することを意味することは注目に値する。従って、固有級数展開及び切り捨てからの主要項(leading term)は、正負両方の最大絶対値を有するすべての固有値を含むはずである。
[0060] (式5)と同様に、(式14)は代替的に級数展開から導出することができる。より具体的には、公称又は最良焦点f0付近のTCC行列要素の変形は以下のように級数展開として表すこともできる。
Figure 2010114444
[0061] それ故、級数展開の係数は、数値有限差分法により直接評価するか、又は前の項で述べた空間像のスルーフォーカスフィットと同様に一組の焦点位置に対応する幾つかの個別に計算されたTCC項に対する最小2乗フィットにより評価することができる。このフィット手法は、より広範囲の妥当性を提供し、PWの特定の部分に大なり小なり重きを置くための重み因子を取り入れる。この手法は、一組のテストイメージIlを式内のそれぞれの対応するTCCで置き換えた後、(式6)〜(式9)に従う。その結果、同じくIlをTCClで形式的に置き換えた後、上記と同じ1次結合により最良フィット派生行列A及びBが得られ、すなわち、以下のようになる。
Figure 2010114444
但し、hal及びhblはこの場合も(式9)を使用して計算される。hal及びhblは、パターン又はTCClに依存しない定数であることは注目に値する。それ故、A及びBは単純に公称条件TCC0と種々のデフォーカス条件(TCC1〜TCCL)における一組のTCCとの1次結合になる。
(式19)は(式14)と同じであるので、2つの代替手法によって同じ最終公式に至ることは認識されるであろう。同様に、(式4)も(式15)、(式18)、及び(式19)から導出することができる。
[0062] 特定の諸実施形態では、異なるデフォーカス条件で空間像から輪郭、CD、又はエッジ配置誤差(EPE)が抽出される、図4のフローダイアグラムで提供される例によって示される方法を使用する。このプロセスの第1のステップ(ステップ50)は、所望のプロセスに関連するプロセス固有光学条件を識別することである。次のステップ(ステップ52)は、公称条件TCC0とL個のデフォーカス{TCCl}を生成することである。その後、(式14)を使用して派生TCC、A及びBを生成する(ステップ54)。次のステップ(ステップ58)は、(式17)を使用してマスクイメージとTCC0、A及びBとの畳み込みにより像I0、a、bを生成する。次に、それぞれのマスク設計について(ステップ56)、(式4)を使用してデフォーカス像を合成し(ステップ60)、それにより、シミュレートされた像を生成する。次に、シミュレートされた像から輪郭を抽出し、CD又はフィーチャEPEを決定する(ステップ62)。次にプロセスはステップ64に移行し、十分な適用範囲があるかどうかを判断してプロセスウィンドウの境界を決定し、答えがnoである場合、プロセスはステップ58に戻り、上記のプロセスを繰り返す。十分な適用範囲がある場合、プロセスはステップ66に移行し、マスク設計によって生成された像が許容誤差の許容範囲内であるかどうかを判断し、範囲内である場合、プロセスは完了する。範囲内ではない場合、プロセスは、マスクの調整及び再設計を可能にするためにステップ56に戻る。この最後のステップがプロセス内で任意選択のステップであることは注目に値する。
[0063] 図4に描写されているフローチャートは、初期マスク設計のインタラクティブPWアウェアOPCモディフィケイション(interactive PW-aware OPC modifications)に必要である可能性のある「マスクバリエイションループ(mask variation loop)」内に組み込まれるPW分析の例を示している。この状況では、スルーPWイメージアセスメント(through-PW image assessment)に関する計算速度の改善は特に有利になる。
[0064] 光学システムの物理的現象に関する演繹的知識又は他の適切な仮定によって計算時間をさらに短縮することができる。例えば、強力な収差がない場合、空間像強度のスルーフォーカス変動がデフォーカスの偶(すなわち、対称)関数になると予想することができる。従って、1次導関数「A」及び「a」はこれらの条件下で取るに足らないものになると予想することができる。
[0065] この単純化は、公称焦点がf0=0にある場合にデフォーカスの効果が瞳関数に位相ファクタを掛けたものp=p0exp[ja(f−f0)]2に対応することに留意することによってさらに正当化することができる。デフォーカスが小さい場合、位相シフトは、1次の項を含まないテイラー展開、すなわち、p=p0.[1+ja(f−f02]によって近似値を求めることができる。
[0066] 上記の方法はいずれも、露光ドーズ及びデフォーカスに加えて、異なるか又は追加の基本パラメータによって設定可能な一般化したプロセスウィンドウ定義に拡張することもできる。これらは、レジストレイヤのNA、シグマ、収差、偏光、又は光学定数などの光学設定を含むことができる(結像プロセスに対するその効果は光学モデル、すなわち、TCCに含まれる)。公称条件付近のNAの変動を含む一例では、以下のように空間像を表すことができる。
Figure 2010114444
但し、I、I0、a、・・・、eは、それぞれ、2次元像及び像導関数である。追加のパラメータ「c」、「d」、及び「e」は、f及びNAについて変化するパラメータ値で一組のシミュレートされた像又は一組のシミュレートされたTCCに対する最小2乗フィットによって決定することができ、(式11)及び(式12)のように露光ドーズによるスケーリングは依然として適用される。(式9)と同様に、これらのパラメータ(a、b、c、d、及び交差項係数e)はこの場合も空間像{Il}の1次結合である。この1次結合の係数は、ピクセル座標又はパターンに依存せず、{fl}、{NAl}、及び/又はユーザ割り当ての重み{Wl}の値のみに依存する。
[0067] この一般化したPWモデルの場合、物理的洞察に基づく単純化も可能である。例えば、NA変動の場合、これらは像変動に対してかなり単調な1次効果を有することになり、その場合、(式20)は、おそらくデフォーカスの1次の項に加えて、NA内の高次の「d」及び「e」項を落とすことによって単純化できると予想することができる。また、いずれの一般化したPW定義の場合でも、公称条件でI0を計算するために使用されるTCC項の数は、TCC導関数A、B、・・・から像変動を計算するために使用される項の数と同じである必要はない。公称条件付近のパラメータ変動が小さいことによる軽微な像変動を十分に正確に記述することは、全体的な計算時間を短縮するために、I0に関するより多くの項と、導関数に関する著しく小さい数によって達成することができる。
[0068] 簡潔にするために、以下の考察はデフォーカス及び露光ドーズに基づくものになる。しかし、本明細書の開示内容はいずれも、(式20)に示されている通り、レジストレイヤのNA、シグマ、収差、偏光、又は光学定数などの他のパラメータとともに一般化したPWに拡張できることに留意されたい。上記の例では、PWパラメータの範囲に関する最良焦点の付近で空間像に関する分析式が開発された。以下の記述では、PW全域でシミュレートされたレジスト輪郭を抽出するための基礎を形成するレジスト像を計算するための同様の式及び方法を導出する。
分離可能線形レジストモデル(Separable, Linear Resist Model)
[0069] 投影された空間像による照明に対するフォトレジストの応答は、しきい値化挙動を有し、強力に非線形になる可能性があるが、PEB中の拡散など、レジストレイヤ内で行われる多くのプロセスは、しきい値を適用する前に1つ又は複数の線形フィルタにより空間像を畳み込むことによってモデル化することができる。このようなモデルは一般に「線形」レジストモデルと呼ばれ、このようなモデルの潜在するレジスト像は以下のように概略的に表すことができる。
Figure 2010114444
この場合、P{}は線形フィルタを適用する関数アクション(すなわち、一般に畳み込み)を示し、Rbは空間像とは無関係なマスクローディングバイアス(mask loading bias)である。レジストしきい値は、レジスト輪郭がR(x)=0である位置に対応するようなRbに含まれるものと理解されている。
[0070] 上記で導出された一般的なスケーリング済み補間空間像、すなわち、(普遍性を失わずにf0=0と想定した式12)にこのモデルを適用すると、以下の式になる。
Figure 2010114444
但し、R0は公称条件(NC)におけるレジスト像である。露光ドーズ及び焦点(又はその他のPWパラメータ)の変化によるすべての補正は、NCにおける像I0に対するものと同じフィルタを派生像a、bに適用することと、補正項の単純なスケーリング及び加法によって導出することができる。
[0071] その上、空間領域内のフィルタとの畳み込みは周波数領域内のフィルタのフーリエ級数成分による乗算と同等であるので、線形フィルタの効果は結像TCC形式主義に含めることができる。空間像式(式1)から始めて、以下のようになる。
Figure 2010114444
k’、k”におけるTCC行列要素がTCCk’,k”M(k’)M*(k”)という量だけI(x)の(k’−k”)周波数成分に寄与することが示されている。従って、レジスト像は以下の式で定義される。
Figure 2010114444
但し、g(x)はフーリエ変換がG(k)になる空間フィルタであり、上記のレジスト像は以下のように表すことができ、
Figure 2010114444
この場合新しいTCC行列は、
Figure 2010114444
として定義される。
[0072] この手順により、線形フィルタは双線形TCC行列に取り入れられ、従って、純粋に光学的な空間像に適用可能なすべての計算手順を線形フィルタリング済み空間像に適用することができる。完全なレジスト像は(式1)の単一評価によって生成することができ、唯一の変更はフィルタPのフーリエ係数に対応する重み因子を加えることであるので、この特性によって全体的な計算時間の大幅な短縮が可能になる。任意の所与のマスク設計入力の場合、この公式化により、1回のパスで、事前計算しフィルタ調整したTCC0、A、及びB行列から像P{I0}、P{a}、P{b}を直接生成できるであろう。次に、(式22)は、これら3つの像の1次結合として任意のPW点に関する実際のレジスト像を定義する。
非分離可能線形レジストモデル(Non-separable, linear resist model)
[0073] 前の考察では、レジストモデルを確立する線形フィルタのすべてのパラメータがプロセスウィンドウパラメータの変動全域で一定であることが暗黙のうちに想定されていた。これは、レジストモデルパラメータが光学モデルパラメータとは無関係であるという分離可能リソグラフィモデル全体に関する1つの条件に相当する。分離性に関する実用テストは、モデルを正確に較正し、PWの範囲全域でテストデータをフィットさせる能力である。実際には、フルチップリソグラフィシミュレーションに適したモデルの半経験的性質は、完全な分離性を妨げる可能性があり、レジストモデルパラメータがデフォーカス、NA、又はシグマ設定などのPWパラメータにつれて変化できるようにする必要がある場合もある。物理的に動機付けされたモデルの場合、PW変数の変動を受けてモデルパラメータが滑らかに変化することが予想される(又は制約として要求される)はずである。この場合、レジスト像の級数展開はレジストモデルパラメータの派生項を含むことができる。
[0074] 例証のため、デフォーカスを唯一のPWパラメータと見なす。線形レジストモデルが1つの線形フィルタ(又は多数の線形フィルタ)との畳み込みと同等である場合、分離可能モデルは以下の式で記述することができる。
Figure 2010114444
これに対して、非分離可能モデルは以下のようにフィルタの明示的なf依存を必要とする可能性がある。
Figure 2010114444
[0075] 次に、以下のように1次まで本明細書で例示するために、スルーフォーカス変化を考慮して、プロフォルマ(pro-forma)級数展開を(式24)に適用することができる。
Figure 2010114444
但し、
Figure 2010114444
[0076] レジストモデルパラメータがPW空間全域で連続的に変化すると判明した場合、AI及びTCCについて上記で紹介した同様の級数展開及びフィットはモデル較正中にレジストモデルパラメータに適用することができる。この場合、線形派生フィルタapを計算して、(式25)で使用することができ、その式はより高次の項を含むように簡単に拡張することができる。この状況では、レジストモデルパラメータ並びに空間像変動は完全なPW領域全域で円滑に補間される。P及びapはいずれも、テスト又はゲージパターンからの実験ウェーハデータに基づいてスルーPWモデル較正ステップで決定することができる。
[0077] しかし、レジストモデルパラメータがPW全域で非単調に変化するように見える場合でも、較正点間の区分的補間により任意のPW点について「最良推量(best-guess)」レジストモデルパラメータが得られる可能性がある。
一般レジストモデル(General Resist Model)
[0078] 空間像又はレジスト像の切り捨てなどの非線形動作を含むことができる一般レジストモデルの場合、(式22)に示されているように、公称条件及び派生項への簡単な分離はもはや有効ではない。しかし、非線形動作を処理するための代替方法が3通りある。
i)関連線形フィルタ(Associated Linear Filter)
[0079] まず、線形フィルタP{}はもはやNC(標準条件)でレジストモデルを正確に記述しないという再解釈により、PWによるレジスト像の一般的変動は(式22)の2行目によって形式的に近似値を求めることができるものと想定する。その代わりに、線形フィルタP{}は、NCに対するディファレンシャルレジスト像変化(differential resist image change)の最良表現を再現するように選択される。非線形モデルはNCにおいて最も正確なモデルフィットを保証することができるが、線形モデルより著しく長い計算時間を必要とする可能性がある。ディファレンシャルスルーPW挙動をエミュレートするためにこのような関連線形フィルタに依存することにより、R0(x)を生成するために非線形モデルの単一評価のみが必要になり、多数のPW条件におけるPW分析はP{I0}、P{a}、P{b}のより効率的な評価に基づいて行うことができる。
[0080] 公称条件レジストモデル並びに関連フィルタの係数は、米国特許出願第60/719,837号に記載されている方法の拡張として、パターン変動及びプロセスウィンドウ変動をカバーする較正テストパターン及びウェーハゲージデータに基づく統一モデル較正手順(unified model calibration procedure)から決定することができる。
[0081] さらに、有効な統一PWモデル(FEM)が米国特許出願第60/719,837号に示されている方法で生成され較正されると、そのモデルはレジスト像のスルーPW変化の最良予測を可能にする。最適関連フィルタのパラメータは、追加の実験較正データの必要性なしに、関連フィルタを使用する簡易モデルと完全な較正済みモデルとの全体的な差(RMS(2乗平均平方根))を最小限にすることによって決定することができる。
[0082] フルモデルを使用すると、例えば、1D(線/空間)及び2D(ラインエンドなど)パターンを含む、任意の適切な数及び範囲のテスト構造の場合、任意の数のPW点について「正確な」レジスト像及び輪郭をシミュレートすることができる。加えて、派生像a及びbの値は、レジスト輪郭の付近で計算することができる。それぞれのパターンごとに、R(x)スルーPWの変化がパターン固有ゲージ点、例えば、ラインエンドテストパターン用のラインの先端で、あるいはNCレジスト輪郭の任意の線に沿って計算される。これらの評価点xiのそれぞれで、以下のようになる。
Figure 2010114444
iはレジスト輪郭上にあるものと想定され、式中、R(xi,ε=0,f=f0)=0である。ΔR(xi,ε,f)は以下の式によって適切に近似値を求めなければならない。
Figure 2010114444
[0083] 従って、最適関連フィルタは、(式27)と(式28)との差の平方和を最小限にし、種々の既知の最適化アルゴリズムによって決定することができる。関連フィルタフィット中の(式27)及び(式28)の評価をレジスト輪郭で実行しなければならず、従って、その結果のフィルタはエッジ位置に近い変化を最も厳密に再現することは注目に値する。エッジ位置から離れたところで、レジスト像レベルの変化を正確に予測することに関する関連フィルタの性能は、一般に必要ではない。このフィットルーチン後、レジスト像のフルPW挙動はもう一度、以下のように記述される。
Figure 2010114444
但し、フィルタリングしたディファレンシャルイメージはTCC形式主義内で効率的に計算することができ、ΔRは比較的小さい摂動を構成し、任意のPW点におけるレジスト像は4つの像R0、P{I0}、P{a}、及びP{b}の単純な1次結合から予測することができる。
ii)埋め込み線形化(Embedded Linearization)
[0084] 上記の手法は、すべてのパターン固有ゲージ点に関するか又はNC(公称条件)レジスト輪郭の任意の点に沿った(RMS)差を最小限にする単一線形フィルタであるという点で最適な線形化フィルタ(すなわち、関連フィルタ)を提供するものである。次に、派生レジスト像の計算にレジストモデル線形化を取り入れる代替手法について考察する。
[0085] より具体的には、(式2)でa及びbを求めた後、目標は、R0、Ra、及びRbを識別することになり、その結果、以下のようなその1次結合(普遍性を失わずにf0=0と想定する)が最良フィットになる。
Figure 2010114444
その条件は以下の通りである。
Figure 2010114444
その対象範囲は、おそらく一組の重み{W1,W2,...,WL}を有する幾つかの焦点位置fl={f1,f2,...,fL}であり、上記式中、R0はNCにおけるレジスト像である。(式31)は本質的に(式2)に表された空間像にレジストモデルR{・}を適用している。レジストモデルR{・}は非線形にすることができ、それ故、Ra及びRbは必ずしもP{a}及びP{b}又はR{a}及びR{b}ではない。このため、以下のようになる。
Figure 2010114444
但し、hal及びhblは(式9)で定義された係数である。この係数は、{f1,f2,...,fL}及びおそらく{W1,W2,...,WL}のみに依存し、R(x,fl)又はI(x,fl)とは無関係である。
[0086] 一般に、レジストモデルR{・}は以下のように分離することができる。
Figure 2010114444
但し、Rbは空間像I(x)又は焦点とは無関係のマスクローディングバイアスであり、P{}は線形フィルタ動作であり、PNL{}は何らかの非線形動作である。(式32)と(式33)を結合すると、以下のようになる。
Figure 2010114444
[0087] 前述の通り、P{}は線形動作であるので、以下のようになる。
Figure 2010114444
[0088] 予想通り、上記の(式9)及び(式10)を用いて以下の結果を導出することは可能である。
Figure 2010114444
それ故、Ra及びRbは以下の式から計算することができる。
Figure 2010114444
[0089] この手法の利点は、単一線形フィルタを使用してすべてのゲージ点についてディファレンシャルスルーPW挙動を捕捉しようと試みないことである。むしろ、この手法は、各ピクセルごとに(RMS)差を最小限にし、それにより、全体的な正確さを改善する。加えて、この手法は、パターン固有ゲージ点またはすべてのNCレジスト輪郭隣接点の識別を必要としない。欠点の1つは、この手法によりRa及びRbの場合に計算の複雑さがわずかに増加することである。しかし、スルーPWレジスト像の合成はR0、Ra、及びRbのスケーリング及び加算のみを必要とし、派生像の計算の複雑さの増加は一般に、特に高密度のPWサンプリングの場合にスルーPWレジスト像の計算の複雑さの低減と比較して重要なものではない。
iii)非線形動作の多項式近似(Polynomial Approximation of Non-Linear operations)
[0090] 第3の手法では、多項式を使用して非線形レジストモデル動作の近似値を求める。より具体的には、像I(x)に関する切り捨て動作の場合、酸及び塩基の反応効果をエミュレートするために、その像の2次多項式が十分な近似を可能にする。もう1つの典型的な非線形動作である像傾斜(image slope)の線形フィルタリングは、像勾配(image gradient)G{I(x)}=I(x)−I(x−1)の2次関数の線形フィルタリング、それ故、空間像I(x)自体の2次多項式として精密に表すことができる。より具体的には、G{}が勾配動作であり、線形フィルタがPSlope{・}であるとすると、この非線形動作は以下のように表すことができる。
Figure 2010114444
[0091] 要約するために、空間像I(x)からのレジスト像は以下のように近似されることができる。
Figure 2010114444
[0092] この場合も、P1{・}は空間像項に関する線形フィルタを表し、P2{・}は空間像平方項に関する線形フィルタを表し、PSlope{・}は空間像勾配項に関する線形フィルタを表し、Rbはイメージパターンとは無関係のマスクローディングバイアスである。それ故、レジスト像は、デフォーカス値の4次多項式として表される。しかし、典型的な適用例では、R3(x)及びR4(x)は非常に小さいので、計算効率を改善するために無視することができる。
[0093] 上記の通り、リソグラフィ設計検証の目標は、プリントされたレジストエッジ及び線幅が設計ターゲットから既定の距離内にあることを確認することである。同様に、プロセスウィンドウのサイズ、すなわち、露光寛容度及び焦点深度は、指定のマージン内に入るCD又はエッジ配置によって定義される。上記で概要を示した種々の方法は、焦点及び露光ドーズ又はその他の一般化したPWパラメータの変動によるレジスト像信号レベルの変化を決定するための非常に効率的な方法を提供する。それぞれの方法により、NC(公称条件)像R0の摂動としてスルーPWレジスト像変動ΔRの近似式が得られた。
[0094] CD又はエッジ配置の許容範囲が小さいので、このようなR(x)の変化をエッジ配置の変化に関連させるために、ほとんどの場合、1次近似で十分である。従って、任意のレジスト輪郭(R=0)の横方向シフト(すなわち、エッジ配置の変化)は単純に元の(すなわち、NC)輪郭位置の像勾配G及び焦点、ドーズなどの変動によるレジスト像レベルの変化ΔRによって以下のように近似値が求められる。
Figure 2010114444
但し、初期輪郭位置と勾配の両方がNCにおけるレジスト像、すなわち、R0(x,y)から決定される。2次元エッジシフトは、各方向の偏像導関数によって、又は絶対勾配値を使用する絶対シフトとして、x及びy方向に別々に計算することができ、すなわち、Sx=R0(x,y)−R0(x−1,y)とSy=R0(x,y)−R0(x,y−1)の幾何学的合計であり、すなわち、絶対勾配値
Figure 2010114444
である。
[0095] 上記の説明から、エッジシフトは、上記で定義されたディファレンシャル像の関数として以下のように直接表すことができる。
Figure 2010114444
これに対して、CD又は線幅の変化は線の一方の側の個々のエッジ配置シフトを加算することによって決定することができ、その結果、一般に、ΔCD=2・ΔEPになる。明らかに、(式41)は、CD又はEPE曲線の典型的な2次様スルーフォーカス挙動を再現することができる。より重要なことに、[R0,P{I0},P{a},P{b}]などの一組の像の計算は、NCにおける単一像をシミュレートするよりわずか〜1x多い計算で実施することができ(ディファレンシャルに関する十分な正確さのために必要なTCC項はより少ないと想定する)、この計算を行った後、時間のかかるイメージシミュレーションをさらに行う必要なしに設計上のすべての単一エッジ位置について完全なPWを分析的に精密に計画するために(式41)を適用することができる。この方法を例示するための汎用フローダイアグラムは図5に示されている。
[0096] 図5を参照すると、最初のステップ(ステップ80)は、結像プロセスで使用されるリソグラフィプロセス及びシステムに関連するプロセス固有パラメータを定義することを伴う。その後、(式14)を使用して派生TCC A及びBを生成する(ステップ82)。ステップ84では、複数のプロセスウィンドウ条件について較正テストデータを取得する。ステップ85では、部分的にステップ82の結果を使用して、R0{}に関するモデルパラメータ及び/又は関連フィルタP{}を決定する。次に、ターゲットマスクパターン又は設計を定義する(ステップ86)。次にプロセスは、ステップ88でR0(x)、P{I0}、P{a}、及びP{b}などの像を生成し始める。次に、シミュレートされた像を合成し、NC輪郭を抽出し、所与の一組のエッジ位置{xi}でフィーチャEPEを決定する(ステップ90)。次にプロセスはステップ92に移行し、エッジ位置{xi}でプロセスウィンドウによりEPE又はCD変動を決定する。最後に、ステップ94では、ステップ92で得られた結果を分析し、結果の像が定義済み誤差許容範囲内であるかどうかを判断し、それ故、共通プロセスウィンドウを決定するとともに、設計内の任意の問題エリア(すなわち、ホットスポット)を識別する。
[0097] 上記で詳述した方法、特に(式41)は、リソグラフィ設計検査の広範囲のタスクについて非常に柔軟に適用することができる。このような適用例の一部について、以下に簡単に概要を示す。しかし、本発明は本明細書に開示されている適用例に限定されないことは注目に値する。
[0098] 任意の特定のエッジ又はCDの場合、(式41)により、CD、EP、又はラインエンド変動の所与の許容範囲について公称ドーズで焦点寛容度(=DOF(焦点深度))を簡単に決定することができる。
[0099] 任意の特定のエッジ又はCDの場合、(式41)により、CD、EP、又はラインエンド変動の所与の許容範囲について公称焦点で露光ドーズを簡単に決定することができる。
[00100] 任意の特定のエッジ又はCDの場合、(式41)により、CD、EP、又はラインエンド変動の所与の許容範囲について{F,E}空間内のPW又は一般化したPW空間の形状、中心、及び面積を簡単にマッピングすることができる。
[00101] フルチップ設計及びすべての関連パターン/フィーチャタイプをカバーする一組のエッジ又はCDの場合、その設計の共通プロセスウィンドウを効率的に計算することができ、共通PWをセンタリングするためにプロセス補正を導出することができる。
[00102] 中心をはずれたPW又は小さいPWのいずれかを有することにより、共通PWの内部境界を定義する重大かつ制限的なパターンを識別することができる。
[00103] 共通PW領域については、EP又はCD変動に関する許容範囲仕様の関数として精密に計画することができる。この感度分析は、設計感度に応じて歩留まり推定を提供することができる。
[00104] 設計ホットスポットは、所定のしきい値を下回るPW領域、DOF、又は露光寛容度を有するパターンとして、(式41)を使用してフルチップ分析から識別することができる。次に、フルPWシミュレーションにより、すなわち、PW全域の多くの点でイメージ及びレジスト輪郭シミュレーションを繰り返すためにフルシミュレーションモデルを使用して、これらの重大なパターンの挙動を詳細に調査することができる。
較正時の適用(Application in Calibration)
[00105] 典型的に、光学パラメータに関する情報は通常、かなり正確であるので、較正時の光学チューニングの量はかなり少ない。その結果、すべての光学パラメータに対する依存状態は、関連パラメータの多項式に正確に展開することができ、例えば、(式20)に示されているように、焦点及びNAなどの光学パラメータの多項式として空間像を表すことができる。
[00106] 従って、このような多項式展開は、2通りの態様で較正時に適用することができる。まず、較正プロセスを加速するために展開を適用することができる。現在の較正プロセスでは、光学及びレジストパラメータは典型的に、特定の既知のパターンのウェーハCDにより識別される。NA、焦点f、ドーズεなどの完全な一組の光学パラメータについて、これらのパラメータの複雑な関数、すなわち、以下の関数としてTCCを決定することができる。
Figure 2010114444
[00107] そのマスクイメージM(k)(周波数領域内)によって示されるパターンの場合、空間像I(x)は(式1)又は(式3)で計算することができる。次に、レジスト像R(x)は(式21)によって評価することができ、その後、レジスト輪郭及びCDを決定することができる。このプロセスは、図2のステップ32、34、及び36として実証されている。表記の単純化のため、このプロセスはSIMU(・)という関数にカプセル化され、すなわち、以下のようになる。
Figure 2010114444
但し、Mはマスクイメージであり、p1,...,pNは、NA、f、ε、...などの光学パラメータ及びレジストパラメータを含むことができるN個のモデルパラメータである。この説明では、この方法を実証するための模範的な較正ターゲットとしてCDが使用されているが、この方法は、輪郭などの他の較正ターゲットに適用することもできる。
[00108] この較正プロセスは、本質的にモデルシミュレーションの逆の問題であり、すなわち、較正時に、L個のマスクイメージ(M1,M2,...,ML)及び対応する測定ウェーハCD(CD1,CD2,...,CDL)の場合、光学パラメータ及びレジストパラメータを識別することが望ましい。実際には、最適パラメータ値は以下の式に対応する。
Figure 2010114444
すなわち、最適パラメータ値は
Figure 2010114444
というオブジェクト関数を最小限にし、これは測定ウェーハCDとシミュレートされたウェーハCDとのユークリッド距離である。現在の較正、特に光学パラメータ較正では、総当たりサーチが使用される。図8に関連して説明すると、総当たりサーチでは、まずステップ800でパラメータの可能なサーチ範囲を決定する。例えば、各パラメータのサーチ範囲pn(n=1,...,N)は典型的に{pn,l,...,pn,Ln}という点の有限集合である。その結果、N個のパラメータについて合計でN個のこのような集合が存在し、可能なパラメータの組合せ(可能なプロセス条件)の総数は
Figure 2010114444
になる。次に、パラメータ値のそれぞれの組合せについて(すなわち、それぞれの可能なプロセス条件について)、ステップ802で対応する光学モデル及びレジストモデルを計算する。種々のマスクパターン803について、ステップ804で輪郭及びCDを予測することができる。ステップ806では、予測輪郭及びCDを対応する測定輪郭及びCD805と比較することができる。すべての可能なパラメータ値の組合せ(すなわち、可能なプロセス条件)を使い果たした後、ステップ808で最小ユークリッド距離を有する最適な組合せを選択する。SIMU(・)関数は複雑なので、可能なパラメータの組合せの総数(SR)が大きくなると、このような総当たり手法の計算の複雑さは法外な高さになる場合が多い。例えば、各パラメータごとのサーチグリッド点の数が定数Lである場合(すなわち、任意のn=1,...,Nの場合にLn=L)、計算の複雑さはサーチすべきパラメータの数Nに対して級数的になる。
[00109] 次に、図9に関連して説明すると、記載されている多項式展開手法では、パラメータの単純な多項式(例えば、焦点及びドーズのみを較正する場合に(式41)で(式43)を置換すること)によって、SIMU(・)関数の近似値を求めることができ、その場合、計算効率が大いに改善される。従って、一実施形態では、まずステップ902で1つの可能なプロセス条件を「公称条件」として選択し、ステップ904で伝統的な方法で「公称」CDを計算する。次に、「公称条件」に関して多項式展開を適用することができ、ステップ906で他のすべてのプロセス条件におけるCDを(式41)を使用して計算することができる。例えば、焦点及びドーズのみを較正し、f0及びε0がそれぞれ「公称」焦点及びドーズを示す場合、(式44)は以下のようになる。
Figure 2010114444
この実施形態では、それぞれのマスクイメージMlごとに、SIMU()関数は1回評価されるだけであることに留意されたい。すなわち、すべての可能なプロセス条件について、SIMU()は「公称条件」について評価されるだけであり、これにより計算コストが著しく低減される。
[00110] この多項式展開は較正時にさらに適用することができる。このモデルは、NAなどの特定のパラメータに関して線形である。このような1次の項の場合、もう1つの実施形態で較正をさらに改善することができる。CDがすべてのパラメータに関して線形である場合、マスクイメージMについて、SIMU(・)関数(式43)を以下のように書き直すことができる。
Figure 2010114444
ここで、
Figure 2010114444
であり、(n=1,...,N)は前の公式を使用して計算された一定の係数であり、pn0はpnの「公称」値である。cn(M)はすべてのパラメータpn(n=1,...,N)とは無関係であるが、マスクイメージに依存することに留意されたい。
その結果、(式44)を以下のように書き直すことができる。
Figure 2010114444
[00111] (式47)はこの場合も最小2乗の方法を使用して解くことができ、その結果、グローバル最適解(global optimal solution)が得られる。それぞれのパラメータpm(m=1,...,N)に関するユークリッド距離の導関数が計算され、0に設定され、すなわち、以下のようになる。
Figure 2010114444
N個の未知のパラメータpn(n=1,...,N)についてN(m=1,...,N)個のこのような1次方程式があり、これらの方程式の解は探求したグローバル最適値を表す。
この解のための一般公式は以下の通りである。
Figure 2010114444
但し、Δは以下のように定義されたN×Nの行列である。
Figure 2010114444
また、Γは以下のように定義されたN次元ベクトルである。
Figure 2010114444
この手法により、パラメータのサーチ範囲を決定し、多くの可能なプロセス条件手法についてレジストCDをシミュレートする必要性が除去される。それ故、速度と正確さがいずれも改善される。
[00112] さらに、これらの非線形パラメータ(すべての線形パラメータを含む)について、そのパラメータの良好な初期推定が入手可能である場合、幾つかの数値最適化アルゴリズムを使用することができる。それを解く方法を実証するための一例として、ニュートン法(ニュートン−ラフソン法又はニュートン−フーリエ法あるいはマルチステージ線形化最小2乗フィットとしても知られている)を最初に使用することもできる。それぞれのパラメータpn(n=1,...,N)がその「公称」値pn0付近の小さい範囲内にある場合、(式46)に示されているように関数SIMU()をpn0(n=1,...,N)付近で展開することができる。この場合、より高次の効果はすべて無視され、その結果、最適化問題を最小2乗フィット問題として処理し、(式48)を使用して解くことができることに留意されたい。
Figure 2010114444
を使用して(式48)の解を示すと、それらはパラメータのより良好な推定値を表す。その場合、pn0(n=1,...,N)は
Figure 2010114444
で置き換えることができ、この場合は
Figure 2010114444
付近で多項式展開を繰り返すことができる。次に、パラメータ値が収束するまで又は最大反復数などの何らかの反復制約に遭遇するまで、最小2乗フィット及び線形展開のこのプロセスを繰り返すことができる。(式49)で定義された2Δはオブジェクト関数
Figure 2010114444
のヘッセの行列(Hessian matrix)とも呼ばれ、2Γは実際はそのオブジェクト関数の勾配であることに留意されたい。このプロセスは図10に示されている。
[00113] この問題は多次元最適化の一般的分野内に分類できることが認識されるであろう。従って、例えば、勾配降下アルゴリズム(Gradient descent algorithm)、ガウス・ニュートンアルゴリズム(Gaussian-Newton algorithm)、レーベンバーグ・マルカートアルゴリズム(Levenberg-Marquardt algorithm)などを含む、幾つかの代替方法を使用することができる。これらの方法はいずれも、サーチ範囲の要件を除去することができ、速度及び較正精度を著しく改善することができる。
[00114] さらに、CDに対して強い2次又はそれ以上の高次効果を有する、焦点などの特定のパラメータが存在する可能性がある。このようなパラメータの場合、多くの反復が収束する必要がある可能性があるので、線形化近似(式46)は十分機能しない可能性がある。この場合、例えば、(式20)を使用して、より高次の項を保持することができる。これらの項で(式44)を解くために、線形最小2乗の方法を直接適用しない場合もある。しかし、ニュートン法、勾配降下アルゴリズム、ガウス・ニュートンアルゴリズム、レーベンバーグ・マルカートアルゴリズムなどのアルゴリズムは、一般的な非線形最適化問題について機能するので、これらのアルゴリズムを使用して、最適パラメータを計算することができる。このような手法はそれぞれの反復の複雑さを増す可能性があるが、より高次の項によってCDについてより良好な近似を達成することができ、それにより、反復数を削減することができる。
[00115] 大半の適用例には、光学パラメータについて十分な知識が存在する。しかし、特定のレジストモデル形式の場合、レジストパラメータについて良好な初期推定値を得ることは難しい場合があり、レジストパラメータ変動を初期推定値付近の小さい範囲に閉じ込められない場合がある。このような場合、代替最適化アルゴリズムを使用することができ、それにより、光学パラメータを固定することができ、その後、総当たりサーチ又はシンプレックスアルゴリズムなどの非線形最適化方法を使用して、レジストパラメータを最適化することができる。その後、レジストパラメータは固定され、多次元最適化アルゴリズムを使用して光学パラメータを最適化することができる。収束するまで、これらの反復最適化を繰り返すことができる。
[00116] 図6は、本明細書に開示したシミュレーション方法を支援可能なコンピュータシステム100を示すブロック図である。コンピュータシステム100は、バス102又は情報を伝達するためのその他の通信メカニズムと、情報を処理するためにバス102に結合されたプロセッサ104とを含む。また、コンピュータシステム100は、プロセッサ104によって実行される命令及び情報を保管するためにバス102に結合されたランダムアクセスメモリ(RAM)又はその他の動的ストレージデバイスなどのメインメモリ106も含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に一時変数又はその他の中間情報を保管するために使用することもできる。コンピュータシステム100は、プロセッサ104のための命令及び静的情報を保管するためにバス102に結合された読み取り専用メモリ(ROM)108又はその他の静的ストレージデバイスをさらに含む。情報及び命令を保管するために、磁気ディスク又は光ディスクなどのストレージデバイス110が提供され、バス102に結合される。
[00117] コンピュータシステム100は、コンピュータユーザに情報を表示するためにバス102を介して陰極線管(CRT)又はフラットパネル又はタッチパネルディスプレイなどのディスプレイ112に結合することができる。英数字キー及びその他のキーを含む入力装置114は、プロセッサ104に情報及びコマンド選択を伝達するためにバス102に結合される。もう1つのタイプのユーザ入力装置は、プロセッサ104に方向情報及びコマンド選択を伝達し、ディスプレイ112上のカーソル移動を制御するためのマウス、トラックボール、又はカーソル方向キーなどのカーソルコントロール116である。この入力装置は典型的に、その装置が平面内の位置を指定できるようにする、第1の軸(例えば、x)と第2の軸(例えば、y)という2通りの軸の2通りの自由度を有する。タッチパネル(スクリーン)ディスプレイも入力装置として使用することができる。
[00118] 特定の諸実施形態では、シミュレーションプロセスの一部分は、メインメモリ106に収容された1つ又は複数の命令の1つ又は複数のシーケンスをプロセッサ104が実行したことに応答して、コンピュータシステム100によって実行することができる。このような命令は、ストレージデバイス110などの他のコンピュータ可読媒体からメインメモリ106に読み込むことができる。メインメモリ106に収容された命令のシーケンスを実行することにより、プロセッサ104は本明細書に記載したプロセスステップを実行する。メインメモリ106に収容された命令のシーケンスを実行するために、マルチプロセッシング配置の1つ又は複数のプロセッサを使用することもできる。特定の諸実施形態では、本発明を実現するためにソフトウェア命令の代わりに又はソフトウェア命令と組み合わせて、ハードワイヤード回路を使用することができる。それ故、本発明の諸実施形態は、ハードウェア回路とソフトウェアとの特定の組合せに限定されない。
[00119] 本明細書で使用する「コンピュータ可読媒体」という用語は、実行のためにプロセッサ104に命令を提供することに関与する媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、及び伝送媒体を含むがこれらに限定されない多くの形を取ることができる。不揮発性媒体は、例えば、ストレージデバイス110などの光ディスク又は磁気ディスクを含む。揮発性媒体は、メインメモリ106などのダイナミックメモリを含む。伝送媒体は、バス102を有するワイヤを含む、同軸ケーブル、銅線、及び光ファイバを含む。また、伝送媒体は、データ通信に使用される可視光、無線周波(RF)及び赤外線(IR)を含む、音波又は電磁波の形を取ることもできる。一般的な形のコンピュータ可読媒体は、例えば、フロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意のその他の磁気媒体、CD−ROM、DVD、ブルーレイ、任意のその他の光学媒体、パンチカード、紙テープ、穴のパターンを有する任意のその他の物理媒体、RAM、PROM、及びEPROM、FLASH−EPROM、任意のその他のメモリチップ又はカートリッジ、以下に記載する搬送波、あるいはコンピュータがそこから読み取ることができる任意のその他の媒体を含む。
[00120] 実行のためにプロセッサ104に1つ又は複数の命令の1つ又は複数のシーケンスを搬送する際に種々の形のコンピュータ可読媒体が係わる可能性がある。例えば、命令は最初にリモートコンピュータの磁気ディスク上に載せられる可能性がある。リモートコンピュータは、そのダイナミックメモリに命令をロードし、モデムを使用して電話回線によって命令を送信することができる。コンピュータシステム100に対してローカルなモデムは、その電話回線上でデータを受信し、赤外線送信機を使用してそのデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器は、赤外線信号で搬送されたデータを受信し、そのデータをバス102上に置くことができる。バス102はそのデータをメインメモリ106に搬送し、そこからプロセッサ104が命令を取り出して実行する。メインメモリ106が受け取った命令は任意選択で、プロセッサ104による実行前又は実行後のいずれかにストレージデバイス110に保管することができる。
[00121] また、コンピュータシステム100は、バス102に結合された通信インターフェイス118も含むことができる。通信インターフェイス118は、ローカルネットワーク122に接続されたネットワークリンク120に結合する双方向データ通信を可能にする。例えば、通信インターフェイス118は、対応するタイプの電話回線へのデータ通信接続を可能にするための統合サービスデジタル通信網(ISDN)カード又はモデムにすることができる。もう1つの例として、通信インターフェイス118は、互換性のあるLANへのデータ通信接続を可能にするためのローカルエリアネットワーク(LAN)カードにすることもできる。ワイヤレスリンクも実現可能である。このような実現例では、通信インターフェイス118は、種々のタイプの情報を表すデジタルデータストリームを搬送する電気信号、電磁気信号、又は光学信号を送受信する。
[00122] ネットワークリンク120は典型的に、1つ又は複数のネットワークにより他のデータデバイスへのデータ通信を可能にする。例えば、ネットワークリンク120は、ローカルネットワーク122によりホストコンピュータ124又はインターネットサービスプロバイダ(ISP)126によって操作されるデータ機器への接続を可能にすることができる。次にISP126は、現在一般的に「インターネット」128と呼ばれる世界的なパケットデータ通信ネットワークによりデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128はいずれも、デジタルデータストリームを搬送する電気信号、電磁気信号、又は光学信号を使用する。種々のネットワークによる信号、ネットワークリンク120上の信号、並びにコンピュータシステム100との間でデジタルデータを搬送する通信インターフェイス118による信号は、情報を移送する搬送波の模範的な形である。
[00123] コンピュータシステム100は、ネットワーク(複数も可)、ネットワークリンク120、及び通信インターフェイス118により、プログラムコードを含む、メッセージを送信し、データを受信することができる。インターネットの例では、サーバ130は、インターネット128、ISP126、ローカルネットワーク122、及び通信インターフェイス118により、アプリケーションプログラムについて要求されたコードを送信することができる。本発明の特定の諸態様によれば、ダウンロードされたアプリケーションは、例えば、その実施形態の照明最適化に備えることができる。受信したコードは、受信したときにプロセッサ104によって実行するか、及び/又は後で実行するためにストレージデバイス110又はその他の不揮発性ストレージに保管することができる。このように、コンピュータシステム100は搬送波の形でアプリケーションコードを入手することができる。
[00124] 図7は、本明細書に記載されているプロセスを使用してその性能をシミュレート可能なリソグラフィ投影装置の一例を概略的に描写している。この例の装置は以下のものを含む。
−投影ビームPBの放射を供給するための放射システムEx、IL。この特定のケースでは放射システムは放射源LAも含む。
−マスクMA(例えば、レチクル)を保持するためのマスクホルダが設けられ、アイテムPLに対してマスクを正確に位置決めするための第1の位置決め手段に接続された第1のオブジェクトテーブル(マスクテーブル)MT
−基板W(例えば、レジストコーティングシリコンウェーハ)を保持するための基板ホルダが設けられ、アイテムPLに対して基板を正確に位置決めするための第2の位置決め手段に接続された第2のオブジェクトテーブル(基板テーブル)WT
−マスクMAの照射部分を基板Wのターゲット部分C(例えば、1つ又は複数のダイを含む)上に結像するための投影システム(「レンズ」)PL(例えば、屈折、反射、又は反射屈折光学システム)
[00125] 本明細書に描写されている通り、この装置は透過タイプのものである(すなわち、透過マスクを有する)。しかし、一般に、この装置は、例えば、反射タイプ(反射マスクを有する)ものにすることもできる。代替的に、この装置は、マスク使用の代替策として他の種類のパターニング手段を使用することもでき、例としてはプログラマブルミラーアレイ又はLCDマトリクスを含む。
[00126] 光源LA(例えば、水銀灯又はエキシマレーザ)は放射ビームを発生する。このビームは、直接又は例えばビームエクスパンダExなどのコンディショニング手段を横断した後に照明システム(イルミネータ)ILに供給される。イルミネータILは、ビーム内の強度分布の外側及び/又は内側半径範囲(一般に、それぞれσ-outer及びσ-innerと呼ばれる)を設定するための調整手段AMを含むことができる。加えて、イルミネータは一般に、インテグレータIN及びコンデンサCOなどの種々の他のコンポーネントを含む。このようにして、マスクMAに衝突するビームPBは、その断面において所望の均一性及び強度分布を有する。
[00127] 図7に関しては、光源LAは(光源LAが例えば水銀灯であるときにしばしばそうであるように)リソグラフィ投影装置のハウジング内にすることができるが、リソグラフィ投影装置から離すこともでき、その装置が発生する放射ビームは(例えば、適切な誘導ミラーを用いて)装置内に誘導され、後者のシナリオは光源LAがエキシマレーザ(例えば、KrF、ArF、又はF2レイジングに基づく)であるときにしばしばそうなることに留意されたい。本発明の種々の実施形態はこれらのシナリオの少なくとも両者を包含する。
[00128] ビームPBは、その後、マスクテーブルMT上に保持されたマスクMAをインターセプトする。マスクMAを横断した後、ビームPBはレンズPLを通過し、そのレンズが基板Wのターゲット部分CにビームPBを焦点に集める。第2の位置決め手段(及び干渉測定手段IF)を用いて、例えば、異なるターゲット部分CをビームPBの経路内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、第1の位置決め手段を使用して、例えば、マスクライブラリからマスクMAを機械的に取り出した後又はスキャン中に、ビームPBの経路に対してマスクMAを正確に位置決めすることができる。一般に、オブジェクトテーブルMT、WTの移動は、ロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を用いて認識されるが、どちらも図7には明確に描写されていない。しかし、(ステップアンドスキャンツールとは対照的に)ウェーハステッパの場合、マスクテーブルMTは、単にショートストロークアクチュエータに接続される場合もあれば、固定される場合もある。
[00129] 描写したツールは以下の異なるモードで使用することができる。
−ステップモードでは、マスクテーブルMTは本質的に静止状態に保持され、マスクイメージ全体が一度に(すなわち、単一「フラッシュ」で)ターゲット部分Cに投影される。次に、異なるターゲット部分CをビームPBで照射できるように、基板テーブルWTがx方向及び/又はy方向にシフトする。
−スキャンモードでは、所与のターゲット部分Cが単一「フラッシュ」で露光されないことを除いて、本質的に同じシナリオが適用される。その代わりに、マスクテーブルMTは速度vで所与の方向(いわゆる「スキャン方向」、例えば、y方向)に移動可能であり、従って、投影ビームPBはマスクイメージの上をスキャンするようになり、同時に、基板テーブルWTは速度V=Mvで同じ方向又は反対方向に同時に移動し、MはレンズPLの倍率である(典型的に、M=1/4又は1/5)。このように、解像度について妥協する必要なしに、相対的に大きいターゲット部分Cを露光することができる。
[00130] 本明細書に開示されている概念は、サブ波長フィーチャ(sub wavelength feature)を結像するための汎用結像システムをシミュレート又は数学的にモデリングすることができ、ますますサイズが小さくなる波長を発生可能な新結像技術により特に有用である可能性がある。すでに使用されている新技術は、ArFレーザ使用の場合には193nmの波長、フッ素レーザ使用の場合には157nmの波長を発生可能なEUV(極端紫外線)リソグラフィを含む。その上、EUVリソグラフィは、シンクロトロンを使用するか、又はこの範囲内の光子を発生するために高エネルギー電子で材料(固体又はプラズマ)を打つことにより、20〜5nmの範囲内の波長を発生することができる。大半の材料はこの範囲内では吸収性であるので、モリブデンとシリコンのマルチスタックを有する反射ミラーによって照明を発生することができる。このマルチスタックミラーは、40レイヤ対のモリブデンとシリコンを有し、各レイヤの厚さは4分の1波長である。さらに小さい波長はX線リソグラフィで発生することができる。典型的に、X線波長を発生するためにシンクロトロンが使用される。大半の材料はX線波長で吸収性であるので、吸収材料の薄片によって、フィーチャをプリントする場所(ポジティブレジスト)又はフィーチャをプリントしない場所(ネガティブレジスト)が画定される。
[00131] 本明細書に開示されている概念はシリコンウェーハなどの基板上に結像するために使用することができるが、開示されている概念は、任意のタイプのリソグラフィ結像システム、例えば、シリコンウェーハ以外の基板上に結像するために使用されるものでも使用できることを理解されたい。
本発明の特定の諸態様の追加の説明
[00132] 本発明の上記の説明は例示的なものであって、限定的なものではない。例えば、当業者であれば、上記の機能及び能力の種々の組合せで本発明を実施することができ、本発明が上記より少ないコンポーネント又は追加のコンポーネントを含むことができることを認識するであろう。本発明によって教示された後で当業者が認識するように、本発明の特定の追加の態様及び特徴は、以下にさらに明記され、より詳細に上述した機能及びコンポーネントを使用して入手することができる。
[00133] 本発明の特定の諸実施形態は、フォトリソグラフィシステムを較正するための方法を提供する。これらの諸実施形態の幾つかは、フォトリソグラフィプロセスの構成を使用して生成された回路パターンの複数の測定寸法を入手するステップと、フォトリソグラフィプロセスの構成のモデルを使用して回路パターンの複数の推定寸法を生成するステップと、回路パターンのうちの特定のものについて、構成に関連する推定寸法と定義済みパラメータとの多項式フィットを計算するステップと、多項式フィットに基づいてフォトリソグラフィプロセスを較正するステップとを含み、フォトリソグラフィプロセスを較正することが、最適化アルゴリズムを使用して推定寸法と測定寸法との差を最小限にするステップを含む。これらの諸実施形態の幾つかでは、推定寸法と測定寸法は、回路パターンの輪郭形状を定義する寸法を含む。これらの諸実施形態の幾つかでは、差を最小限にするステップは、推定寸法と測定寸法との差の平方和を最小限にすることを含む。これらの諸実施形態の幾つかでは、推定寸法と測定寸法のうちの特定のものが回路パターンのクリティカルディメンションに関連する。これらの諸実施形態の幾つかでは、フォトリソグラフィプロセスを較正することは、少なくとも1つの光学パラメータと1つ又は複数の推定クリティカルディメンションとの線形関係を決定することを含む。これらの諸実施形態の幾つかでは、フォトリソグラフィプロセスを較正することは、少なくとも1つの光学パラメータと複数の推定寸法との線形関係並びに少なくとも1つの光学パラメータと1つ又は複数の推定クリティカルディメンションとの2次又はそれ以上の高次関係を決定することを含む。
[00134] これらの諸実施形態の幾つかでは、多項式フィットを計算することは、少なくとも1つの光学パラメータのそれぞれに関する1つ又は複数のクリティカルディメンションの推定値について最小2乗最適化アルゴリズムを実行することを含む。これらの諸実施形態の幾つかでは、最小2乗最適化アルゴリズムは勾配降下アルゴリズムである。これらの諸実施形態の幾つかでは、最小2乗最適化アルゴリズムはガウス・ニュートンアルゴリズムである。これらの諸実施形態の幾つかでは、最小2乗最適化アルゴリズムはレーベンバーグ・マルカートアルゴリズムである。これらの諸実施形態の幾つかでは、フォトリソグラフィプロセスを較正することは、選択したパラメータのフィット多項式を使用して推定寸法を計算することを含む。これらの諸実施形態の幾つかでは、選択したパラメータの多項式フィットを計算することは、ディファレンシャル透過クロス係数(differential transmission cross coefficient)を使用することをさらに含む。これらの諸実施形態の幾つかでは、多項式フィットを計算することは、特定のモデルパラメータの多項式を使用して複数の推定寸法のそれぞれについて最小2乗近似を実行することを含む。
[00135] これらの諸実施形態の幾つかでは、少なくとも1つの光学パラメータは一定であり、フォトリソグラフィプロセスを較正することは非線形レジストしきい値設定を最適化することを含む。これらの諸実施形態の幾つかでは、少なくとも1つの光学パラメータはデフォーカス設定を含む。これらの諸実施形態の幾つかでは、少なくとも1つの光学パラメータは露光ドーズ設定を含む。これらの諸実施形態の幾つかでは、少なくとも1つの光学パラメータは焦点設定及び開口数設定を含む。これらの諸実施形態の幾つかでは、多項式フィットを計算することは、焦点設定の多項式としてクリティカルディメンションを表すことを含む。これらの諸実施形態の幾つかでは、多項式フィットを計算することは、焦点設定及び開口数設定の多項式を使用して空間像を生成することを含む。これらの諸実施形態の幾つかでは、多項式フィットを計算することは、焦点設定及び開口数設定の多項式としてレジスト像を表すことを含む。これらの諸実施形態の幾つかでは、計算することは、複数の像点のそれぞれについて焦点設定の2次多項式として像強度における焦点関連変動の近似値を求めることを含む。これらの諸実施形態の幾つかでは、多項式フィットは2次フィットである。
[00136] これらの諸実施形態の幾つかでは、複数の推定寸法を提供することは、1つの公称プロセス条件について計算された複数の公称クリティカルディメンションを入手することであって、その公称プロセス条件が公称フォトリソグラフィプロセスを特徴付けることと、多項式フィット及び複数の公称クリティカルディメンションを使用して複数の推定寸法を計算することを含む。これらの諸実施形態の幾つかでは、多項式フィットを計算することは、その構成に関連する事前計算済みのディファレンシャル透過クロス係数を使用することを含む。これらの諸実施形態の幾つかでは、多項式フィットを計算することは、少なくとも1つの光学パラメータの関数として空間像の多項式級数展開を提供することを含む。これらの諸実施形態の幾つかでは、空間像は、事前計算済みの透過クロス係数に基づいて計算される。これらの諸実施形態の幾つかでは、多項式フィットを計算することは、少なくとも1つの光学パラメータの関数として空間像の導関数の多項式級数展開を提供することを含む。これらの諸実施形態の幾つかでは、空間像は、事前計算済みの透過クロス係数の導関数に基づいて計算される。上記の方法のうちの1つ又は複数は、実行されたときにコンピュータにその方法の諸ステップを実行させる、命令及び/又はコンピュータプログラムの形でコンピュータ可読媒体で実施することができる。
[00137] 本発明の一実施形態では、リソグラフィプロセス用のモデルを生成することを含み、そのモデルがリソグラフィプロセスの物理パラメータの公称値付近の多項式級数展開を含む較正方法が提供される。この較正方法は、少なくとも1つの多項式展開係数を最適化することにより物理パラメータの複数の値でリソグラフィプロセスを適用することによって得られた結像結果の測定寸法にモデルをフィットさせることをさらに含む。多項式級数展開を適用することにより、そのモデルを光学モデル部分とレジストモデル部分に分離する必要はなくなり、その統合(すなわち、結合)モデルについて多項式級数展開を行うことができることに留意されたい。さらに、パラメータが非線形であっても、その較正は線形問題になる。
[00138] この較正方法の一実施形態では、較正方法は、少なくとも2つの多項式展開係数で最適化することを含む。1つの多項式展開係数の代わりに少なくとも2つを使用することにより、モデルの正確さが改善される。
[00139] さらに他の一実施形態では、この較正方法は、物理パラメータの異なる値に重み因子を使用することを含む。これは、物理パラメータの幾つかの値が他の組合せより可能性が低いためである。
[00140] 他の一実施形態では、この較正方法は、リソグラフィプロセスの他の物理パラメータの他の公称値付近の他の多項式級数展開を含む。結像結果は、他の物理パラメータの複数の値で得られ、モデルのフィットは、他の多項式級数展開に対応する少なくとも1つの他の多項式展開係数を最適化することを含む。その物理パラメータ及び他の物理パラメータについて2つの多項式級数展開を使用することにより、解くべき方程式が線形化され、それを解くための効率的な方法を可能にする。このように2つの多項式級数展開を使用するので、モデルへのフィットは、リソグラフィプロセスを適用するために使用される物理パラメータの値の組合せに依存しない(すなわち、その組合せに対して制限をもたらすことはない)。
[00141] さらに他の一実施形態では、この較正方法は、物理パラメータ及び他の物理パラメータの値の異なる組合せに重み因子を使用することを含む。これは、物理パラメータ及び他の物理パラメータの異なる値の幾つかの組合せが他の組合せより可能性が低いためである。
[00142] 一実施形態では、このモデルは、いわゆるプロセス寛容度、すなわち、物理パラメータ(複数も可)の不要な変動に対するリソグラフィプロセスのロバストネスを探求するために使用される。この実施形態の方法を適用することにより、このモデルは効率的な方法で、等しく効率的に較正される。次に、例えば、物理パラメータの値のこのような不要な変動につれてCD均一性又は空間像強度がどのように変化するかを探求する。これが許容限度内である場合、公称値は受け入れられ、パターン付き放射ビームに対する露光基板にリソグラフィプロセスが適用される。他の一実施形態では、物理パラメータ及び他の物理パラメータの公称値の異なる組合せについて上記の較正方法の諸ステップが繰り返される。次に異なる組合せのロバストネスがチェックされ、リソグラフィプロセスの適用のために最もロバストな組合せが選択される。パラメータ値の最適組合せを選択するための他の基準も可能である(例えば、低いドーズ及び低いドーズとして受け入れられるプロセス寛容度はより高いプロセス速度を可能にすることができる)。類似した方法で物理パラメータの値の変動にもこの実施形態を適用できることは当業者にとって明確なことになる。当然のことながら、本発明の一実施形態によって得られた物理パラメータ及び他の物理パラメータの値は、パターン付き放射ビームに対して基板を露光することを含む実際のリソグラフィプロセスを実際に適用するときにパラメータの値として使用することができる。
[00143] 本発明について詳細に説明し例示してきたが、これは例示のみを意図し、制限として解釈すべきではなく、本発明の範囲は特許請求の範囲の請求項のみによって限定されることは明らかに理解されるはずである。
要約すれば、本発明の種々の態様は以下の項で説明することができる。
1.フォトリソグラフィシステムを較正する方法であって、
フォトリソグラフィプロセスの構成を使用して生成された回路パターンの複数の測定寸法を入手すること、
フォトリソグラフィプロセスの構成のモデルを使用して回路パターンの複数の推定寸法を生成すること、
回路パターンのうちの特定のものについて、構成に関連する推定寸法と定義済みパラメータとの多項式フィットを計算すること、
多項式フィットに基づいてフォトリソグラフィプロセスを較正することを含み、フォトリソグラフィプロセスを較正することが、最適化アルゴリズムを使用して推定寸法と測定寸法との差を最小限にするステップを含む、方法。
2.推定寸法と測定寸法が回路パターンの輪郭形状を定義する寸法を含む、1項記載の方法。
3.差を最小限にするステップが、推定寸法と測定寸法との差の平方和を最小限にすることを含む、1項記載の方法。
4.推定寸法と測定寸法のうちの特定のものが回路パターンのクリティカルディメンションに関連する、1項記載の方法。
5.フォトリソグラフィプロセスを較正することが、少なくとも1つの光学パラメータと1つ又は複数の推定クリティカルディメンションとの線形関係を決定することを含む、4項記載の方法。
6.フォトリソグラフィプロセスを較正することが、少なくとも1つの光学パラメータと複数の推定寸法との線形関係並びに少なくとも1つの光学パラメータと1つ又は複数の推定クリティカルディメンションとの2次又はそれ以上の高次関係を決定することを含む、4項記載の方法。
7.多項式フィットを計算することが、少なくとも1つの光学パラメータのそれぞれに関する1つ又は複数のクリティカルディメンションの推定値について最小2乗最適化アルゴリズムを実行することを含む、6項記載の方法。
8.最適化アルゴリズムが勾配降下アルゴリズムである、1項記載の方法。
9.最適化アルゴリズムがガウス・ニュートンアルゴリズムである、1項記載の方法。
10.最適化アルゴリズムがレーベンバーグ・マルカート(アルゴリズムである、1項記載の方法。
11.フォトリソグラフィプロセスを較正することが、選択したパラメータのフィットされた多項式を使用して推定寸法を計算することを含む、1項記載の方法。
12.選択したパラメータの多項式フィットを計算することが、ディファレンシャル透過クロス係数を使用することをさらに含む、11項記載の方法。
13.多項式フィットを計算することが、特定のモデルパラメータの多項式を使用して複数の推定寸法のそれぞれについて最小2乗近似を実行することを含む、1項記載の方法。
14.少なくとも1つの光学パラメータがデフォーカス設定を含む、6項記載の方法。
15.少なくとも1つの光学パラメータが露光ドーズ設定を含む、6項記載の方法。
16.少なくとも1つの光学パラメータが焦点設定及び開口数設定を含む、6項記載の方法。
17.多項式フィットを計算することが、焦点設定の多項式としてクリティカルディメンションを表すことを含む、16項記載の方法。
18.多項式フィットを計算することが、焦点設定及び開口数設定の多項式を使用して空間像を生成することを含む、16項記載の方法。
19.多項式フィットが2次フィットである、17項記載の方法。
20.多項式フィットを計算することが、焦点設定及び開口数設定の多項式としてレジスト像を表すことを含む、16項記載の方法。
21.多項式フィットを計算することが、複数の像点のそれぞれについて焦点設定の2次多項式として像強度における焦点関連変動の近似値を求めることを含む、1項記載の方法。
22.複数の推定寸法を提供することが、
1つの公称プロセス条件について計算された複数の公称クリティカルディメンションを入手することであって、その公称プロセス条件が公称フォトリソグラフィプロセスを特徴付けること、及び
モデルパラメータの多項式フィット及び複数の公称クリティカルディメンションを使用して複数の推定寸法を計算すること
を含む、1項記載の方法。
23.多項式フィットを計算することが、構成に関連する事前計算済みのディファレンシャル透過クロス係数を使用することを含む、1項記載の方法。
24.多項式フィットを計算することが、少なくとも1つの光学パラメータの関数として空間像の多項式級数展開を提供することを含む、6項記載の方法。
25.空間像が事前計算済みの透過クロス係数に基づいて計算される、24項記載の方法。
26.多項式フィットを計算することが、少なくとも1つの光学パラメータの関数として空間像の導関数の多項式級数展開を提供することを含む、6項記載の方法。
27.空間像が事前計算済みの透過クロス係数の導関数に基づいて計算される、26項記載の方法。
28.フォトリソグラフィシステムを較正するためのコンピュータプログラムを担持するコンピュータ可読媒体であって、そのコンピュータプログラムが、実行されたときに、
フォトリソグラフィプロセスの構成を使用して生成された回路パターンの複数の測定寸法を入手するステップと、
フォトリソグラフィプロセスの構成のモデルを使用して回路パターンの複数の推定寸法を生成するステップと、
回路パターンのうちの特定のものについて、構成に関連する推定寸法と定義済みパラメータとの多項式フィットを計算するステップと、
多項式フィットに基づいてフォトリソグラフィプロセスを較正するステップであって、フォトリソグラフィプロセスを較正することが、最適化アルゴリズムを使用して推定寸法と測定寸法との差を最小限にするステップを含むステップと、
をコンピュータに実行させる、コンピュータ可読媒体。
29.推定寸法と測定寸法が、回路パターンの輪郭形状を定義する寸法を含む、28項記載のコンピュータ可読媒体。
30.差を最小限にするステップが、推定寸法と測定寸法との差の平方和を最小限にすることを含む、28項記載のコンピュータ可読媒体。
31.推定寸法と測定寸法のうちの特定のものが回路パターンのクリティカルディメンションに関連する、28項記載のコンピュータ可読媒体。
32.フォトリソグラフィプロセスを較正することが、少なくとも1つの光学パラメータと1つ又は複数の推定クリティカルディメンションとの線形関係を決定することを含む、31項記載のコンピュータ可読媒体。
33.フォトリソグラフィプロセスを較正することが、少なくとも1つの光学パラメータと複数の推定寸法との線形関係並びに少なくとも1つの光学パラメータと1つ又は複数の推定クリティカルディメンションとの2次又はそれ以上の高次関係を決定することを含む、31項記載のコンピュータ可読媒体。
34.多項式フィットを計算することが、少なくとも1つの光学パラメータのそれぞれに関する1つ又は複数のクリティカルディメンションの推定値について最小2乗最適化アルゴリズムを実行することを含む、33項記載のコンピュータ可読媒体。
35.最小2乗最適化アルゴリズムが勾配降下アルゴリズムである、34項記載のコンピュータ可読媒体。
36.最小2乗最適化アルゴリズムがガウス・ニュートンアルゴリズムである、34項記載のコンピュータ可読媒体。
37.最小2乗最適化アルゴリズムがレーベンバーグ・マルカートアルゴリズムである、34項記載のコンピュータ可読媒体。
38.フォトリソグラフィプロセスを較正することが、選択したパラメータのフィット多項式を使用して推定寸法を計算することを含む、31項記載のコンピュータ可読媒体。
39.選択したパラメータの多項式フィットを計算することが、ディファレンシャル透過クロス係数を使用することをさらに含む、38項記載のコンピュータ可読媒体。
40.多項式フィットを計算することが、特定のモデルパラメータの多項式を使用して複数の推定寸法のそれぞれについて最小2乗近似を実行することを含む、28項記載のコンピュータ可読媒体。
41.少なくとも1つの光学パラメータが一定であり、フォトリソグラフィプロセスを較正することが非線形レジストしきい値設定を最適化することを含む、33項記載のコンピュータ可読媒体。
42.少なくとも1つの光学パラメータがデフォーカス設定を含む、33項記載のコンピュータ可読媒体。
43.少なくとも1つの光学パラメータが露光ドーズ設定を含む、33項記載のコンピュータ可読媒体。
44.少なくとも1つの光学パラメータが焦点設定及び開口数設定を含む、33項記載のコンピュータ可読媒体。
45.多項式フィットを計算することが、焦点設定の多項式としてクリティカルディメンションを表すことを含む、44項記載のコンピュータ可読媒体。
46.多項式フィットを計算することが、焦点設定及び開口数設定の多項式を使用して空間像を生成することを含む、44項記載のコンピュータ可読媒体。
47.多項式フィットが2次フィットである、46項記載のコンピュータ可読媒体。
48.多項式フィットを計算することが、焦点設定及び開口数設定の多項式としてレジスト像を表すことを含む、44項記載のコンピュータ可読媒体。
49.多項式フィットを計算することが、複数の像点のそれぞれについて焦点設定の2次多項式として像強度における焦点関連変動の近似値を求めることを含む、28項記載のコンピュータ可読媒体。
50.複数の推定寸法を提供することが、1つの公称プロセス条件について計算された複数の公称クリティカルディメンションを入手することであって、その公称プロセス条件が公称フォトリソグラフィプロセスを特徴付けること、多項式フィット及び複数の公称クリティカルディメンションを使用して複数の推定寸法を計算することを含む、28項記載のコンピュータ可読媒体。
51.多項式フィットを計算することが、構成に関連する事前計算済みのディファレンシャル透過クロス係数を使用することを含む、28項記載のコンピュータ可読媒体。
52.多項式フィットを計算することが、少なくとも1つの光学パラメータの関数として空間像の多項式級数展開を提供することを含む、33項記載のコンピュータ可読媒体。
53.空間像が事前計算済みの透過クロス係数に基づいて計算される、52項記載のコンピュータ可読媒体。
54.(a)放射感応性材料のレイヤによって少なくとも部分的に覆われた基板を提供するステップと、
(b)結像システムを使用して放射投影ビームを提供し、投影ビームの断面にパターンを与えるために使用されるマスクを生成するステップと、
(c)放射感応性材料のレイヤのターゲット部分にパターン付き放射ビームを投影するステップとを含み、ステップ(b)がフォトリソグラフィプロセスの構成を使用して生成された回路パターンの複数の測定寸法を入手すること、フォトリソグラフィプロセスの構成のモデルを使用して回路パターンの複数の推定寸法を生成すること、回路パターンのうちの特定のものについて、構成に関連する推定寸法と定義済みパラメータとの多項式フィットを計算すること、多項式フィットに基づいてフォトリソグラフィプロセスを較正することを含み、フォトリソグラフィプロセスを較正することが、最適化アルゴリズムを使用して推定寸法と測定寸法との差を最小限にするステップを含む、デバイス製造方法。

Claims (18)

  1. フォトリソグラフィシステムを較正する方法であって、フォトリソグラフィプロセスの構成を使用して生成された回路パターンの複数の測定寸法を入手すること、前記フォトリソグラフィプロセスの前記構成のモデルを使用して前記回路パターンの複数の推定寸法を生成すること、前記回路パターンのうちの特定のものについて、前記構成に関連する前記推定寸法と定義済みパラメータとの多項式フィットを計算すること、前記多項式フィットに基づいて前記フォトリソグラフィプロセスを較正することを含み、前記フォトリソグラフィプロセスを較正することが、最適化アルゴリズムを使用して前記推定寸法と前記測定寸法との差を最小限にするステップを含む、方法。
  2. 前記推定寸法と前記測定寸法が前記回路パターンの輪郭形状を定義する寸法を含む、請求項1記載の方法。
  3. 差を最小限にする前記ステップが、前記推定寸法と前記測定寸法との差の平方和を最小限にすることを含む、請求項1記載の方法。
  4. 前記推定寸法と前記測定寸法のうちの特定のものが前記回路パターンのクリティカルディメンションに関連する、請求項1記載の方法。
  5. 前記フォトリソグラフィプロセスを較正することが、少なくとも1つの光学パラメータと1つ又は複数の推定クリティカルディメンションとの線形関係を決定することを含む、請求項4記載の方法。
  6. 前記フォトリソグラフィプロセスを較正することが、少なくとも1つの光学パラメータと前記複数の推定寸法との線形関係並びに少なくとも1つの光学パラメータと1つ又は複数の推定クリティカルディメンションとの2次又はそれ以上の高次関係を決定することを含む、請求項4記載の方法。
  7. 前記フォトリソグラフィプロセスを較正することが、選択したパラメータのフィットされた多項式を使用して前記推定寸法を計算することを含む、請求項1記載の方法。
  8. 選択したパラメータの前記多項式フィットを計算することが、ディファレンシャル透過クロス係数を使用することをさらに含む、請求項7記載の方法。
  9. 前記多項式フィットを計算することが、他の複数のモデルパラメータの多項式を使用して前記複数の推定寸法のそれぞれについて最小2乗近似を実行することを含む、請求項1記載の方法。
  10. フォトリソグラフィシステムを較正するためのコンピュータプログラムを担持するコンピュータ可読媒体であって、前記コンピュータプログラムが、実行されたときに、請求項1〜9のいずれかに記載の前記方法をコンピュータに実行させる、コンピュータ可読媒体。
  11. (a)放射感応性材料のレイヤによって少なくとも部分的に覆われた基板を提供するステップと、(b)結像システムを使用して放射投影ビームを提供し、そして該投影ビームの断面にパターンを与えるために使用されるマスクを生成するステップと、(c)放射感応性材料の前記レイヤのターゲット部分に前記パターン付き放射ビームを投影するステップとを含み、ステップ(b)がフォトリソグラフィプロセスの構成を使用して生成された回路パターンの複数の測定寸法を入手すること、前記フォトリソグラフィプロセスの前記構成のモデルを使用して前記回路パターンの複数の推定寸法を生成すること、前記回路パターンのうちの特定のものについて、前記構成に関連する前記推定寸法と定義済みパラメータとの多項式フィットを計算すること、該多項式フィットに基づいて前記フォトリソグラフィプロセスを較正することを含み、前記フォトリソグラフィプロセスを較正することが、最適化アルゴリズムを使用して前記推定寸法と前記測定寸法との差を最小限にするステップを含む、デバイス製造方法。
  12. リソグラフィプロセス用のモデルを生成することであって、該モデルが該リソグラフィプロセスの物理パラメータの公称値付近の多項式級数展開を含むこと、
    少なくとも1つの多項式展開係数を最適化することにより前記物理パラメータの複数の値にて前記リソグラフィプロセスを適用することによって得られた結像結果の測定寸法に前記モデルをフィッティングさせること、
    を含む、較正方法。
  13. 少なくとも2つの多項式展開係数にて最適化することを含む、請求項12記載の較正方法。
  14. 前記物理パラメータの異なる値について重み因子を使用することを含む、請求項12〜13のいずれかに記載の較正方法。
  15. 結像プロセスに対応する前記モデルの一部でディファレンシャル透過クロス係数を生成することを含む、請求項12〜14のいずれかに記載の較正方法。
  16. 前記リソグラフィプロセスの他の物理パラメータの他の公称値付近の他の多項式級数展開を含み、前記結像結果が前記他の物理パラメータの複数の値で得られ、前記モデルをフィットさせることが、前記他の多項式級数展開に対応する少なくとも1つの他の多項式展開係数を最適化することを含む、請求項12〜15のいずれかに記載の較正方法。
  17. 前記物理パラメータ及び前記他の物理パラメータの異なる値の組合せについて重み因子を使用することを含む、請求項16のいずれかに記載の較正方法。
  18. 請求項12〜17のいずれかに記載の前記方法を含むリソグラフィ方法であって、
    前記モデルを使用して前記公称パラメータの前記値の付近で前記パラメータの変動によるメトリックの変動を決定すること、
    前記公称パラメータの異なる値にて請求項12の前記ステップを繰り返すこと、
    前記モデルを使用して前記公称パラメータの前記異なる値の付近で前記パラメータの変動によるメトリックの他の変動を決定すること、
    −前記物理パラメータの前記値の前記変動及び前記物理パラメータの前記値の前記他の変動に基づいて前記物理パラメータの値を選択すること
    を含む、リソグラフィ方法。
JP2009249693A 2008-11-06 2009-10-30 リソグラフィ較正のための方法 Expired - Fee Related JP5191975B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11213008P 2008-11-06 2008-11-06
US61/112,130 2008-11-06

Publications (2)

Publication Number Publication Date
JP2010114444A true JP2010114444A (ja) 2010-05-20
JP5191975B2 JP5191975B2 (ja) 2013-05-08

Family

ID=42165494

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009249693A Expired - Fee Related JP5191975B2 (ja) 2008-11-06 2009-10-30 リソグラフィ較正のための方法

Country Status (4)

Country Link
US (2) US8418088B2 (ja)
JP (1) JP5191975B2 (ja)
CN (1) CN101738872B (ja)
NL (1) NL2003654A (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017505460A (ja) * 2014-02-12 2017-02-16 エーエスエムエル ネザーランズ ビー.ブイ. プロセスウィンドウを最適化する方法
KR20170088367A (ko) * 2014-11-20 2017-08-01 칼 짜이스 에스엠티 게엠베하 적어도 하나의 조작기를 갖는 투영 노광 장치
KR20180006973A (ko) * 2015-06-18 2018-01-19 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 교정 방법
JP2018519543A (ja) * 2015-06-17 2018-07-19 エーエスエムエル ネザーランズ ビー.ブイ. 相互レシピ整合性に基づくレシピ選択
JP2018533755A (ja) * 2015-10-27 2018-11-15 サイマー リミテッド ライアビリティ カンパニー 光学システムのためのコントローラ
JP2019507382A (ja) * 2016-02-19 2019-03-14 東京エレクトロン株式会社 光増感化学増幅レジスト(ps−car)シミュレーション
JP2019512110A (ja) * 2016-03-01 2019-05-09 エーエスエムエル ネザーランズ ビー.ブイ. パターニングプロセスパラメータを決定する方法及び装置

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110047519A1 (en) * 2009-05-11 2011-02-24 Juan Andres Torres Robles Layout Content Analysis for Source Mask Optimization Acceleration
US8250498B2 (en) * 2010-01-28 2012-08-21 Synopsys, Inc. Method and apparatus for calibrating a photolithography process model by using a process window parameter
US8321822B2 (en) * 2010-05-27 2012-11-27 United Microelectronics Corp. Method and computer-readable medium of optical proximity correction
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
US8792080B2 (en) 2011-01-27 2014-07-29 International Business Machines Corporation Method and system to predict lithography focus error using simulated or measured topography
US10133184B2 (en) * 2012-04-25 2018-11-20 Nikon Corporation Using customized lens pupil optimization to enhance lithographic imaging in a source-mask optimization scheme
US8910089B1 (en) * 2013-06-19 2014-12-09 International Business Machines Corporation Printing process calibration and correction
KR20190057429A (ko) * 2013-12-30 2019-05-28 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
WO2015101461A2 (en) * 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR102402422B1 (ko) 2014-02-25 2022-05-25 도쿄엘렉트론가부시키가이샤 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술
US9575412B2 (en) * 2014-03-31 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for reducing pole imbalance by adjusting exposure intensity
CN107077077B (zh) * 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
US9471743B1 (en) * 2015-03-31 2016-10-18 Globalfoundries Inc. Predicting process fail limits
US10718606B2 (en) 2015-04-17 2020-07-21 Nikon Corporation Determination of customized components for fitting wafer profile
CN104977816B (zh) * 2015-08-05 2018-01-23 哈尔滨工业大学 基于Compact Particle Swarm Optimization算法的光刻机掩模台微动台的机械参数软测量方法
CN105068383B (zh) * 2015-08-05 2017-04-05 哈尔滨工业大学 一种微动台机械参数误差辨识方法
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US10807187B2 (en) 2015-09-24 2020-10-20 Arcam Ab X-ray calibration standard object
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10050636B2 (en) * 2016-05-04 2018-08-14 Texas Instruments Incorporated Methods and apparatus to reduce non-linearity in analog to digital converters
CN109313394B (zh) 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
KR102177192B1 (ko) 2016-05-13 2020-11-10 도쿄엘렉트론가부시키가이샤 광 작용제의 사용에 의한 임계 치수 제어
CN107819712A (zh) * 2016-09-12 2018-03-20 中兴通讯股份有限公司 本振泄漏自动校准方法及装置
JP2018138990A (ja) 2016-12-08 2018-09-06 ウルトラテック インク 再構成ウェハーのリソグラフィ処理のための焦点制御のための走査方法
EP3339957B1 (en) * 2016-12-20 2019-02-27 GenISys GmbH Process dose and process bias determination for beam lithography
KR102545141B1 (ko) * 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
WO2019118373A1 (en) 2017-12-11 2019-06-20 California Institute Of Technology Wireless vector kinematic sensing of linear and angular, velocity and acceleration, and position and orientation via weakly-coupled quasistatic magnetic fields
CN111492317B (zh) 2017-12-22 2023-01-10 Asml荷兰有限公司 用于减少抗蚀剂模型预测误差的系统和方法
US10705420B2 (en) * 2018-05-15 2020-07-07 Asml Us, Llc Mask bias approximation
US10571799B1 (en) * 2018-08-27 2020-02-25 Asml Us, Llc Hessian-free calculation of product of Hessian matrix and vector for lithography optimization
US10664642B1 (en) 2018-11-30 2020-05-26 International Business Machines Corporation Constructing via meshes for high performance routing on silicon chips
US11686584B2 (en) * 2019-08-07 2023-06-27 California Institute Of Technology 3D long-range through-the-wall magnetoquasistatic coupling and application to indoor position sensing
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN112433443A (zh) * 2019-08-26 2021-03-02 上海凸版光掩模有限公司 适用于jbx光刻机的图案修正方法、装置、介质、及系统
US11313892B2 (en) 2019-11-05 2022-04-26 California Institute Of Technology Methods and systems for position and orientation sensing in non-line-of-sight environments using combined decoupled quasistatic magnetic and electric fields
CN111256835B (zh) * 2020-03-13 2022-07-19 西北工业大学 超参数多项式物理模型的测温红外热像仪标定方法及装置
CN111781804B (zh) * 2020-07-16 2021-07-27 中国科学院上海光学精密机械研究所 基于协方差矩阵自适应进化策略算法的光源掩模优化方法
CN111830905B (zh) * 2020-08-10 2021-06-08 哈尔滨工业大学 一种基于简化牛顿法的多维系统轮廓误差估计方法
CN112749424B (zh) * 2021-01-14 2023-04-21 泉芯集成电路制造(济南)有限公司 光刻胶的轮廓三维建模方法、系统和可读存储介质
WO2022193284A1 (en) * 2021-03-19 2022-09-22 Yangtze Memory Technologies Co., Ltd. Systems and methods for designing photomasks
WO2023172228A2 (en) * 2022-03-07 2023-09-14 Istanbul Medipol Universitesi A photolithography method and system
CN117452779B (zh) * 2023-12-04 2024-03-19 武汉宇微光学软件有限公司 一种计算光刻非线性系统的建模、标定方法和装置

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000352809A (ja) * 1999-06-11 2000-12-19 Matsushita Electronics Industry Corp 半導体回路パタンの設計方法
JP2002184688A (ja) * 2000-09-12 2002-06-28 Asml Masktools Netherlands Bv 高速空中像シミュレーションのための方法および装置
JP2004103674A (ja) * 2002-09-06 2004-04-02 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2004279997A (ja) * 2003-03-19 2004-10-07 Toshiba Corp エッジ位置ずれ量の算出方法、検証方法、検証プログラム、及び検証システム。
JP2004288694A (ja) * 2003-03-19 2004-10-14 Renesas Technology Corp 半導体装置の製造方法およびそのシステム
JP2005513757A (ja) * 2001-06-26 2005-05-12 ケーエルエー−テンカー・コーポレーション リソグラフィのフォーカスおよび露光を決定する方法
WO2005078528A2 (en) * 2004-02-03 2005-08-25 Mentor Graphics Corporation Source optimization for image fidelity and throughput
JP2005234571A (ja) * 2004-02-20 2005-09-02 Internatl Business Mach Corp <Ibm> 高速なモデルに基づく光学的近接効果補正
JP2006515958A (ja) * 2003-01-17 2006-06-08 ケーエルエー−テンカー テクノロジィース コーポレイション 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法
WO2007019269A2 (en) * 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
JP2007081393A (ja) * 2005-09-12 2007-03-29 Asml Netherlands Bv 照明プロファイルを決定する方法及びデバイス製造方法
JP2007150354A (ja) * 2007-02-19 2007-06-14 Toshiba Corp パターン予測方法およびプログラム
JP2008010862A (ja) * 2006-06-19 2008-01-17 Asml Netherlands Bv 較正方法
JP2008124469A (ja) * 2006-11-08 2008-05-29 Asml Masktools Bv キャリブレーションされた瞳カーネルを生成する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用
WO2008086528A2 (en) * 2007-01-11 2008-07-17 Kla-Tencor Technologies Corporation Method for detecting lithographically significant defects on reticles
JP2008166777A (ja) * 2006-12-28 2008-07-17 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) * 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) * 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO1998028665A1 (en) * 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
EP1530083A3 (en) * 2003-11-05 2006-03-01 ASML MaskTools B.V. OPC based on decomposition into eigen-functions
US7494753B2 (en) * 2005-01-28 2009-02-24 Asml Masktools B.V. Method, program product and apparatus for improving calibration of resist models used in critical dimension calculation
CN100485527C (zh) * 2005-04-21 2009-05-06 中国科学院上海光学精密机械研究所 光刻机成像质量的检测方法
US7587704B2 (en) * 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US8165854B1 (en) * 2006-01-11 2012-04-24 Olambda, Inc. Computer simulation of photolithographic processing
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000352809A (ja) * 1999-06-11 2000-12-19 Matsushita Electronics Industry Corp 半導体回路パタンの設計方法
JP2002184688A (ja) * 2000-09-12 2002-06-28 Asml Masktools Netherlands Bv 高速空中像シミュレーションのための方法および装置
JP2005513757A (ja) * 2001-06-26 2005-05-12 ケーエルエー−テンカー・コーポレーション リソグラフィのフォーカスおよび露光を決定する方法
JP2004103674A (ja) * 2002-09-06 2004-04-02 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2006515958A (ja) * 2003-01-17 2006-06-08 ケーエルエー−テンカー テクノロジィース コーポレイション 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法
JP2004288694A (ja) * 2003-03-19 2004-10-14 Renesas Technology Corp 半導体装置の製造方法およびそのシステム
JP2004279997A (ja) * 2003-03-19 2004-10-07 Toshiba Corp エッジ位置ずれ量の算出方法、検証方法、検証プログラム、及び検証システム。
WO2005078528A2 (en) * 2004-02-03 2005-08-25 Mentor Graphics Corporation Source optimization for image fidelity and throughput
JP2005234571A (ja) * 2004-02-20 2005-09-02 Internatl Business Mach Corp <Ibm> 高速なモデルに基づく光学的近接効果補正
WO2007019269A2 (en) * 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
JP2009505400A (ja) * 2005-08-08 2009-02-05 ブライオン テクノロジーズ インコーポレイテッド リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法
JP2007081393A (ja) * 2005-09-12 2007-03-29 Asml Netherlands Bv 照明プロファイルを決定する方法及びデバイス製造方法
JP2008010862A (ja) * 2006-06-19 2008-01-17 Asml Netherlands Bv 較正方法
JP2008124469A (ja) * 2006-11-08 2008-05-29 Asml Masktools Bv キャリブレーションされた瞳カーネルを生成する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用
JP2008166777A (ja) * 2006-12-28 2008-07-17 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
WO2008086528A2 (en) * 2007-01-11 2008-07-17 Kla-Tencor Technologies Corporation Method for detecting lithographically significant defects on reticles
JP2007150354A (ja) * 2007-02-19 2007-06-14 Toshiba Corp パターン予測方法およびプログラム

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9990451B2 (en) 2014-02-12 2018-06-05 Asml Netherlands B.V. Process window optimizer
US11238189B2 (en) 2014-02-12 2022-02-01 Asml Netherlands B.V. Process window optimizer
JP2017505460A (ja) * 2014-02-12 2017-02-16 エーエスエムエル ネザーランズ ビー.ブイ. プロセスウィンドウを最適化する方法
KR20170088367A (ko) * 2014-11-20 2017-08-01 칼 짜이스 에스엠티 게엠베하 적어도 하나의 조작기를 갖는 투영 노광 장치
KR102513281B1 (ko) 2014-11-20 2023-03-23 칼 짜이스 에스엠티 게엠베하 적어도 하나의 조작기를 갖는 투영 노광 장치
US10901330B2 (en) 2015-06-17 2021-01-26 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
US11703772B2 (en) 2015-06-17 2023-07-18 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
JP2018519543A (ja) * 2015-06-17 2018-07-19 エーエスエムエル ネザーランズ ビー.ブイ. 相互レシピ整合性に基づくレシピ選択
US10338484B2 (en) 2015-06-17 2019-07-02 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
KR102307022B1 (ko) * 2015-06-18 2021-09-30 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 교정 방법
KR20190130070A (ko) * 2015-06-18 2019-11-20 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 교정 방법
US10627729B2 (en) 2015-06-18 2020-04-21 Asml Netherlands B.V. Calibration method for a lithographic apparatus
US10884345B2 (en) 2015-06-18 2021-01-05 Asml Netherlands B.V. Calibration method for a lithographic apparatus
KR102046597B1 (ko) * 2015-06-18 2019-11-19 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 교정 방법
KR20180006973A (ko) * 2015-06-18 2018-01-19 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 교정 방법
JP2018533755A (ja) * 2015-10-27 2018-11-15 サイマー リミテッド ライアビリティ カンパニー 光学システムのためのコントローラ
JP2019507382A (ja) * 2016-02-19 2019-03-14 東京エレクトロン株式会社 光増感化学増幅レジスト(ps−car)シミュレーション
JP2022027791A (ja) * 2016-02-19 2022-02-14 東京エレクトロン株式会社 光増感化学増幅レジスト(ps-car)シミュレーション
US10615084B2 (en) 2016-03-01 2020-04-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter, associated with a change in a physical configuration, using measured pixel optical characteristic values
JP2019512110A (ja) * 2016-03-01 2019-05-09 エーエスエムエル ネザーランズ ビー.ブイ. パターニングプロセスパラメータを決定する方法及び装置
US11145557B2 (en) 2016-03-01 2021-10-12 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter

Also Published As

Publication number Publication date
CN101738872A (zh) 2010-06-16
US20100119961A1 (en) 2010-05-13
NL2003654A (en) 2010-05-10
US8418088B2 (en) 2013-04-09
US20130232457A1 (en) 2013-09-05
CN101738872B (zh) 2013-04-10
JP5191975B2 (ja) 2013-05-08
US9009647B2 (en) 2015-04-14

Similar Documents

Publication Publication Date Title
JP5191975B2 (ja) リソグラフィ較正のための方法
JP5596969B2 (ja) リソグラフィプロセスウィンドウ最大化光近接効果補正のための方法及びシステム
JP5756739B2 (ja) リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
US8542340B2 (en) Illumination optimization
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
JP5033859B2 (ja) モデルベースの汎用マッチング及びチューニングのための方法及びシステム
JP5666609B2 (ja) 光源及びマスクの最適化のためのパターン選択方法
JP5180359B2 (ja) 光源、マスクおよび投影光学系の最適化の流れ
KR101501580B1 (ko) 투영 광학기에 의한 광 조작을 포함하는 패턴-의존적 근접성 매칭/조율
KR101527496B1 (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
US11567413B2 (en) Method for determining stochastic variation of printed patterns
JP5461457B2 (ja) マスクを表す機能と予め規定された光学条件との相関関係に基づくリソグラフィ結像における設計ルールの最適化
TW201341970A (zh) 用於進階微影術之可察知透鏡升溫的源光罩最佳化
TWI750648B (zh) 用於判定與期望圖案相關聯之隨機變異之方法
KR101394585B1 (ko) 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120614

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120907

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120912

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130130

R150 Certificate of patent or registration of utility model

Ref document number: 5191975

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160208

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees