JP2006515958A - 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法 - Google Patents

2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法 Download PDF

Info

Publication number
JP2006515958A
JP2006515958A JP2006501078A JP2006501078A JP2006515958A JP 2006515958 A JP2006515958 A JP 2006515958A JP 2006501078 A JP2006501078 A JP 2006501078A JP 2006501078 A JP2006501078 A JP 2006501078A JP 2006515958 A JP2006515958 A JP 2006515958A
Authority
JP
Japan
Prior art keywords
scatterometry
difference
different
focus
process parameters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006501078A
Other languages
English (en)
Other versions
JP4799402B2 (ja
JP2006515958A5 (ja
Inventor
ミーハー・ウォルター・ディ.
マック・クリス
ハンキンソン・マット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2006515958A publication Critical patent/JP2006515958A/ja
Publication of JP2006515958A5 publication Critical patent/JP2006515958A5/ja
Application granted granted Critical
Publication of JP4799402B2 publication Critical patent/JP4799402B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70533Controlling abnormal operating mode, e.g. taking account of waiting time, decision to rework or rework flow
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N2021/8411Application to online plant, process monitoring
    • G01N2021/8416Application to online plant, process monitoring and process controlling, not otherwise provided for
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】 フォトリソグラフィシステムの1つ以上のプロセスパラメータ設定を決定する方法を提供する。
【解決手段】 フォトリソグラフィシステムの1つ以上のプロセスパラメータ設定を決定する方法が開示される。この方法は、パターンの潜像、部分的に現像されたパターンまたは完全に現像されたパターンを計測する散乱計測ツール(258)を利用して実行される。散乱計測ツールは、ステッパ(254)の終わりに、またはレジスト現像器(256)の始めに配置されえる。

Description

本発明は、一般にフォトリソグラフィの方法およびシステムに関し、より具体的にはフォトリソグラフィシステムのフォーカスおよび露光設定を決定する改良された技術に関する。
集積回路の製造において用いられるフォトリソグラフィ、つまり光リソグラフィシステムが現れてからしばらく経つ。このようなシステムは、正確な製造および製品中で非常に小さく細かいものを形成するのに極端に効果的であることが証明された。たいていのフォトリソグラフィシステムにおいて、回路イメージは、光または照射ビーム(例えばUVつまり紫外光)を介してパターンを転写することによって基板上に書かれる。例えば、リソグラフィシステムは、回路イメージをレチクルを通して、フォトレジスト、すなわち照射に感光性を持つ材料でコーティングされたシリコンウェーハ上に投影する光または放射のソースを含みえる。露光されたフォトレジストは典型的には、後続の処理ステップ、例えば成膜および/またはエッチングのあいだ、現像の後にウェーハのレイヤをマスクするパターンを形成する。
フォトリソグラフィプロセスを制御する最も重要なプロセスのうちの2つは、フォーカスおよび露光量である。フォーカスは一般に、リソグラフィシステムの光学サブシステムが像を作る鮮明さを扱い、露光量は一般に、パターンを形成するのに用いられる光(または照射)(リソグラフィシステムの光源によって作られる光のような)の量または適用量を扱う。両者は回路パターンに少なからず影響を与える。例えば、フォーカスおよび露光量の変化は、レジストプロファイル、すなわちフォトレジストに印刷された回路の形状の変化を生じえる。レジストプロファイルは、プロファイルの台形近似に関する3つのパラメータ、すなわち線幅または微小寸法(CD)、側壁角および高さによってしばしば記述される。もしレジストプロファイル変化が大きすぎるなら、最終的な回路は適切に動作しないかもしれず、またはまったく動作しないかもしれない。例えば、線幅は、速度および回路にわたってのタイミングを決定する一つのファクタであり、よってその変化は、回路のある部分がその回路の他の部分よりも速くまたは遅く動作することを生じえる(それにより、回路がより遅い部分に合わせてクロック設定されるので、チップの売価を下げることなる)。理解されるように、レジストプロファイルの質は、それを通して形成されるエッチングされたまたは成膜されたフィーチャに直接に関連する。加えて、レジストプロファイルの変化は、廃棄またはリワークされなければならないかもしれないような開放または短絡回路を生じえる。
現在、リソグラフィシステムの最適化フォーカスおよび露光量設定は、フォーカス露光量マトリクス(FEM)を用いて、すなわちウェーハをフォーカスおよび露光量の複数の組み合わせで露光し、それから最良のレジストプロファイル、すなわち所望のまたは最適なレジストプロファイルにより近く適合するレジストプロファイルについて、結果として生じるパターンを検査することによって形成することによって決定される。この検査は、レジストプロファイルのCDを計測するCD走査電子顕微鏡(CD−SEM)によって一般に実行される。フォーカスー露光量マトリクスはBossung図(Bossung plot)を用いて視覚化されえる。Bossung図は、異なるレベルの露光量についてのCD対フォーカス位置を一般にプロットしえる。すなわち露光量の変化するレベルが、グラフのY軸を表す線幅およびX軸を表すフォーカス位置を持つ輪郭線としてプロットされえる。代替として、Bossung図は、異なる値のCDについて露光量対フォーカスをプロットしえる。すなわちCDの値が、グラフのY軸を表す露光量およびX軸を表すフォーカス位置を持つ輪郭線としてプロットされる。他のレジストプロファイルパラメータ、例えば側壁角および高さもBossung図を用いて視覚化されえる。これらのプロットは、得るのが一般により難しいが、それはこれらの形状を計測することはしばしば困難な事だからである。たいていの場合、これらパラメータが計測されえるように、ウェーハは廃棄、すなわち切断されなければならない。システムのプロセスウィンドウは、複数のレジストプロファイルパラメータ、例えば、線幅、側壁角および高さを同じBossung図内にプロットすることによって決定されえる。プロセスウィンドウは、最終的なレジストプロファイルを所定の仕様内に維持するフォーカスおよび露光量の領域として一般に定義される(例えばプロセスウィンドウは典型的には最適フォーカスおよび露光量を含む)。
残念ながら、上述の方法はいくつかの欠点がある。一つには、フォーカスおよび露光量試験は定期的に実行されるので、プロセスは試験間でドリフトして制御できなくなりえる。制御できないプロセスは、スクラップまたはリワークされる必要がありえるウェーハへとつながりえ、歩留まりを下げ、コストを上げる。例えば、これらの試験は、12時間の増分、1日の増分などにおいて実行されえる。他の欠点は、リソグラフィシステムは、試験を実行するために製造を止めなければならないことである。すなわち、製造ランは、フォーカス露光量マトリクス試験ウェーハがシステム内に挿入されえるように、ストップされなければならない。理解されるように、製造ランを停止することは、リソグラフィシステムのスループットを低減させ、よってサイクルタイムおよびコストを増す。
これら欠点を救済する試みには、CD−SEMを用いて製造ランのあいだにパターンのCDを計測し、それからCDの変化に影響を与える操作された変数としての露光量(exposure dose)を用いてCDを所定の仕様内に維持することが含まれている。フォーカスはCDに大きな効果を有しえるが、この方法においてはフォーカスが一定であって、したがってCDに影響を与えないことが想定される。しかし残念ながら、フォトリソグラフィシステムのフォーカスは、一定フォーカスの想定を誤りにするくらい、時間と共にドリフトしえる(そして実際にドリフトする)。したがって、この方法はあまり正確ではないかもしれないが、それは、1つではなく2つの変数(フォーカスおよび露光)がCDに影響を与えうるからである。さらに、単一の計測タイプ、CDがルーチンとして実行されえる唯一の利用可能な試験である、すなわちCD−SEMはCDを計測することだけが典型的には可能である(例えばチルトされたビームのCD−SEMを用いない限り)という事実のために、唯一の2つの変数(例えばフォーカスおよび露光の両方)を独立して同時に操作することは一般に可能ではないことに注意されたい。フォーカスをモニタリングする他の方法は、ラインエンド短縮(line end shortening)の減少に大きくは基づく。
前述を考慮し、フォトリソグラフィシステムのフォーカスおよび露光設定を決定する改良された技術が望まれる。特に、製造ウェーハを計測またはモニタリングすることによってシステムに迅速なフィードバックを可能にし、それにより製造を中止することなく、プロセスドリフトが実質的に除去されえる技術が望まれる。
本発明は、ある実施形態において、プロセス制御方法に関する。この方法は、異なるプロセス応答を持つ少なくとも2つの格子構造において散乱計測法による計測を実行することによって散乱計測信号を得ることを含む。前記少なくとも2つの格子構造は、同じフィールド内に位置し、互いに非常に近接している。この方法はまた、前記少なくとも2つの格子構造を形成するのに用いられた1つ以上のプロセスパラメータについての情報を確定するために前記少なくとも2つの異なる格子構造からの散乱計測信号を比較することを含む。この方法はさらに、前記比較に基づいて前記1つ以上のプロセスパラメータを制御することを含む。この比較は、前記少なくとも2つの格子構造からの散乱計測信号間の差分を決定することを含みえる。
本発明は他の実施形態において、1つ以上のプロセスパラメータを制御する方法に関する。この方法は、少なくとも2つの格子構造について散乱計測信号を得ることを含む。前記格子構造のそれぞれは、制御されることが望まれる1つ以上のプロセスパラメータに対して異なる感度を有する散乱計測信号を作る。この方法はまた、前記異なる格子構造を形成するのに用いられた1つ以上のプロセスパラメータについての情報を確定するために散乱計測信号を比較することを含む。前記散乱計測ターゲットのそれぞれは、異なる散乱計測信号を作るよう構成される。前記差分は少なくとも一部は1つ以上のプロセスパラメータに起因する。
本発明は、他の実施形態において、最適または最良フォーカスを決定する方法に関する。この方法は、複数のフォーカス設定においてターゲットグループを形成することを含む。前記ターゲットグループは、異なる感度をフォーカスに対して持つ2つ以上のターゲットを含む。この方法はまた、前記ターゲットグループの前記ターゲットのそれぞれについて散乱計測信号を得ることを含む。この方法はさらに、それぞれのターゲットグループについて差分信号を計算することを含む。この方法はさらに、前記差分信号または前記差分信号の特性間の、前記フォーカス設定に対する関係を形成することを含む。さらにこの方法は、前記関係を用いて最適または最良フォーカス設定を決定することを含む。
本発明は、他の実施形態においてはプロセス制御方法に関する。この方法は、異なる散乱計測信号を作るよう構成される2つ以上の計測可能なパターンを計測することを含む。前記信号間の前記差分は少なくとも一部は、前記計測可能なパターンを作るのに用いられた1つ以上のプロセスパラメータによる。この方法はまた、フォトリソグラフィプロセスのための前記最良のプロセス条件を決定するために前記差分信号を分析することを含む。前記分析ステップは、前記差分信号から1つ以上のプロセスパラメータについての情報を抽出することを含む。
本発明は、他の実施形態においては、ターゲットグループに関する。このターゲットグループは、異なるプロセス応答を有するよう構成される2つ以上の散乱計測ターゲットを含む。前記2つ以上の散乱計測ターゲットは同じフィールド内に位置し、互いに非常に近接している。異なるプロセス応答を持つ前記散乱計測ターゲットは、異なる散乱計測信号を作る。前記散乱計測信号の差分は、前記散乱計測ターゲットを作るのに用いられた1つ以上のプロセスパラメータに少なくとも一部はよる。
本発明は、限定ではなく例示によって示される。
本発明の一般的な目的は、散乱計測法による計測(scatterometry measurements)および注意深く設計された計測サイト(measurement sites)を用いてフォトリソグラフィプロセスをモニタ、最適化および制御することである。本発明は、異なる散乱計測信号を作るよう構成される2つ以上の計測可能なパターンを一般に含む。信号間の差異は好ましくは、計測可能なパターンを作るために用いられる1つ以上のプロセスパラメータに起因する。したがって差分信号は、フォトリソグラフィプロセスのための最良のプロセス条件を決定するためにモニタされえる。例えばプロセスパラメータについての情報は、差分信号から抽出されえるが、これは差分が少なくとも部分的にはプロセスパラメータに基づくからである。
より具体的には、本発明は、1つ以上のプロセスパラメータに起因しえる差を有する少なくとも2つの格子構造のセットに対して散乱計測法による計測を実行することを含む。すなわち格子構造が形成されるとき、それらは1つ以上のプロセスパラメータによって異なるように影響される。格子構造およびそれらの差異は、注意深く設計されたマスクを用いて作られえる。また格子構造における差異は、それぞれの格子構造についての散乱計測スペクトルにおける差異としても現れる。すなわち異なる格子構造は異なるスペクトルを作る。パターン同様、散乱計測スペクトル間の差異も少なくとも一部は1つ以上のプロセスパラメータに起因しえる。すなわちプロセスパラメータへの関連性は、格子構造から散乱計測スペクトルへと反映される。差異が反映されるので、それぞれの格子構造からの散乱計測スペクトルは、パターンを作るために用いられるプロセスパラメータについての情報を知るために比較されえる。この情報は、許容可能なレベル内にプロセスの質を維持するためにプロセスパラメータを制御するために後に用いられえる。例えば、この差は、アラームを起動するために用いられえ、またはこれは補正を決定するための制御メカニズムまたはプロセス制御システムへの入力として用いられえる。
たいていの場合、差分スペクトルまたは信号を作るために、差分スペクトル群は互いに差をとられる。2つの散乱計測スペクトルの差をとることの一つの優位性は、差分スペクトルまたは信号は、2つのパターンに同様に影響を与える他のプロセスパラメータに対してある程度、不感であることであり、すなわち同様の条件は打ち消し合う。その結果、差分は、2つのパターンの差分、およびプロセスの他のパラメータよりもリソグラフィプロセスパラメータに対するそれらの各応答に、より重く重み付けされる。一般に望まれるのは、モニタされることが望まれるプロセスパラメータによって生じる差分を最大化することであり、一方で、例えば他のプロセスパラメータおよび基板特性(例えば膜厚、材料、下層の構造など)を含む他のプロセス条件についての差分を最小化することである。すなわち、差分スペクトルは、モニタされることが望まれるプロセスパラメータのために変化し、それに付随する他のものによって変化はしない。
よく知られるように、格子構造は、ピッチ、線幅、側壁角、高さ、線長、容積、プロファイルのようないくつかのパラメータによって定義される。格子パラメータのそれぞれは、プロセスパラメータに対して異なる感度を有する。格子パラメータのいくつかはプロセスパラメータにロバストであり、したがってそれらに対するプロセスパラメータの効果はせいぜい小さい。他の格子パラメータは、プロセスパラメータに対してとても感度が高く、したがってそれらに対するプロセスパラメータの効果はより大きい。例として、線幅はフォーカスに対して感度が高く、すなわちフォーカスの変化は線幅の変化を生む。格子構造セット中の格子構造を設計するとき、格子パラメータのロバスト性および感度が考慮される。
本発明において、格子構造セット中の格子構造のそれぞれは、意図的にそれらの間で異なるに作られることによって、それらに対するプロセスパラメータの効果が異なるようにされた少なくとも1つの有感度パラメータ(sensitive parameter)を含む。残りの格子パラメータのうちの少なくとも一部は同様であり、それらに対するプロセスパラメータの効果は同様である。その結果、格子構造のそれぞれからの計測された散乱計測スペクトルは、類似および差異の両方を有する。必要条件ではないが、スペクトル間で良好な比較を可能にするために差異側よりも類似性側へ重み付けされるスペクトルを作ることが一般に望ましい。すなわち、プロセス差異に感度を有する良好な比較を達成するためにはある程度の類似性が必要とされる。簡単に言えば、類似して見えるスペクトルを作ることによって、そのスペクトルが容易に比較されえるように少なくとも一つの格子パラメータが用いられ、差異を作ることによってプロセスパラメータについての情報が得られるように別のもう一つのパラメータが用いられる。ある実現例において、格子構造のピッチが類似して作られるパラメータであり、これはピッチがスペクトル形状の最も強い指示子のうちの一つであるからである。ピッチが同様であるとき、スペクトル中の差異の一部は少なくとも部分的には線幅のような他のパラメータ間で見られる差異による。
スペクトル群が互いに差をとられるとき、類似性は打ち消され、それによって差異が残る。この差異は、プロセスパラメータに起因するので、プロセスパラメータに関する情報を含む。理解されるように、この差分またはそのいくつかの特性は、プロセスパラメータについての情報を決定するために分析されえる。例えば、変換アルゴリズム、較正ライブラリまたは較正曲線が用いられて、差分信号をプロセスパラメータ情報に変換する。変換アルゴリズム、ライブラリおよび曲線は、与えられた差分信号に関連する所定のプロセスパラメータ情報を一般に提供する。すなわち、この信号は特定のプロセスパラメータに対応する。さらにもし2つ以上の格子構造が格子構造セット群の中で用いられるなら、またはもし格子構造群の複数セットが用いられるなら、複数の差異が得られて、その後、プロセスパラメータについての情報の量を増やすために比較されえる。理解されるように、差異が多いほど、プロセスパラメータについてのより多くの情報が得られる。
例えば、差分スペクトル(difference spectras)は第1および第2格子構造間で、第1および第3格子構造間で、第2および第3格子構造間でなどのように獲得されえる。格子構造の異なるセット群はまた、追加の差分スペクトルを得るために用いられえる。これらスペクトルのそれぞれは、同じプロセスパラメータについての何らかを表しえ、またはそれらは異なるプロセスパラメータについての何らかを知らせえる。例として、第1のものがフォーカスに相関付けられえるなら、第2のものは露光に相関付けられえる。
リソグラフィにおいて、フォーカスおよび露光は、デバイスのパターニングに大きな影響を有する2つのプロセスパラメータであり、したがってこれらをモニタして、フォーカスおよび露光を制御することは重要である。これらパラメータのそれぞれは、格子構造を含むパターンの形成に少なくない影響を与える。したがって格子構造は、フォーカスおよび露光をモニタするように特別に設計されえる。格子構造は例えば、フォーカスおよび/または露光に対して異なる感度のために異なるように形成された、すなわちフォーカスおよび露光に依存して変化する異なる格子パラメータを含みえる。例として、第1格子構造は、フォーカス(および/または露光)に対する第1感度から形成された第1値を有する第1パラメータを含みえ、第2格子構造は、フォーカス(および/または露光)に対する第2感度から形成された第2値を有する第1パラメータを含みえる。第2感度は、フォーカス(および/または露光)に対して第1感度よりも大きいかまたは小さいかのいずれかでありえる。この例示的セットは、追加の格子構造を含みえ、これら格子構造は、フォーカス(および/または露光)についてのより多くの情報を得るためにフォーカス(および/または露光)に対する異なる感度を有する。格子構造セットの追加のセットはまた、さらに多くの情報を得るために用いられえる。例えば、露光のような他のプロセスパラメータに対してより感度の高い格子構造の第2セットが用いられえる。ある特定の実施形態において、フォーカスは、フォーカスの符号および大きさによって異なるように影響される異なる線幅を有する格子構造でモニタされる。上述のように線幅は、フォーカスに対して非常に感度が高い一つのパラメータである。
本発明の実施形態が図1〜13を参照して以下に説明される。しかしこれらの図を参照してここで与えられる詳細な説明は、例示的目的であって、本発明はこれらの有限の実施形態を超えた範囲をカバーすることを当業者は容易に理解するだろう。
図1は、本発明のある実施形態によるプロセス制御方法10である。このプロセス制御方法は一般に、1つ以上のプロセスパラメータについての情報を確定するように構成され、このパラメータは、フォトリソグラフィプロセスのあいだに基板上にパターンを形成するために用いられる。この情報は、後続のリソグラフィパターニングの制御を改善するため、およびフォトリソグラフィパターンの質が特定された要件を満たすかを決定するために用いられえる。理解されるように、プロセスパラメータは、一般にリソグラフィシステムの処理条件を制御するのに用いられるパラメータを指す。例として、プロセスパラメータは、フォーカスオフセット、露光量、レジスト厚、現像時間および温度、露光後ベーク時間および温度などに対応しえる。
プロセス制御方法は、一般にブロック12において始まり、ここでマスクが設計される。マスクは、計測可能なパターンを形成するために用いられる1つ以上のプロセスパラメータについての情報を含む計測可能なパターンを作るために一般に提供される。プロセス情報は、パターニングステップまたはパターニングシステムの質を確定するために、計測可能なパターンから抽出されえる。このマスクは、試験または較正のために用いられる試験マスク、またはウェーハ上に回路パターンを形成するための製造マスクでありえる。最も簡単な場合、マスクは、2つ以上のマスキング構造で設計され、それらのそれぞれは異なるプロセス応答を持つ対応する格子構造を作るよう構成される。異なるプロセス応答とは、一般に、格子構造のそれぞれが1つ以上の「選択された」プロセスパラメータに対して異なるように応答することを意味する。選択されたとは、一般に、これらプロセスパラメータが多くのプロセスパラメータから選ばれることを意味する。選択されたプロセスパラメータは典型的には、最適化、モニタリングまたは制御されることが望まれるプロセスパラメータである。例として選択されたパラメータは、フォーカスおよび/または露光に対応しえる。
ある実施形態において、散乱計測ターゲットマスキング構造は、選択されたプロセスパラメータに対して異なる感度を有する(よって異なるプロセス応答を有する)対応するまたは関連するパラメータを持つ格子構造を作る。すなわち、散乱計測ターゲットマスキング構造は、選択されたプロセスパラメータの値に従って変化する対応するパラメータを持つ格子構造をウェーハまたは基板上に作る。この感度は、有感度パラメータ(sensitive parameters)を注意深く選択することによって、または異なる感度を作るアシストフィーチャ(assist features)を利用することによって獲得されえる。このパラメータは例えば、線幅、高さ、側壁角、長さ、フィーチャ容積、プロファイルを含みえる。理解されるように、これらのそれぞれは、特定のプロセスパラメータに対して異なる応答を有しえる。例として線幅は、フォーカスに対して非常に感度が高く、よって例えばフォーカスの符号および大きさのようなフォーカスについての情報を得るために利用されえる。アシストフィーチャは、一方、散乱バー(scattering bars)、セリフ(serifs)、カットイン(cut-ins)などを含みえる。
ブロック12に続いて、プロセスファイルはブロック14に進み、ここで異なるプロセス応答を持つ格子構造が基板上に形成される。格子構造は、フォーカスおよび露光のようなプロセスパラメータのセットを用いて形成される。一般に、格子構造は、光が対応するマスキング構造(異なるプロセス応答を作るよう設計される)を通って到達するようにされるとき、ワークピースの表面上にプリントされる。例としてこの表面は、フォトレジストの露光されたレイヤ、フォトレジストの部分的に現像されたレイヤ、フォトレジストの現像されたレイヤ、ウェーハの下層レイヤ(例えば金属または誘電体内にエッチングされた)および/またはその他を表しえる。一般によく知られるように、光または照射は、フォトレジスト内で化学および物理変化を誘発し、これが構造パターンに現像されえる。
格子構造は、同様の、しかし異なる散乱計測信号を作るように構成され、この差分は、格子構造を作るのに用いられる好ましくは1つ以上のプロセスパラメータに起因する。互いに異なるように変化する格子構造のパラメータは、1つ以上のプロセスパラメータに対するその感度に基づいて一般に選ばれる。
格子構造は、試験プロシージャの一部として試験ウェーハ上にプリントされえ、またはそれらは製造のあいだに製造ウェーハ上にプリントされえる。試験のあいだ、格子構造は試験ウェーハ全体にわたってプリントされえる。製造中、格子構造は典型的にはデバイス構造(例えばICを定義するダイ)間のスクライブライン内に配置される。さらにまたは代替として、それらはデバイス構造そのものの中に配置されえる。格子構造は、実際にデバイス構造の一部であってもよい。ある実施形態において、関連する格子構造は全てスクライブライン内に配置される。他の実施形態において、関連する格子構造の少なくとも一つは、デバイス構造内に配置され、格子構造の他の一つはスクライブライン内に配置される。さらに他の実施形態において、関連する格子構造は全てデバイス構造内に配置される。
関連する格子構造は、制御されることが望まれる特性以外の特性についての差を最小にすることによって、それらが同じプロセス条件で、同じ膜から、同じ下層構造から、同じ材料からなどにより形成されるように、同じフィールド内に、互いに近接して好ましくは形成される。これはコモンモードと呼ばれることもある。一般に、制御しようとしている特性以外の全ての特性をコモンモードにすることが望ましい。理解されるように、プロセスパラメータ、下層膜、表面材料などはウェーハにわたって、およびフィールド内で変わりえる。
場合によっては格子構造は、形成するのに用いられるプロセスパラメータによって異なるように変化する平行なプリントされたラインによって形成される周期的構造である。それらはプロセスパラメータに従って変化するので、それらはプロセスパラメータについての情報を含む。この情報は、プロセスパラメータを最適化、モニタ、または制御するために後のステップにおいて抽出されえる。このラインは、ピッチ、線幅、側壁角、高さ、ピッチ、トッププロファイル(上部の丸みまたはTトッピング(T topping)の度合い)、ボトムプロファイル(フッティング(footing))などのパラメータによって支配されえる。
格子構造は、例えばライン格子のように一つの方向(XまたはY)において周期的でありえ、または例えばグリッド格子のようにそれは2つの方向(XおよびY)において周期的でありえる。代替として格子は、XまたはY軸にアラインされていない方向において周期的でありえるが、XおよびY軸に対して角度をなす1つ以上の方向、例えばX軸に対して45度における方向に沿って周期的でありえる。
格子構造セット内の格子構造の数は、格子構造セットの数と共に、大きく変わりえる。数が多いほど、プロセス条件を決定するのに用いられえるより多くの情報が得られると一般に考えられている。しかし典型的には、利用可能なスペース、望ましい計測時間およびより多くの情報の間でのトレードオフが存在する。望ましい量は典型的には、役目を果たす、すなわち選択されたプロセスパラメータを最適化、モニタまたは制御するために必要な情報を提供する格子構造の最小の個数として定義される。
ある実施形態において一つのターゲット(または格子構造)は、デバイスと同じピッチ、レイアウトおよび微小寸法を持つデバイス構造の周期的アレイとして構築される。このターゲットは実際に、デバイス内の計測領域、または計測のために特別に設計された計測ターゲットでありえる。第2ターゲット(または格子構造)は、同じ周期的構造およびレイアウトで設計されるが、最もクリチカルなデバイスフィーチャについて異なる線幅を持つ。もし例えばクリチカルなデバイスフィーチャが孤立した狭いラインであるなら、第2ターゲットはクリチカルなフィーチャの線幅をより広くする点を除き第1計測ターゲットと同じであるように設計されえる。より広いラインは、狭いラインよりもフォーカスに対して感度が低いはずであり、特にもしその狭いラインがリソグラフィプロセス能力の限界に近いならそうである。そのデバイスについての最良のフォーカス条件は、狭いデバイスラインセグメントの幅(または領域または容積)が最大であるときであると考えられ、そのとき第1および第2ターゲットからのスペクトルの差は最小であると観測されるだろう。
2つのターゲットを用いることは限定ではなく、2つより多いターゲットが用いられえることに注意されたい。例として、同じピッチを持つが、デバイス構造に対応する第1ターゲットよりもより大きい線幅およびより小さい線幅を持つ第2および第3ターゲットが設計されえる。最良のフォーカスはこれらターゲット間のスペクトルにおける最小の差に対応するはずである。
他の実施形態において、1つのターゲット(または格子構造)は、デバイスと同じピッチ、レイアウトおよび微小寸法を持つコンタクトホールの周期的アレイとして構築される。このターゲットは実際にデバイス内の計測領域、または計測のために特別に設計された計測ターゲットでありえる。第2ターゲット(または格子構造)は、同じ周期的構造およびレイアウトを持つように、しかし散乱計測信号が制御しようとしているプロセスパラメータに対してより高感度または低感度になるように異なる微小寸法を持つように設計される。例えば第2ターゲットのコンタクトの直径は、第1ターゲットよりも大きくてもよく、これにより第2ターゲットは第1ターゲットよりフォーカスに対してより感度が低くなる。デバイスについての最良のフォーカス条件は、デバイスコンタクトの直径(または面積または容積)が最大であるときであり、これは第1および第2ターゲットからのスペクトルの差が最小であるときに見られる。
他の実施形態において、1つのターゲット(または格子構造)が、デバイスとして同じピッチ、レイアウトおよび微小寸法および光学近接効果補正(OPC)を持つラインセグメントの周期的アレイとして構築される。このターゲットは実際にデバイス内の計測領域でありえ、または計測のために特別に設計された計測ターゲットでありえる。第2ターゲット(または格子構造)は、同じ周期的構造およびレイアウトを持つが、しかし異なるOPCを持つか、または選ばれたOPCを持たないことにより散乱計測信号が制御しようとしているプロセスパラメータに対してより高感度になるように設計される。例えば、第2ターゲット上のOPCを持たない未補正ラインセグメント(uncorrected line segments)のラインエンド短縮(line end shortening)(およびラインエンド角)は、第1計測ターゲットよりも大きく、第2計測ターゲットを第1ターゲットよりもフォーカスに対してより高感度にする。デバイスについての最良のフォーカス条件は、未補正ラインセグメントの寸法(長さ、または2次元、トップダウンエリア、または3次元容積)が最大になるときと考えられえる。この条件は、第1および第2ターゲットからのスペクトル間の差が最小になるときに示されえる。
ブロック14に続いて、プロセスフローはブロック16に進み、ここで散乱計測信号は散乱計測を少なくとも2つの、異なるが、関連する格子構造に対して実行することによって得られる。この関連する格子構造は異なるとされるが、それはそれぞれが異なるプロセス応答を有する、すなわちそれらが1つ以上のプロセスパラメータに対してそれぞれ異なるように応答するからである。格子構造が異なるプロセス応答を有するので、そこから作られる散乱計測信号も異なる。これらの差は好ましくは、異なる格子構造を作るのに用いられる1つ以上のプロセスパラメータによる。格子構造は、結果として生じる散乱計測信号が選択されたプロセスパラメータに対してより高感度または低感度であるように選ばれる。
散乱計測において、1つ以上の光または照射ビームが格子構造上に入射するようにされ、格子構造から散乱する散乱された、反射された、および/または回折されたビームの一部または全てが計測される。入射光は、パターンに向けて垂直に、または垂直に対してある角度をなして導かれえる。格子構造から散乱する光は、典型的にはさまざまな次数、すなわち入射光に対する角度で散乱、反射、および/または回折される。さまざまな次数の少なくとも一部からの散乱、反射および/または回折された光の特性(例えば強度、位相、偏光など)が計測され、それによって計測信号または計測されたスペクトルを形成する。
光の散乱、反射および回折、従って計測されたスペクトルは、以下に限定されないが、線幅、ライン間隔、ピッチ、光学特性(n(ラムダ)、k(ラムダ))、形状およびプロファイル(例えば微小寸法)、高さ、側壁角、側壁粗さ、Tトッピング(T-toppings)、フッティング(footing)、フィーチャ、容積などを含む多くのファクタに一般に依存する。これらは典型的にはプロセスパラメータによって影響されるパラメータであるので、計測されたスペクトルは一般に、格子構造を作るのに用いられるプロセスパラメータについての情報を明らかにする。すなわち、散乱された、反射されたおよび/または回折された光は、異なる格子構造について異なり、よって散乱された、反射されたおよび/または回折された光は、プロセスパラメータについての情報を確定するのに用いられえる。すなわち、計測されたスペクトルおよび格子構造のプロファイルの間には独特な関係が存在する。
格子構造(例えば周期的)を計測する散乱光計測技術は、大きく変わりえる。例えば、反射光計測、分光偏光計測(spectroscopic ellipsometry)、分光反射光計測、複数波長反射光計測、偏光分光反射光計測、複数角複数波長反射計測または角分解散乱光計測が用いられえる。さらに計測は、固定角分光偏光解析モードにおいて、角走査単一または複数波長モードにおいて、または複数角複数波長モードにおいて実行されえる。すなわち、ビーム(群)は、単一または複数の角度において導入されえ、それらは単一の波長においてまたは複数の波長において導入されえる。加えて、ビームは単一の角度または複数の角度において検出されえ、それらは単一または複数の波長において検出されえる。さらにビーム群の強度、偏光および/または光学的位相が異なる角度および/または異なる波長において計測されえる。
ブロック16に続いて、プロセスフローはブロック18に進み、ここで基板上に格子構造を形成するのに用いられた1つ以上のパラメータについての情報を確定するために、異なる散乱計測信号が比較される。ある実施形態において、差分(信号の一部または全部)を作るために異なる散乱計測信号は互いに差がとられる。この差分は、この差分を表す信号、スペクトルまたは値の形をとりえる。前述の理由によりこの差分は、選択されたプロセスパラメータについての情報を含む。差をとることによって、格子構造からのスペクトルの類似性が打ち消し合い、それらの間の差異だけが残る。信号内の差分は、1つ以上のプロセスパラメータに起因する。例えば、材料または下層構造に関するスペクトル中に含まれる情報は大きくは打ち消される。
ブロック18に続いて、フローはブロック20に進み、ここでプロセス条件が比較に基づいて最適化、モニタ、または制御される。ある実施形態において、差分信号は、選択されたプロセスパラメータの実効値を決定するために較正データと比較される。この較正データは、例えば、1つ以上のプロセスパラメータに較正された異なる信号を含みえる。ある実現例において、較正データは、1つ以上の方程式(1つ以上のプロセスパラメータの関数としての差分信号(群))の形をなす。他の実現例において、較正データは、その関数を表すグラフプロットの形をなす。さらに他の実施形態においては、較正データはライブラリの形をなす。例えば差分信号は、対応するものが見つかるまでプロセス条件に以前に較正された複数の異なる信号と比較される。
決定されたプロセスパラメータの推定されたまたは予測された値は、プロセスを所望の限界内に維持するために後続の処理を制御するために用いられえる。例えばプロセスパラメータの実効値は、プロセスパラメータ補正値を作るために公称値と比較される。この補正値は、リソグラフィプロセスを制御するのに役立てるよう(プロセスパラメータを調整することによって)用いられえる。これらの補正値は、ダイ・ツー・ダイモード、ウェーハ・ツー・ウェーハモード、ロット・ツー・ロットモード、他のフィードバック制御システムなどにおいて作られえる。
他の実施形態において、この差分は、制御限界またはスレッショルド値を用いて制御される。例えば、プロセスパラメータは、所定の制御限界内に維持される。もし差分が所定の制御限界の外に出るなら、制御からはずれたプロセスを補正するために調整がなされえるようシステムはアラーム状態に置かれえる。プロセス制御法の一つの例は、統計的プロセス制御である。
図1のある特定の実施形態において、散乱計測信号は、例えばカリフォルニア州、サンノゼのKLA Tencorによって製造されるKLA-Tencor ASET F5のような分光偏光計で計測される。この信号は、プロセッサ上のメモリ、コンピュータRAM、または磁気または光ディスクドライブのような記憶装置に保存される。この信号はコンピュータプログラム(またはディジタルまたはアナログ電子装置を電子的に含む)において比較される。格子構造からのスペクトル間の差分信号は較正曲線、較正式または較正ライブラリと比較されることによって、格子構造を作るのに用いられたプロセスパラメータを決定する。較正は、既知のプロセスパラメータを持つ格子構造のいくつかのセットを計測すること、既知のプロセスパラメータに対する格子構造のペア間の差分信号を計算すること、および較正データから導かれた結果として生じる較正値を記憶することによって経験的に決定されえる。既知の誤差は、公称パラメータ値でありえ、またはそれはプロセスパラメータ(群)を計測できるレファレンス計測システムで計測されえる。
ある実施形態において、図1に記された方法は、フォーカスまたは露光のような単一のプロセスパラメータを制御、モニタまたは最適化するために用いられる。他の実施形態において、図1に示された方法は、フォーカスおよび露光のような複数のプロセスパラメータを制御、モニタまたは最適化するために用いられる。
図2Aは、本発明のある実施形態による散乱計測信号S1およびS2のペアの図である。散乱計測信号S1およびS2は、例えば、異なるプロセス応答を持つ格子構造のセットを計測することによって作られえる。散乱計測信号群(またはスペクトル群)のそれぞれは、異なる光学的条件にわたる情報の集積である。示されるように、散乱計測信号は同様だが異なる形状を有する。これら信号は同様であるが、2つの散乱計測信号内にプログラムされた差分によってずらされている。散乱計測信号は大きく変わりえる。散乱計測信号は一般に一つの散乱計測パラメータP2(y軸)対もう一つの散乱計測パラメータP1(x軸)としてプロットされえる。第1散乱計測パラメータP2は例えば、SE、α、β、tanΨ、cos、Rなどに対応しえ、一方、計測パラメータP1は、波長、入射角度、または偏光角度に対応しえる。
図2Bは、本発明のある実施形態による差分信号D1の図である。この差分信号D1は、図2Aの散乱計測信号S1およびS2の差をとることによって例えば作られえる。一つの差分信号しか示されていないが、複数の差分信号が獲得され一緒にプロットされえることに注意されたい。差分信号のそれぞれは格子構造の異なるセット群から形成されえる。
図3は、本発明のある実施形態による処理制御方法30である。この処理制御方法30は例えば、図1に示されるブロック16および18に一般に対応しえる。処理制御方法30は、一般にブロック32において始まり、ここで2つの異なる散乱計測信号間の差分が決定される。この差分は、全体の散乱計測信号間において、または散乱計測信号の一部の間において見いだされえる。差分は一般に2つの散乱計測信号の差をとることによって決定される。
ブロック32に続いて、プロセスフローはブロック34に進み、ここで差分を較正データと比較することによって、1つ以上のプロセスパラメータの1つ以上の実効値が決定される。例えばプロセスパラメータは、何百何千の異なるスペクトルを何百何千のプロセスパラメータ設定に関連づける方程式、グラフまたはライブラリを利用することによって差分スペクトルから抽出されえる。
ブロック34に続いて、プロセスフローはブロック36に進み、ここでプロセス条件がプロセスパラメータの実効値に従って制御される。ある実施形態において、実効値は補正値を形成するのに用いられる。例えば実効値がプロセスパラメータの公称値と比較される。それらの間の任意の差分は、プロセスパラメータを調整することによって原因が説明されえる。補正値は、プロセス(例えば自動化されたプロセス制御)を維持するためにリソグラフィシステムに入力されえる。
ブロック34の較正データは、さまざまな技術を用いて作られえる。例えば、較正データは、シミュレーション法または経験法を用いて作られえる。例えば、較正データは、散乱計測シミュレーション法と結びつけられたリソグラフィシミュレーション法を含むシミュレーション法を用いて作られえる。一般にリソグラフィシミュレーション法は、リソグラフィプロセスパラメータの関数としての格子構造の形状を得るための計算を実行することによって較正データを作る。たいていの場合、リソグラフィおよびレジスト処理シミュレーションは、計算された形状が実際のウェーハ上で得られた格子形状に正確に対応するよう較正される。さまざまなリソグラフィパラメータについての格子要素形状を計算するのに用いられえるリソグラフィシミュレーションプログラムの一つの例は、カリフォルニア州サンノゼのKLA−Tencorによって製造されるPROLITHである。このシミュレーションされた格子要素形状は、シミュレーションされた格子要素形状に対応するシミュレーションされた散乱計測スペクトルおよびシミュレーションで用いられる対応するリソグラフィプロセスパラメータを作るための散乱計測シミュレーションプログラムへの入力として用いられえる。
一方、経験的リソグラフィ計測方法は、さまざまなプロセスパラメータを用いて複数の格子構造を1つ以上の試験ウェーハ上にプリントすること、格子構造のセット群についての差分を決定すること、およびその差分をさまざまなプロセスパラメータに相関付けることによって較正データを一般に作る。既に述べたように、格子構造は典型的にはプロセスパラメータに依存し、よってプロセスパラメータの変化は格子構造の変化を生む。加えて、スペクトルは格子構造に依存し、よって格子構造の変化はスペクトルの変化を生む。さらにスペクトル差分はスペクトルに依存し、よってスペクトルの変化はスペクトル差分の変化を生む。この差分は、幅広い範囲の数値群にわたって特徴付けられえ、よってこれらパラメータが互いに及ぼす影響を記述するライブラリ、方程式またはグラフプロットを形成しえる。
詳述すれば、プリントは、レチクルのパターンを通して光または照射を投射することによってフォトレジストのレイヤ内で一般に達成される。構造は通常、プロセスパラメータの異なる組み合わせ(試験マトリクス)を用いて全体の試験ウェーハにわたってプリントされる。すなわちそれぞれの構造は、異なるプロセスパラメータレベルでプリントされえる。例えば構造は、さまざまなレベルの露光およびフォーカスで、ウェーハにわたって異なる露光フィールドについてプリントされえ、ある方向においてフォーカスを変化させ、他の方向において露光が変化させることによってウェーハにわたって露光およびフォーカスの異なる値のマトリクス(例えばフォーカスー露光試験マトリクス)を作る。複数の試験ウェーハを用いるとき、同じまたは異なる試験レチクルが用いられえる。異なる試験レチクルは、異なる寸法を持つ格子構造を有しえ、それによってより幅広い試験データを作りえる。理解されるように、異なる寸法は、異なるスペクトルを作りえ、よって同じ処理条件についても異なる差分が得られる。
図4は、本発明のある実施形態による処理制御方法40である。処理制御方法40は、例えば一般に図1に示されるブロック16および18に対応しえる。処理制御方法は、例えば2つの格子構造についての散乱計測信号間の差分をある範囲内に維持するようプロセスパラメータを制御することによって最良のプロセス条件を維持するように構成されえる。処理制御方法40は一般にブロック42において始まり、ここで2つの異なる散乱計測信号間の差分が決定される。この差分は、散乱計測信号の全体の間で、または散乱計測信号の一部の間で見いだされえる。この差分は一般に2つの散乱計測信号の差をとることによって決定される。
ブロック42に続いて、プロセスフローはブロック44に進み、ここでこの差分が所定の制御限界内にあるかどうかの決定がなされる。所定の制御限界は、以前の試験ステップにおいて、または統計的プロセス制御におけるような履歴製造データから確立されていてよい。
もし差分が所定の制御限界内にあるなら、プロセスフローはブロック46に進み、ここで現在のプロセスパラメータの値が後続の処理で用いられる。もし差分が所定の制御限界の外にあるなら、プロセスフローはブロック48に進み、ここでプロセスパラメータの値は制御限界に従って調整される。例えば、プロセスパラメータの値は、確立されている上限および下限制御限界への差分の近接度に基づいて増加または減少されえる。
オプションのステップがブロック44および48の間に含まれえる。このオプションのステップは、差分が制御限界の外にあるときに、アラームを出すこと、またはプロセスを中止することを含みえる。オプションのステップは、差分が制御限界に対してどの程度外にあるかに基づいて必要な補正を決定することを追加で含みえる。この補正ステップは、制御されることが望まれるプロセスパラメータと関連付けられた従来の試験マトリクスを実行することを含みえる。
図5は、本発明のある実施形態による較正方法50である。この較正方法は、例えば、図3のブロック34において用いられる較正データを確立するために用いられえる。較正方法50は一般にブロック52において始まり、ここで異なるプロセス応答を持つ2つ以上の格子構造の複数のセット群がさまざまなプロセス条件について計測される。この計測は、格子構造セット群内のそれぞれの格子構造を表すデータを作るように構成される。この計測は、フォーカス露光マトリクスのような試験マトリクス上で実行されえる。
ブロック52に続いて、プロセスフローはブロック54に進み、ここでスペクトル間の差分が計算される。この差分は格子構造セット群内の少なくとも2つの格子構造間で見いだされる。
ブロック54に続いて、プロセスフローはブロック56に進み、ここで1つ以上の差分がプロセスパラメータの関数としてマッピングされる。例えば、差分を形成するのに用いられる適切なプロセスパラメータにこの差分を関連付けるライブラリ、方程式、グラフが作られえる。例として、ライブラリ、グラフおよび方程式は、差分対フォーカス、差分対露光、または差分対フォーカスおよび露光に相関付けえる。オプションのステップが、ブロック54および56の間に含まれえる。オプションのステップは、差分の1つ以上の特性を得ることを含みえる。例えば、差分信号の2乗平均平方根差分が計算されえる(RMSD)。
ある実施形態において、較正方法は、フォーカス露光マトリクスを利用する。この方法においては、非常に近接する異なるプロセス応答を持つ少なくとも2つの格子構造が、異なるレベルのフォーカスおよび露光について反復して作られ、格子構造は、格子構造のそれぞれについて異なるスペクトルを作るために散乱計測を用いて計測され、その後、格子構造に関連付けられたスペクトル間の差分が計算される。例として、100プロセス条件を作るために、10フォーカスステップおよび10露光ステップがウェーハにわたって実行されえる。
図6は、本発明のある実施形態によるプロセス制御方法70である。方法70は、較正ステップ71aおよび製造ステップ71bを含む複数のステップにおいて一般に実行されえる。較正ステップ71aは、製造ステップ71bの前に実行される。較正ステップ71aは一般に一度実行され、一方、製造ステップ71bは製造のあいだ逐次実行される。
較正ステップ71aは一般にブロック72において始まり、ここでベースライン散乱計測データが生成される。これは例えばさまざまなプロセス条件について格子構造のセット群を計測することによって達成されえる。散乱計測データは、格子構造のそれぞれに関連付けられた信号群またはスペクトル群を含む。
ブロック72に続いて、プロセスフローはブロック74に進み、ここでベースライン差分データがベースライン散乱計測データから計算される。これは例えば格子構造セット群に関連付けられた信号群またはスペクトル群の差をとることによって達成されえる。差分データは、それぞれの格子構造セットに関連付けられた差分信号を含む。
ブロック74に続いて、プロセスフローはブロック76に進み、ここで差分データは1つ以上のプロセスパラメータの値と相関付けられる。相関付けるとは、それぞれの格子構造に関連付けられた差分信号およびそれぞれの格子構造セットに関連付けられた1つ以上のプロセスパラメータの間に関係が作られることを一般に意味する。この関係は幅広い範囲の値にわたって特徴付けられえる。
ある実施形態において、この相関は、1つ以上のプロセスパラメータの関数(例えば差分はプロセスパラメータに依存する)としての1つ以上の差分を含む。例えば相関は、単一のプロセスパラメータの関数としての単一の差分、1より多いプロセスパラメータの関数としての単一の差分、単一のプロセスパラメータの関数としての複数の差分、または複数のプロセスパラメータの関数としての複数の差分を含みえる。
ブロック76に続いて、プロセスフローはブロック78に進み、ここでベースライン差分データおよびプロセスパラメータの相関が記憶される。この相関は例えばデータベース内に記憶されえる。
製造ステップ71bは一般にブロック80において始まり、ここで現在の散乱計測データが生成される。これは例えば、現在のプロセス条件設定について格子構造の1つ以上のセットを計測することによって達成されえる。散乱計測データは、格子構造セットの格子構造のそれぞれに関連付けられた信号またはスペクトルを含む。
ブロック80に続いて、プロセスフローはブロック82に進み、ここで現在の差分データが現在の散乱計測データから計算される。これは例えば、格子構造セットに関連付けられた信号またはスペクトルの差をとることによって達成されえる。差分データはそれぞれの格子構造セットに関連付けられた差分信号を含む。
ブロック82に続いて、プロセスフローはブロック84に進み、ここで現在の差分データは、1つ以上のプロセスパラメータに相関付けられたベースライン差分データと比較される。
その後、プロセスフローはブロック86に進み、ここで格子構造を形成することに関連付けられた1つ以上のプロセスパラメータの値がこの比較に基づいて決定される。これは、この相関を表す1つ以上の方程式、グラフプロットまたはライブラリを介して達成されえる。例として、較正モードで得られた関数を用いた変換計算またはグラフ比較および製造モードで得られた計測が実行される。ライブラリを用いるとき、現在の差分データは、同様のベースライン差分データにマッチングされえ、マッチングされたベースライン差分データを作った対応するプロセスパラメータは格子構造を形成したプロセスパラメータとして選択される。
ブロック86に続いて、プロセスフローはブロック88に進み、ここでベースライン差分データに関連付けられたプロセスパラメータの値が出力される。出力される値は、プロセス制御システム内で自動的に(例えば自動化されたプロセス制御システムが必要とされる補正値を決定し、リソグラフィツールが出力されたプロセスパラメータに基づいて調整を行う)または手動(例えば値が出力され、操作者が調整判断をする)で用いられえる。代替として、出力されたプロセスパラメータ値は、アラームを起動するのに用いられえ、このアラームはプロセスが制御からはずれていることを示す。
ある実施形態において、格子構造を計測することができる散乱計測法計測ツールで計測は実行される。この計測ツールは、in situまたはex situの計測ツールでありえる。すなわちこの計測ツールは、リソグラフィツールと統合されてもよく、またはこれはスタンドアローンの装置であってもよい。統合された計測ツールについては、計測ツールはリソグラフィプロセスツールと協働してプロセスパラメータをリアルタイムまたはウェーハがまだリソグラフィツール内にあるあいだに変化させえる。すなわち計測ツールは、プロセスパラメータを補正するようフィードバック制御信号をリソグラフィツールに提供しえる。例として、制御信号は、最良の可能なフォーカスを達成および維持するために、光学系および/またはウェーハのある局面を制御するのに用いられえる。例えば、光学系および/またはウェーハの位置は、理想のフォーカス平面がウェーハの表面と実質的に一致するよう調整されえる。代替として、フォーカス情報は、操作者が調整しえるように操作者に表示されえる。
図7は、本発明のある実施形態によるプロセスを制御するプロシージャ100を示すフロー図である。この実施形態において、特定のプロセスパラメータに対して異なる応答を持つ第1および第2ターゲットが操作101において提供される。このターゲットは、同じフィールド内に互いに非常に近接して配置され、同じプロセスパラメータから形成される。これらは同じフィールド内に非常に近接して配置されるので、それらは膜特性、構造サイズおよび組成のような同じ特性を有する。ターゲットの両方は例えばデバイス間のスクライブライン内に、デバイス構造そのものの中に、またはスクライブラインおよびデバイス構造の両方の中に配置されえる。デバイス内に配置されるとき、ターゲットはデバイスの一部でありえる。
散乱計測ターゲットの一例は、2つ以上の平行なラインからなる周期的格子構造である。格子構造の格子パラメータのうちの少なくとも一つが特定のプロセスパラメータによって異なるように変えられる。すなわちそれらのそれぞれは特定のプロセスパラメータに対して異なる感度を有している。格子パラメータの残りはコモンモードであり、それらは両方のターゲットについて同じである。例として、共通格子パラメータはピッチ、材料、下層材料などを含みえる。
ある特定の実施形態において、ターゲットは同じピッチおよび異なる線幅で形成される。例えば、第1ターゲットは、公称線幅を含み、これはデバイスの寸法に強く相関付けられ、第2ターゲットは公称線幅よりも細いまたは太い線幅を含む。理解されるように、より細い線幅は、フォーカスに対してより高い感度を有し、一方、より太い線幅はフォーカスに対してより低い感度を有する。
操作102aおよび102bにおいて、第1および第2スペクトルSAおよびSBを計測するために入射照射ビームが第1および第2ターゲットAおよびBに向けて導かれる。第1および第2スペクトルの例は図2Aに示される。操作102aから102bは、計測システムの能力に依存して順次または同時に実行されえる(直列または並列して)。入射ビームは、レーザまたは広帯域照射のような電磁照射の任意の適切な形態でありえる。スペクトルSAおよびSBは、tan(Ψ)、cos(Δ)、Rs、Rp、R、α(分光偏光計測「アルファ」信号)、ベータ(分光偏光計測「ベータ」信号)、((Rs−Rp)/(Rs+Rp))などを含む任意のタイプの分光偏光計測または反射計測信号を含みえる。散乱計測信号を計測する光学システムの例は、図9に示される。
操作104において、スペクトルSBはスペクトルSAから引かれ、それぞれ差分スペクトルDを形成する。異なるスペクトルの例は図2Bに示される。
次に差分スペクトル特性Pが操作106において差分スペクトルDから得られる。差分スペクトル特性Pは、得られた差分スペクトルDの任意の適切な特性から一般に得られる。この差分スペクトル特性Pはまた、特定の波長における差分スペクトルD上の単に点でありえる。他の例として、異なるスペクトル特性Pは、RMSE(2乗平均平方根誤差)、RMSD(2乗平均平方根差分)、chi2(それぞれの波長、入射角におけるノイズによって重み付けされる)、平均差分、ある波長における差分、プロセスパラメータ感度によって重み付けされた、ある範囲の波長にわたって積分された差分、差分信号の平均の積分、SEアルファ信号の平均の均等(equal an average of the SE alpha signal)、重み付けされた平均の均等(equal a weighted average)(プロセスパラメータに対しての機器感度、ノイズまたは信号感度の原因となる)、2乗された差分信号、平均2乗差分信号などの結果でありえる。
差分スペクトル特性Pが得られた後、プロセスパラメータは操作108に示される差分の特性に基づいて制御されえる。ある実施形態において、プロセスパラメータは差分スペクトル特性Pから直接に計算される。他の実施形態においては、プロセスパラメータは、差分特性を、プロセスパラメータの関数として差分特性を含む以前に較正されたデータと比較することによって決定される。
図8は、本発明のある実施形態によるフォーカスおよび露光をモニタする方法148の概略表現である。方法150は、一般に選択152において始まり、ここで第1ウェーハは、さまざまなレベルのフォーカスおよび露光で処理される。この処理は、フォトレジストのレイヤ内に複数の散乱計測法計測サイト(scatterometry measurement sites)をリソグラフィシステムでプリントすること(例えばフォーカス露光試験マトリクスウェーハ上に)を一般に含む。散乱計測法計測ターゲットグループ(scatterometry measurement target groups)は、異なるプロセス応答を有する少なくとも2つの格子構造(例えばラインスペースまたはグリッドスペース格子のような周期的構造)を含む。ステップ152に続いて、プロセスフローはステップ154に進み、ここで散乱計測法による計測が、散乱計測法計測ターゲットグループに対して、より具体的には計測ターゲットグループ中に配置された格子構造のそれぞれに対して実行される。これは一般に、分光偏光解析または分光反射計(例えば図11を参照)に基づくもの、または角分解偏光計、または角分解反射計のような散乱計測法による計測ツールで達成される。散乱計測による計測値は一般に計測されたスペクトルの形態である。
ステップ154に続いて、プロセスフローはステップ156に進み、ここで計測されたスペクトルの差分がそれぞれの計測ターゲットグループについて計算される。例えば第1格子構造から形成された第1スペクトルおよび第2格子構造から形成された第2スペクトルは、それぞれの計測ターゲットグループについて見いだされえる。ステップ156に続いて、プロセスフローはステップ158に進み、ここで差分がフォーカスおよび露光に相関付けられる。例えば、第1差分は第1フォーカスおよび露光設定に相関付けられえ、
第2差分は第2フォーカスおよび露光設定に(などに)相関付けられえる。差分はフォーカスおよび露光の関数である。
この方法は、一般にステップ152〜158の後に起こる別個のプロセスフロー160において続く。別個のプロセスフローは、製造ランのあいだに起こりえる。別個のプロセスフロー160は一般にステップ162で始まり、ここで第2ウェーハが製造フォーカスおよび露光設定で処理される。製造フォーカスおよび露光値は、用いられているフォトリソグラフィシステム上での製品デバイスについての最良のフォーカスおよび露光であると信じられているものに一般に対応する。この処理は、複数の散乱計測法計測グループをリソグラフィシステムでフォトレジストのレイヤ内にプリントすること(例えば製造ウェーハ上で)を一般に含む。このリソグラフィシステムは例えばステップ152おいて用いられるのと同じシステムでありえる。
ステップ162に続いて、プロセスフローはステップ164に進み、ここで散乱計測法による計測(scatterometry measurements)が散乱計測法計測ターゲットグループ(scatterometry measurement target groups)上で実行される。散乱計測法計測ターゲットグループは、異なるプロセス応答を持つ少なくとも2つの格子構造を含む。格子構造は、ラインスペース(line space)またはグリッドスペース格子(grid space gratings)のような周期的構造に例えば対応する。この計測は、散乱計測法計測ツールで一般に達成される。散乱計測ツールは、ステップ154で用いられるのと同じ散乱計測ツールでありえる。この散乱計測法による計測値は、計測されたスペクトルの形態を一般にとる。
ステップ164に続いて、プロセスフローはステップ166に進み、ここで計測されたスペクトル間の差分は、計測されたターゲットグループ内に位置する格子構造について計算される。
ステップ166に続いて、プロセスフローはステップ168に進み、ここでステップ166で見つけられた差分はステップ158で見いだされた相関と比較される。これは一般に、フォーカスおよび露光について計測された値を生む。製造フォーカスおよび露光値は設定されているが、計測された値は、リソグラフィシステムのフォーカスおよび露光についての「実効」値に一般に対応する。理解されるように、フォーカスおよび露光設定は、製造ランの過程にわたって、ランからランに従って、またはリソグラフィツールの寿命にわたって変動または変化しえる。フォーカスおよび露光についての最良の製造設定は、部分的にはリソグラフィツール間でのフォーカスおよび露光の異なる較正により、異なるリソグラフィシステムについて異なりえる。フォーカスおよび露光のような2つの未知数について解くためには、複数の差分が見いだされることが必要とされえることに注意されたい。複数の差分を得るために、ステップ166は、複数の計測ターゲットグループ群について反復されえる。このような場合においては、ステップ166において見いだされた複数の差分は、ステップ158において見いだされた相関と比較される。
ステップ168に続いて、プロセスフローはステップ170に進み、ここでステップ168で見つけられた計測されたフォーカスおよび露光値は、公称フォーカスおよび露光値と比較される。これは典型的にはフォーカスおよび露光の両方についての補正ファクタを生む。ステップ170に続いて、プロセスフローはステップ172に進み、ここで製造フォーカスおよび露光値は、ステップ170で決定された補正ファクタを用いた補正される。第2プロセスフロー160は、その上にプリントされた集積回路が特定された要件を満たすことを確かにするために、製造ランにわたって連続的または断続的に(incrementally)実行されえる。第1プロセスフロー、ステップ152〜158は、相関が正確であることを確かにするために必要なだけ断続的に(incrementally)実行されえる。
図9は、本発明のある実施形態によって最適化された、または最良のフォーカスを決定する方法172である。この方法172は、一般にブロック174で始まり、ここでターゲットグループが幅広い範囲の設定にわたって作られる。ターゲットグループは、同じピッチを持つが、異なる線幅を持つことによりフォーカスに対して異なる感度を持つターゲットを作る2つ以上の異なるターゲットを含む。例として、第1ターゲットは、公称線幅を持つように作られえ、第2ターゲットは、より細い線幅を持つように作られえる。
ブロック174に続いて、プロセスフローはブロック176に進み、ここで散乱計測信号がターゲットグループ内のターゲット群のそれぞれについて得られる(図10Aおよび10Bを参照)。
ブロック176に続いて、プロセスフローはブロック178に進み、ここで差分信号がそれぞれのターゲットグループについて計算される。例えば、第1ターゲットから作られた散乱計測信号は、第2ターゲットからの散乱計測信号から引かれることによって、それぞれのターゲットグループについての差分信号を作り、したがってそれぞれのフォーカス設定についての差分信号を作りえる。差分信号は、散乱計測信号からその全体を用いて形成されえ、またはそれは散乱計測信号の一部から形成されえる(図10Cを参照)。
ブロック178に続いて、プロセスフローはブロック180に進み、ここでそれぞれの差分信号についての2乗平均平方根差分(RMSD)が計算される。RMSDは、一般にこの技術においてよく知られ、詳細には記載されない。
ブロック180に続いて、プロセスフローはブロック182に進み、ここでRMSDおよびフォーカス設定間で関係が形成される。この関係は、方程式またはグラフプロットの形態でありえる(図10Dまたは10Eを参照)。
ブロック182に続いて、プロセスフローはブロック184に進み、ここでこの関係が用いられて最良のフォーカスを決定する。最良のフォーカスは一般にRMSDがその最小であるところで起こる(図10Dまたは10Eを参照)。
図10Aは、同じピッチを持つが異なる線幅を持つターゲットを有するターゲットグループで作られた散乱計測信号SA1およびSA2のペアを示すグラフプロット186である。第1散乱計測信号SA1は、120nm線幅を作るよう設計されたマスキング構造を用いて作られた格子ターゲットを計測することによって作られた。第2散乱計測信号SA2は、第1格子ターゲットと同じピッチを持つ100nm線幅を作るよう設計された第2マスキング構造を用いて作られた第2格子ターゲットを計測することによって作られた。第1および第2ターゲットは、同じフィールド内で作られ、よってそれらは同じフォーカス設定である0.00μm(ミクロン)で形成された。
図10Bは、同じピッチを持つが異なる線幅を持つターゲットから作られた散乱計測信号SB1およびSB2のペアを示すグラフプロット188である。散乱計測信号SB1およびSB2は、図10Aと同じ格子構造を用いて、しかし異なるフォーカス設定である0.2μmで作られた。
図10Cは、図10AのSA1およびSA2から形成された差分信号DAと共に、図10BのSB1およびSB2から形成された差分信号DBを示すグラフプロット190である。示されるように差分信号は、250および750nmの間のさまざまな波長におけるアルファの差をとることによって形成される。
図10Dは、アルファRMSD対フォーカスを示すグラフプロットである。示された例において、アルファRMSDは、0.2μmおよび−0.2μmの間で0.05μmの増分におけるフォーカス設定で形成された差分信号について計算された。例として、0.0μmにおけるアルファRMSDは差分信号DAを用いて作られ、2.0μmにおけるアルファRMSDは図10Cの差分信号DBを用いて作られた。
図10Eは、アルファRMSD対フォーカスを示すグラフプロット194である。示された例において、アルファRMSDは、0.2μmおよび−0.2μmの間で0.05μmの増分におけるフォーカス設定で形成された差分信号について計算された。しかし図10Dとは異なり、図10Eに示されるプロットは、図10Dにおける250および750nmではなく、波長450および480nm間でとられた差分信号を用いて作られた。
図11は、本発明のある実施形態による分光散乱計システム200の概略図である。このシステム200は、分光偏光計202および分光反射計204の特徴を統合しており、これらのそれぞれが基板またはウェーハ208上に配置された格子構造206を計測するのに用いられえる。格子構造206は、図においてはある程度簡略化された形で示されるが、大きく変わりえる。格子構造206は例えば、ここで記載された格子構造の任意のものに対応しえる。
分光偏光計202および分光反射計204の両方はステージ210を利用しえ、これは基板208を水平xy方向と共に垂直z方向に移動するのに用いられる。ステージ210はまた、基板208を回転またはチルトしえる。動作中は、ステージ210は、格子構造206が分光偏光計202および/または分光反射計204によって計測されえるように基板208を移動させる。
分光偏光計202および分光反射計204はまた、1つ以上の広帯域照射源212を利用する。例として、光源212は、少なくとも230から800nmの範囲の波長を有する電磁照射を供給しえる。広帯域光源の例には、重水素放電管、キセノンアークランプ、タングステンフィラメントランプ、クオーツハロゲンランプが含まれる。代替として、広帯域光源の代わりとして、またはそれと組み合わせて1つ以上のレーザ照射源が用いられえる。
分光反射計204において、レンズ214は、ソース212からの照射を集めビームスプリッタ216に導き、これは入射ビームの一部をフォーカスレンズ218へ向けて反射し、これは照射を基板208上で格子構造206の近傍にフォーカシングする。基板208によって反射された光は、レンズ218によって集められ、ビームスプリッタ216を通して分光計220へと届く。スペクトル成分が検出され、そのような成分を表す信号がコンピュータ222に供給され、これは上述のように差分信号を計算する。またプロセスパラメータおよびプロセス補正は、同じコンピュータによって、または異なるコンピュータによって計算されえる。
分光偏光計202において、光源212は、光ファイバーケーブル224を通して光を供給し、これは偏光をランダムにし、基板208を照射する均一な光源を作る。ファイバ224から出ると、照射は、スリット開口およびフォーカスレンズ(不図示)を含みえる光照射器226を通して伝わる。照射器226から現れる光は、偏光器228によって偏光されて、基板208を照射する偏光サンプリングビーム230を作る。サンプリングビーム230から現れる照射は、基板208で反射され、分析器232を通って分光計234に伝わる。反射された照射のスペクトル成分が検出され、このような成分を表す信号がコンピュータ222に供給され、これはプロセスパラメータを上述のように計算する。
分光偏光計202において、偏光器228または分析器232またはこれら両方は、補償器またはリターダ(不図示)としても知られる波長板を含みえる。波長板は、2つの偏光間の相対位相を変えることによって、直線偏光光を楕円偏光光に、またはその逆に変化させる。
入射偏光光230と試料との相互作用についてのより多くの情報を集めるために、光の偏光状態を変調すること、または分析器の偏光感度を変調すること、またはそれらの両方が望ましい。典型的にはこれは、偏光器および/または分析器内で光学要素を回転させることによってなされる。偏光器または分析器内の偏光要素は回転されえ、または、もしそれらの部品の少なくとも一つが波長板を含むなら、その波長板が回転されえる。この回転は、当業者に知られるようにコンピュータ222によって制御されえる。回転要素の使用はうまく動作するかもしれないが、それはシステム202を制限しえる。理解されるように、回転要素の使用は遅くなりえ、可動部品が存在するのでそれらは信頼性が下がりやすい。
したがってある実施形態によれば、偏光器228は、高速で信頼性の高い分光偏光計を作るために、光弾性変調器(PEM)のような偏光変調器236を含むように構成される。偏光変調器は、回転波長板の代わりをする。偏光変調器236は、回転波長板としての同じ機能を実行する光学要素であるが、費用のかかる速度および信頼性の問題がない。偏光変調器236は、機械的にいかなる光学要素を回転させることもなく、光の位相の電気的変調を可能にする。100kHzに至るまで高い変調周波数も容易に実現可能である。
代替実施形態において、分析器232は、電気的に変調されえるPEM(光弾性変調器)のような偏光変調器を含むように構成される。さらに他の実施形態において、偏光器および分析器の両方が、異なる周波数において変調されるPEMのような偏光変調器を含む。
偏光変調器236はこのように高い周波数において変調できるので、偏光変調器236は、それでなければ遅すぎるような、さまざまな技術を実行するのに用いられえる。例えば、2つの構造の偏光された反射率間の差分が獲得されえる。これをするには、PEMが音響光学変調器(AOM)と結合されえ、ここでAOMは2つの構造間で高速に動き、一方、偏光状態を異なる(しかし倍数または倍数分の1のような関連する)周波数において変調する。PEMおよびAOM変調周波数の和および差における信号は、有用な情報を含み、同期検波によって高信号対雑音比で検出されえる。代替として入射ビームに対してAOMは、分析器にけるPEMと組み合わせて用いられえる。
示されないが、回転波長板は、例えば偏光有感度反射計(polarization sensitive reflectometer)のような散乱計測システムの他のタイプの偏光変調器によって置き換えられえる。
図12は、本発明のある実施形態によるフォトリソグラフィ処理システム250の図である。フォトリソグラフィシステム250は一般に、ウェーハ上に膜(フォトレジスト)をコーティングするレジストコータ252、この膜を露光するステッパ254、およびウェーハ上の膜を現像し、それによってプリントされたパターンを作るレジスト現像器256を含む。動作中、1つ以上のウェーハは、レジストコータ252を通って送られ、ここでレジストがそこに塗布される。いったんレジストがウェーハ上に置かれると、ウェーハはステッパ254内に導かれる。ステッパ内にあるあいだに、1つ以上のフィールドがウェーハ上に形成される。それぞれのフィールドは、形成されている製品のパターンおよび異なるプロセス応答を持つ格子構造のペアを含む少なくとも1つの計測ターゲットグループを含む。製品材料を製造するとき、フィールドは一般に、フォーカスおよび露光の公称製造値を用いて形成される。パターンがウェーハ上に形成された後で、ウェーハはレジスト現像器256に送られる。レジスト現像器256内にあるあいだに、ウェーハはポスト露光ベーク260および現像器262を通っていく。いったん現像されると、ウェーハおよびそのパターンは、さらに他のシステム内で処理されえる。例として、ウェーハはエッチングされえ、または材料はその上に成膜されえる。
フォトリソグラフィシステム250はまた、フォーカスおよび露光のようなステッパ254で用いられるプロセスパラメータについての有用な情報を提供するよう構成された散乱計測ツール258を含む。散乱計測ツール258は例えば、図11に示されるシステムに対応しえる。散乱計測ツール258は、パターンの潜像、部分的に現像されたパターンまたは完全に現像されたパターンを計測するよう構成されえる。もし潜像なら、散乱計測ツール258は、ステッパ254の終わりに、またはレジスト現像器256の始めに(参照番号258aおよび258bによってそれぞれ示される)配置されえる。もし部分的に現像されるなら、散乱計測ツール258は、ポスト露光ベーク260の後に(参照番号258cによって示される)配置されえる。もし完全に現像されるなら、散乱計測ツール258は、現像器262の後に(参照番号258dによって示される)配置されえる。代替として、散乱計測ツール258は、スタンドアローンツールまたは計測クラスタツールと統合されるツール(参照番号258eによって示される)でありえる。
図13A〜13Lは、ターゲットグループを作ることができるマスキングシステムの図である。マスキングシステムは、異なるプロセス応答を持つターゲットを作るよう構成されるマスキング構造を含む。これらは異なるプロセス応答を有するので、差分ターゲットおよびより具体的にはそれからとられる計測値は、プロセス応答に関連付けられたプロセスパラメータについての情報を確定するために比較されえる。例えば、それぞれのターゲットについて散乱計測法による計測が行われ、その後、差分信号が散乱計測法計測値間の差分に基づいて形成されえる。理解されるように、散乱計測法計測値間の差分は、少なくとも部分的には異なるプロセス応答により、よって差分信号もそうである。ターゲットの個数およびそれらの対応する差分の大きさおよび向きは、1つ以上のプロセスパラメータを決定するために本発明の技術が実施されえるように、任意のやり方で選ばれえる。
異なるプロセス応答は、同様の、しかし異なるマスキング構造を利用することによって作られえる。例えば、マスキング構造のうちの一つは、他のマスキング構造と比較されるとき、異なるフィーチャパラメータ(feature parameters)またはアシストフィーチャ(assist features)を含みえ、それによって他のマスキング構造と比較されるとき、ターゲットのうちの一つを特定のプロセスパラメータに対してより高感度または低感度にする。マスキング構造のうちの1つ以上は、フォーカスエラーの方向についてより高感度な情報を提供するために、非対称光学近接補正構造(または他の波面エンジニアリング方法またはフィーチャ)で設計されえる。
ターゲットグループは、フォーカス露光試験マトリクスウェーハ、試験ウェーハ、または製造ウェーハの上にプリントされえる。試験ウェーハと共にフォーカス露光マトリクスウェーハは、製品を作るのではなく、リソグラフィ機器を試験するのに、または較正データを作るのに一般に用いられる。任意の個数のターゲットのグループがウェーハ上に配置されえる。一般に言って、フィールド当たり約1個から約10個のターゲットグループが製造ウェーハ上で用いられえ、フィールド当たり何千個にまで達するターゲットグループがフォーカス露光マトリクスウェーハおよび試験ウェーハ上で用いられえる。ターゲットグループは、適切なフォトリソグラフィ技術を用いてパターン付けされえる。たいていの場合、ターゲットグループは、ステッパまたはスキャナリソグラフィシステムを用いてフォトレジストのレイヤ内にプリントされる。ラインエンド短縮(line end shortening)技術が用いられえる。ラインエンド短縮は、フォーカスおよび露光に対して異なる感度を持つターゲットを設計する方法の一部として用いられえる。ラインエンド短縮は、CD−SEMまたは光学顕微鏡を用いたフォーカス露光トラッキングによく用いられる。ポジティブおよびリバーストーン計測構造を持つラインエンド短縮技術は、露光をフォーカスから分離することを可能にする。
ノーマルポジティブトーン格子構造(normal positive tone grating structures)およびリバーストーン格子構造(reverse tone grating structures)の両方を含む格子ターゲットセットの計測は、例えばフォトリソグラフィのフォーカスおよび露光のような異なるプロセスパラメータの効果のよりよい分離を提供するために用いられえるより多くの情報を提供する。ポジティブおよびリバーストーンターゲットを用いてフォーカスおよび露光の効果を分離するこの概念は、光学または電子顕微鏡で計測されたラインエンド短縮ターゲットについてよく理解される。周期的散乱計測法構造についてのラインエンド短縮の効果は同様であり、よってポジティブおよびリバーストーンターゲットからの散乱計測スペクトルの特性の分析は、フォーカスおよび露光プロセスパラメータの両方についての情報を提供しえる。
光学近接補正構造を含みえる波面エンジニアリングフィーチャを用いることによってリソグラフィプロセス変化に対するラインエンド短縮ターゲットの感度を調節する方法は、Bendikらによる米国特許第6,673,638号に以前に記載されており、ここで参照によって援用される。これらの方法は、異なるリソグラフィプロセス感度を有する散乱計測格子構造をウェーハ上に作るマスク構造を設計および製造するのに適用されえる。
図13Aは、ターゲットグループを作るマスキングシステム300を示す。マスキングシステム300は、複数の異なるマスキング構造302を含み、これらのそれぞれは、同じピッチP1を持つが、異なる線幅CD1、CD2およびCD3を持つライン格子を作る。示されるように、第1マスキング構造302Aは、公称線幅CD1を作ることができる線幅L1を有し、第2マスキング構造302Bは、公称線幅CD2を作ることができる線幅L2を有し、かつ第3マスキング構造302Cは、公称線幅CD3を作ることができる線幅L3を有する。公称線幅CD1は、典型的には、設計フィーチャに近いラインを作るよう選ばれ、デバイスフィーチャでさえありえる。一般に、公称とは、そのフィーチャがプロセス能力に近く、フォーカスに感度を持ち、しかし妥当なプロセス範囲にわたってプリントされることが可能なことを意味する。マスキング構造302は異なる線幅CDを作るので、ライン格子のそれぞれは異なるプロセス応答を有することになる。理解されるように、より細い線幅CD2はフォーカスに対してより高感度であり、より太い線幅はCD3は、フォーカスに対してより低感度である。ターゲットは異なる線幅を有し、よって異なるプロセス応答を有するので、そこから作られる散乱計測信号も異なることになる。フォーカスについての情報を得るために、異なる信号が散乱計測信号のそれぞれの間で発生されえる。これは以下の方程式によって表現される。
S1(P1, CD1)-S2(P1,CD2) = D1
S1(P1, CD1)-S3(P1,CD3) = D2
S2(P1, CD2)-S3(P1,CD3) = D3
ここでS1は第1格子によって作られる散乱計測信号であり、S2は第2格子によって作られる散乱計測信号であり、S3は第3格子によって作られる散乱計測信号である。
フォーカスについてのさらにより多くの情報を確定するために、異なるピッチを有する異なるターゲットグループを作るのに異なるマスキングシステムが用いられえる。例えば、第1ターゲットグループT1は、同じピッチP1を持つが、異なる線幅CD1、CD2およびCD3を持つ3つのターゲットを含みえ、第2ターゲットグループT2は、同じピッチP2を持つが、異なる線幅CD4、CD5およびCD6を持つ3つのターゲットを含みえ、かつ第3ターゲットグループT3は、同じピッチP3を持つが、異なる線幅CD7、CD8およびCD9を持つ3つのターゲットを含みえる。これは以下の方程式によって表現される。
T1
S1(P1, CD1) -S2(P1,CD2) = D1
S1(P1, CD1) -S3(P1,CD3) = D2
S2(P1, CD2) -S3(P1,CD3) = D3
T2
S4(P2, CD4) -S5(P2,CD5) = D4
S4(P2, CD4) -S6(P2,CD6) = D5
S5(P2, CD5) -S6(P2,CD6) = D6
T3
S7(P3, CD7) -S8(P3,CD8) = D7
S7(P3, CD7) -S9(P3,CD9) = D8
S8(P3, CD8) -S9(P3,CD9) = D9
たいていの場合、マスキング構造は、ポジティブトーンターゲットではなくリバーストーンターゲットを作りえる。これはフォーカスおよび露光情報を分離するのに役立つようになされえる。図13Bは、リバーストーンターゲットグループを作るマスキングシステム310を示す。マスキングシステム310は、複数のマスキング構造312を含み、これらのそれぞれは同じピッチP1を持つが、異なる線幅CD1、CD2およびCD3を持つ(レジスト幅>スペース幅)リバーストーンラインターゲットを作る。このタイプのターゲットは、トレンチターゲットと呼ばれることもある。
図13Cは、ターゲットグループを作るマスキングシステム320を示す。マスキングシステム320は、複数の異なるマスキング構造322を含み、これらのそれぞれはコンタクトまたはバイアターゲット(レジスト中の円筒形の穴)を作り、同じピッチP1を持つが、異なる直径D1およびD2を持つ。第1マスキング構造322Aは、公称直径D1を有するコンタクトを持つコンタクトターゲットを作り、第2マスキング構造322Bは、より大きい直径D2を有するコンタクトターゲットを作る。公称直径D1は、デザインフィーチャに近いコンタクトを作るように典型的には選ばれ、デバイスフィーチャでさえありえる。マスキング構造322が異なる直径Dを作るので、コンタクト格子のそれぞれは、異なるプロセス応答を有する。より大きな直径のコンタクトは、フォーカスの変動に対してより低感度である。最適なフォーカス(固定された露光エネルギーにおいて最大デバイスコンタクト直径)において、ターゲットについて計測されたスペクトル間の差分は最小になるはずである。
図13Dは、ターゲットグループを作るマスキングシステム330である。マスキングシステム330は、複数の異なるマスキング構造332を含み、これらのそれぞれは同じ2次元周期性PxおよびPyおよびレイアウトを持つが、異なる光学近接効果補正(OPC)を持つ周期的ターゲットを作る。示されるように、第1マスキング構造はセリフ334を含み、一方、第2マスキング構造はセリフを含まない。セリフのないターゲットは、フォーカス変動に対してより高感度なはずである。最適なフォーカスにおいて、左および右計測領域について計測されたスペクトル間の差分は最小になるはずである。
図13Eは、ターゲットグループを作るマスキングシステム340である。マスキングシステム340は、複数の異なるマスキング構造342を含み、これらのそれぞれは同じ2次元周期性PxおよびPyおよびレイアウトを持つが、異なる光学近接効果補正(OPC)を持つ周期的ターゲットを作る。示されるように、第1マスキング構造はカットアウトつまりアンチセリフ344を含み、一方、第2マスキング構造はカットアウトつまりアンチセリフを含まない。カットアウトのないターゲットは、フォーカス変動に対してより高感度なはずである。最適なフォーカスにおいて、左および右計測領域について計測されたスペクトル間の差分は最小になるはずである。
図13Fは、ターゲットグループを作るマスキングシステム350である。マスキングシステム350は、複数の異なるマスキング構造352を含み、これらのそれぞれは同じピッチP1およびレイアウトを持つが、異なる光学近接効果補正(OPC)を持つラインターゲットを作る。示されるように、第1マスキング構造は散乱バー354を含み、一方、第2マスキング構造は散乱バーを含まない。散乱バーを有するマスキング構造によって作られたターゲットは、フォーカス変動に対してより低感度なはずである。
図13Gは、ターゲットグループを作るマスキングシステム360である。マスキングシステム360は、複数の異なるマスキング構造362を含み、これらのそれぞれは同じピッチP1および線幅を持つラインターゲットを作る。第1マスキング構造はソリッドライン364によって形成される格子であり、一方、第2および第3マスキング構造はセグメント化されたライン366によって形成される格子である。第2および第3マスキング構造間のセグメント化は同じである。第2マスキング構造は、これらの構造のうちの一つがポジティブトーンターゲットを作り、もう一つがネガティブトーンターゲットを作る点において第3マスキング構造とは異なる。
図13Hは、ターゲットグループを作るマスキングシステム370である。マスキングシステム370は、複数の異なるマスキング構造372を含み、これらのそれぞれは同じピッチP1を持つが異なるセグメント幅wを持つセグメント化されたラインターゲットを作る。第1マスキング構造は公称セグメント化ラインを含み、第2マスキング構造はより細いセグメント化ラインを含み、第3マスキング構造はより太いセグメント化ラインを含む。
図13Iは、ターゲットグループを作るマスキングシステム380である。マスキングシステム380は、図13Hに示されるマスキングシステム370と同様であるが、それがリバーストーンターゲットを作るよう構成されることが異なる。
図13Jは、ターゲットグループを作るマスキングシステム390である。マスキングシステム390は、複数の異なるマスキング構造392を含み、これらのそれぞれは同じピッチP1を持つが異なる形状のセグメントを持つセグメント化されたラインターゲットを作る。第1マスキング構造は公称セグメント化ラインを含み、第2マスキング構造はダイヤモンド形のセグメント394を含み、第3マスキング構造はより細いダイヤモンド形のセグメント396を含む。
図13Kは、ターゲットグループを作るマスキングシステム400である。マスキングシステム400は、複数の異なるマスキング構造402を含み、これらのそれぞれは同じピッチP1を持つが異なるセグメント幅wを持つセグメント化されたラインターゲットを作る。第1マスキング構造は公称セグメント化ラインを含み、第2および第3マスキング構造はより狭いラインエンドを持つ公称セグメント化ラインを含む。第2および第3マスキング構造は、一つがポジティブトーンターゲットを作るように構成され、もう一つがリバーストーンターゲットを作るように構成される点において異なる。
図13Lは、ターゲットグループを作るマスキングシステム410である。マスキングシステム410は、複数の異なるマスキング構造412を含み、これらのそれぞれは同じピッチP1を持つが、異なる光学近接効果補正(OPC)を持つセグメント化されたラインターゲットを作る。第1マスキング構造は公称セグメント化ラインを含み、第2マスキング構造は、サブ解像度アシストフィーチャ(sub resolution assist features)(SRAF)を持つ公称セグメント化ラインを含む。第2および第3マスキング構造は、一つがポジティブトーンターゲットを作るように構成され、もう一つがリバーストーンターゲットを作るように構成される点において異なる。
示されていないが、マスキングシステムは、異なる位相シフトマスキング構造と共に構築されえる。例えば第1位相シフトマスキング構造は公称最小プロセス感度を作るよう構成されえ(回路フィーチャプロセスの振る舞いになるべく近いように)、第2位相シフトマスキング構造は意図的なプロセス感度を作るよう構成されえ(不平衡0、180位相ゾーンの寄与)、および第3位相シフトマスキング構造はフォーカスについてフィーチャ位置への依存性を持たず(ピッチウォークなし)、最良フォーカスにおいて第1位相シフトマスキング構造と同じピッチ、および最良フォーカスにおいて第1位相シフトマスキング構造と同様の線幅を持つように構成されたバイナリフィーチャを含みえる。
以前に記載された散乱計測法による計測を用いたプロセスを制御する方法は、計測されたスペクトルの、モデル形状プロファイル、オーバレイおよび膜のスタック(film stack)について計算された理論スペクトルへの比較に集中していた。代替として、経験的散乱計測データベースが提案されており、そこではスペクトルを集めたものがプロセスバラツキを含むウェーハから記録される。
散乱計測法による計測を用いたプロセス制御の従来の方法の欠点は、プロファイル中に含まれなければならないパラメータの個数が多いこと、および制御されることが必要なプロファイルパラメータを正確に決定するための正確な膜のモデリングである。例えば、設計上長方形のラインセグメントの2次元アレイについて簡単な台形モデルを用いると、含まれなければならないターゲットパラメータの最小の個数は5である。もし膜厚がモデルパラメータとして含まれなければならないなら、パラメータの個数は増える。計測されたスペクトルを計算されたスペクトルに比較することは、ライブラリベースのアプローチが用いられようと、回帰アプローチが用いられようと、モデルパラメータが多いとより時間がかかる。計測されたスペクトルを計算されたスペクトルと比較することに基づく散乱計測法による計測の従来の方法の第2の欠点は、計測されたスペクトルに比較するために正確な理論上スペクトルを計算するのに必要とされる膜のスタック、膜の材料、およびターゲット要素のプロファイルの詳細な知識が要ることである。経験的ライブラリの第3の欠点は、それらがレファレンスウェーハのセットの基準を必要とすることであり、これらはやはり半導体製造またはプロセス開発において遭遇するであろうプロセスパラメータの範囲をカバーしきれない限定されたセットに過ぎない。
本発明のある優位性は、モデルプロファイルについての理論スペクトルの計算を必要としないことである。他の優位性は、スペクトルマッチング法に比べて、あるプロファイルパラメータまたはプロセスパラメータに対して向上された感度であり、これはプロファイルモデリングにおいて計測における誤差に寄与する誤差が存在しないことによる。他の優位性は、散乱計測光学システムのモデリングおよび較正における誤差は、全ての散乱計測ターゲット上の計測値に対して等しく寄与し、よって差分信号がとられるときに打ち消し合う(第1次まで)ことである。通常の入射計測は、膜のバラツキに対しては低い感度しか示さないこともありえる。
本発明および従来技術の間の他の差異は、本発明は、リソグラフィプロセスパラメータをモニタするために、異なる散乱計測法による計測または同じフィールド内のモニタリングサイトまたは領域から得られた散乱計測スペクトルまたは署名(signatures)を比較することにある。比較または差分署名の特性は、較正値を作る目的で、異なるフォーカス設定および/または異なる露光設定において評価されえる。
フィールド内、近接ターゲット差分散乱計測リソグラフィモニタリング法の一つの優位性は、製造ウェーハ上でリソグラフィプロセスパラメータ(例えばフォーカスおよび/または露光)をモニタするのに用いられえることであり、これは従来技術よりもよりよいプロセス制御を可能にする。他の優位性は、ウェーハ当たり数回、およびロット当たり数ウェーハ、ロット毎になどより頻繁にリソグラフィプロセスモニタリングが実行されえることである。より頻繁なプロセスモニタリングは、よりよいリソグラフィプロセス制御を可能にしえる。散乱計測法での製造ウェーハ上でのリソグラフィプロセスモニタリングは、フォーカス−露光マトリクスウェーハのような時間のかかる非生産的な試験の必要を減らしえ、それによってリソグラフィプロセスシステムの生産性を増す。従来技術はまた、通常の製造材料として売ることのできないウェーハ(製造材料であってはならず、またはウェーハのリワークをすること、および製造材料としてウェーハを再処理することを要求しえる)の上で特別な試験レシピを走らせることを要求する。他の従来技術の方法は、生産用ではないウェーハを走らせてウェーハ上で最良フォーカスを決定することを要求する。
散乱計測署名をモデリングすることを含む従来技術の方法に対する他の優位性は、複雑で時間のかかりえるモデリングが不要なことである。
計測されたスペクトルを保存された計測されたスペクトル(例えば1つ以上のフォーカス−露光マトリクスウェーハから計測された)と比較する従来技術に対する優位性は、非常に近接する同じウェーハ上に構築された2つ以上の散乱計測構造からの差分署名(difference signatures)は、大まかには同じ基本的な構造を有することである。近接する散乱計測法計測領域の膜厚および膜組成は非常に似ており、もし散乱計測法計測が設計上では同じ下層構造を持つように設計されるなら、同じと考えられえる。散乱計測署名は、下層膜厚、膜組成、構造的特徴などを含む下層構造の光学特性のバラツキにしばしば感度を持つ。計測された散乱計測署名を保存された散乱計測署名と比較することは、通常、ウェーハ製造環境においてウェーハ内で、ウェーハ毎に、およびロット毎に起こる下層膜または下層構造のバラツキによってより複雑になる。リソグラフィプロセスモニタリング(例えばフォーカスおよび/または露光モニタリング)のためには、モニタし制御したいリソグラフィプロセスパラメータにユニークに相関付けられえる散乱計測署名中の変化を特定することが必要となる。もし比較されるべき散乱計測署名が、リソグラフィプロセスパラメータ以外のプロセスパラメータまたは材料特性において大きく異なる散乱計測法による計測フィーチャから来るなら、リソグラフィプロセスパラメータによる散乱計測署名(群)の局面または特性をユニークに特定することはより困難でありえる。
さらに散乱計測は、製造ウェーハ上でインラインで用いられえ、よって製造を停止する必要をなくしえる。すなわち散乱計測に基づく計測ツールは、リアルタイムのフォーカス/露光プロセス制御を可能にするために、および時間のかかるFEM試験ウェーハのための要件を低減するために、フォーカスおよび/または露光モニタリングを製造ウェーハ上で実行するよう用いられえる。
本発明は、いくつかの好ましい実施形態について記載されてきたが、本発明の範囲に入る改変、組み合わせ、および等価物が存在する。
例えばフィールド内の実効プロセスパラメータを決定するために、複数の計測ターゲットグループが同じフィールド内に配置されえる。この情報は、理想的な光学システムからのずれを決定するのに用いられえる。例えば、フィールド内の実効フォーカスのズレは、ウェーハまたはレチクルチルト、またはステッパレンズシステムの収差についての問題を見つけるために分析されえる。この情報は、製造ウェーハ上でのチルトまたは収差の効果を最小にするためのステッパへの補正値を決定するために用いられえる。
さらに、差分計測における散乱計測信号またはスペクトルの少なくとも一つは、ウェーハのパターン付けされていない領域、例えばレジストのない領域(オープンマスクエリアによって形成される)またはレジストがフルにある領域(クロムマスクエリアによって形成される)からとられえる。例えば、ほとんどレジストの構造(コンタクトに近い散乱計測の計測構造または実際のコンタクトアレイ)からのスペクトルを、構造がないレジスト領域(unstructured resist areas)(マスクにクロム)からのスペクトルと比較することは有用でありえる。場合によっては、格子構造は、その寸法のために露光のあいだにフォトレジスト中でパターン付けされえない。このような場合は、そこから作られた散乱計測信号は、ウェーハのフルレジスト領域からとられた散乱計測信号と比較されえる。加えて、ほとんどオープンな構造(離れたラインまたはポストのような散乱計測法計測構造または周期的ゲートレジストデバイスパターンのような)からのスペクトルを、リソグラフィプロセスによってレジストが除去されている領域(クリアマスク、クリアにし現像する露光量(dose to clear and develop))からのスペクトルと比較することは有用でありえる。
さらに詳細には説明されていないが、格子構造はさまざまなレイヤ上におよびレイヤの下に形成されえることに注意されたい。実際、格子構造は、前に形成された下層格子構造上に形成されえる(格子ターゲットがすでに存在しえるスペースを再利用する)。これは、下層の格子構造が上層格子構造においてコモンモード擾乱(common mode disturbances)を作るときに一般に可能になる。例えば下層格子構造は、上層格子構造に平行、または直角でありえる。下層格子構造は、以下に限定されないが銅のような金属を含むさまざまな材料から形成されえる。ある実施形態において、銅から形成される交差された格子(上部ライン格子に直角な向きを持つラインを有する)が上層格子構造の下に配置される。他の実現例において、銅から形成される平行な格子が上層格子構造の下に形成される。これら両方の場合において、この「銅ミラー」は、大きなパターン付けされていない領域がプロセスガイドラインを破りえる裏側レイヤ(back-end layers)上の散乱計測を可能にする。場合によっては、差分信号を作るのに用いられる格子構造が下層格子と同じオーバレイまたはアライメントを有することを確かにすることは、オーバレイ変動の差分信号への影響を最小化し、それによってフォーカスおよび露光に対する感度を改善するのに有利になりえる。
さらに差分スペクトルまたは差分信号の特性の分析は、スペクトル範囲全体または差分スペクトルの1つ以上の波長領域を用いて式にあてはめることによってなされえる。代替として、さまざまなプロセス条件についての差分スペクトルの複雑なデータセットは、エキスパートシステムを訓練させて、差分スペクトルおよびプロセス条件の特性間の関係を「学習」させるためにニューラルネットワークのようなエキスパートシステムへの入力として用いられえる。それから製造ウェーハからの差分スペクトルデータは、ベースラインデータベース(差分スペクトル、差分スペクトルの特性、差分スペクトルの特性をプロセスパラメータと関連付ける方程式、ニューラルネットワークシステムによって「学習された」差分スペクトルおよびプロセスパラメータ間の関係などを含む)と比較することによって、製造ウェーハを作るのに用いられる「実効的な」プロセスパラメータを決定するために分析されえる。
2つ以上のスペクトルの比較の他の方法が、差分をとることの代わりに、またはそれに加えて用いられえる。例えば、比較は、あるスペクトルを他のスペクトルによって割ることによって実行されえる。例示的に上で示された例における差分スペクトルを作るために差分を用いることは、本発明を限定するものではない。
本発明の方法および装置を実現する多くの代替方法が存在することにも注意されたい。
例えば、本発明は主に半導体製造に関連するフォトリソグラフィプロセスに関しているが、これは限定ではないことに注意されたい。ここで記載された本発明は、以下に限定されないが、半導体製造、光学デバイス製造、マイクロマシンデバイス製造、磁気記録データ記憶製造などを含むさまざまなフォトリソグラフィプロセスに適する。さらにフォーカスおよび露光が主にここでは説明されたが、これは例示としてであって限定としてではないことに注意されたい。モニタされえる他のプロセスパラメータとしては、エッチング時間、エッチング電圧バイアス、エッチング電力などが含まれる。
したがって以下の添付の特許請求の範囲は、本発明の真の精神および範囲に入る全てのこのような改変、組み合わせ、および等価物を含むと解釈されるべきであると意図される。
本発明のある実施形態によるプロセス制御方法の図である。 本発明のある実施形態による散乱計測信号のペアの図である。 本発明のある実施形態による差分信号の図である。 本発明のある実施形態によるプロセス制御方法の図である。 本発明のある実施形態によるプロセス制御方法の図である。 本発明のある実施形態による較正方法の図である。 本発明のある実施形態によるプロセス制御方法の図である。 本発明のある実施形態によるプロセスを制御するプロシージャを示すフロー図である。 本発明のある実施形態によるフォーカスおよび露光をモニタする方法の概略図である。 本発明のある実施形態による最適化された、または最良のフォーカス172を決定する方法の図である。 本発明のある実施形態による、同じピッチを持つが異なる線幅を持つターゲットを有するターゲットグループで作られた散乱計測信号のペアを示すグラフプロットである。 本発明のある実施形態による、同じピッチを持つが異なる線幅を持つターゲットから作られた散乱計測信号のペアを示すグラフプロットである。 本発明のある実施形態による、図10Aの散乱計測信号のペアから形成された差分信号と共に、図10Bの散乱計測信号のペアから形成された差分信号を示すグラフプロットである。 本発明のある実施形態による、アルファRMSD対フォーカスを示すグラフプロットである。 本発明のある実施形態による、アルファRMSD対フォーカスを示すグラフプロットである。 本発明のある実施形態による、分光散乱計システムの概略図である。 本発明のある実施形態による、フォトリソグラフィ処理システムの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。 本発明の実施形態による、散乱計測法による計測ターゲットグループまたは格子構造セットの図である。

Claims (31)

  1. 異なるプロセス応答を持つ少なくとも2つの格子構造において散乱計測法による計測を実行することによって散乱計測信号を得ることであって、前記少なくとも2つの格子構造は、同じフィールド内に位置し、互いに非常に近接している、散乱計測信号を得ること、
    前記少なくとも2つの格子構造を形成するのに用いられた1つ以上のプロセスパラメータについての情報を確定するために前記少なくとも2つの異なる格子構造からの散乱計測信号を比較すること、および
    前記比較に基づいて前記1つ以上のプロセスパラメータを制御すること
    を含む方法。
  2. 請求項1に記載の方法であって、前記比較するステップは、
    前記少なくとも2つの格子構造からの散乱計測信号間の差分を決定すること
    を含む方法。
  3. 請求項2に記載の方法であって、前記比較するステップは、
    前記差分を較正データと比較することによって前記1つ以上のプロセスパラメータの実効値を決定すること
    をさらに含む方法。
  4. 請求項3に記載の方法であって、前記制御するステップは、
    前記1つ以上のプロセスパラメータを前記1つ以上のプロセスパラメータの前記実効値に従って制御すること
    を含む方法。
  5. 請求項3に記載の方法であって、前記較正データは、1つ以上の方程式、グラフまたはライブラリの形である方法。
  6. 請求項3に記載の方法であって、前記較正は、
    異なるプロセス条件について異なるプロセス応答を持つ格子構造の複数のセットにおいて散乱計測法による計測を実行すること、
    前記格子構造のそれぞれのセットについての前記散乱計測信号間の差分を計算すること、
    前記差分を前記異なるプロセス条件の関数としてマッピングすること
    を含む方法。
  7. 請求項2に記載の方法であって、前記比較するステップは、
    前記差分が所定の制御限界内にあるかを決定すること
    を含む方法。
  8. 請求項7に記載の方法であって、前記制御するステップは、
    前記1つ以上のプロセスパラメータを、前記差分が前記所定の制御限界内にあるかどうかに従って制御すること
    を含む方法。
  9. 請求項2に記載の方法であって、前記差分散乱計測信号は、互いに差をとられることによって差分信号を作る方法。
  10. 請求項9に記載の方法であって、差分特性が前記差分信号から得られる方法。
  11. 請求項10に記載の方法であって、前記差分信号またはその特性が較正データと比較されることによって、1つ以上のプロセスパラメータの実効値を決定する方法。
  12. 請求項1に記載の方法であって、
    異なるプロセス応答を持つ前記少なくとも2つ以上の格子構造をウェーハ上にマスクで形成すること
    をさらに含む方法。
  13. 請求項1に記載の方法であって、
    そのそれぞれが1つ以上のプロセスパラメータに対して異なるように応答する格子構造を作るよう構成される2つ以上のマスキング構造を持つマスクを設計すること
    をさらに含む方法。
  14. 1つ以上のプロセスパラメータを制御する方法であって、
    少なくとも2つの格子構造について散乱計測信号を得ることであって、前記格子構造のそれぞれは、制御されることが望まれる1つ以上のプロセスパラメータに対して異なる感度を有する散乱計測信号を作る、散乱計測信号を得ること、
    前記異なる格子構造を形成するのに用いられた1つ以上のプロセスパラメータについての情報を確定するために散乱計測信号を比較することであって、前記散乱計測ターゲットのそれぞれは、異なる散乱計測信号を作るよう構成され、前記差分は少なくとも一部は1つ以上のプロセスパラメータに起因する、比較すること、
    を含む方法。
  15. 最適または最良フォーカスを決定する方法であって、
    複数のフォーカス設定においてターゲットグループを形成することであって、前記ターゲットグループは、異なる感度をフォーカスに対して持つ2つ以上のターゲットを含む、形成すること、
    前記ターゲットグループの前記ターゲットのそれぞれについて散乱計測信号を得ること、
    それぞれのターゲットグループについて差分信号を計算すること、
    前記差分信号または前記差分信号の特性間の、前記フォーカス設定に対する関係を形成すること、および
    前記関係を用いて最適または最良フォーカス設定を決定すること
    方法。
  16. 請求項15に記載の方法であって、
    前記差分信号のそれぞれについて特性を計算すること
    をさらに含む方法。
  17. 請求項16に記載の方法であって、前記特性は、2乗平均平方根差分を用いて計算される方法。
  18. プロセス制御方法であって、
    異なる散乱計測信号を作るよう構成される2つ以上の計測可能なパターンを計測することであって、前記信号間の前記差分は少なくとも一部は、前記計測可能なパターンを作るのに用いられた1つ以上のプロセスパラメータによる、計測すること、および
    フォトリソグラフィプロセスのための前記最良のプロセス条件を決定するために前記差分信号を分析することであって、前記分析ステップは、前記差分信号から1つ以上のプロセスパラメータについての情報を抽出することを含む、分析すること、
    を含む方法。
  19. ターゲットグループであって、
    異なるプロセス応答を有するよう構成される2つ以上の散乱計測ターゲットであって、前記2つ以上の散乱計測ターゲットは同じフィールド内に位置し、互いに非常に近接しており、異なるプロセス応答を持つ前記散乱計測ターゲットは、異なる散乱計測信号を作り、前記散乱計測信号の差分は、前記散乱計測ターゲットを作るのに用いられた1つ以上のプロセスパラメータに少なくとも一部はよる、散乱計測ターゲット
    を備えるターゲットグループ。
  20. 請求項19に記載のターゲットグループであって、前記散乱計測ターゲットは格子構造であり、第1格子構造はプロセスパラメータに対する第1感度から形成される第1値を有する第1パラメータを含み、第2格子構造は前記プロセスパラメータに対する第2感度から形成される値を有する第1パラメータを含む、ターゲットグループ。
  21. 請求項20に記載のターゲットグループであって、前記第2感度は、前記第1感度より高いかまたは低いターゲットグループ。
  22. 請求項20に記載のターゲットグループであって、前記格子構造は、ワークピースの表面上にプリントされ、前記表面はフォトレジストの露光されたレイヤ、フォトレジストの部分的に現像されたレイヤ、フォトレジストの現像されたレイヤ、または前記ワークピースの下層レイヤを表すターゲットグループ。
  23. 請求項20に記載のターゲットグループであって、前記格子構造は、スクライブライン内に、デバイス構造内に、または前記スクライブラインおよび前記デバイス構造の両方の中に位置するターゲットグループ。
  24. 請求項20に記載のターゲットグループであって、前記格子構造は、一つの方向においてまたは2つの方向において周期的であるターゲットグループ。
  25. 請求項20に記載のターゲットグループであって、前記第1および第2格子構造は、同じピッチを有するが異なる線幅または直径を有するターゲットグループ。
  26. 請求項20に記載のターゲットグループであって、前記格子構造は、ポジティブトーンまたはネガティブトーンの両方であるターゲットグループ。
  27. 請求項20に記載のターゲットグループであって、前記格子構造の少なくとも一つは、ポジティブトーンであって、もう一つはネガティブトーンであるターゲットグループ。
  28. 請求項20に記載のターゲットグループであって、前記第1および第2格子構造は、同じピッチをxおよびy方向の両方に有するが、異なる光学近接効果補正(OPC)有するターゲットグループ。
  29. 請求項20に記載のターゲットグループであって、前記格子構造の少なくとも一つは、セグメント化されたラインを含むターゲットグループ。
  30. 請求項29に記載のターゲットグループであって、前記第1および第2格子構造は、同じピッチを有するが、異なるセグメント幅を有するターゲットグループ。
  31. 請求項29に記載のターゲットグループであって、前記第1および第2格子構造は、同じピッチを有するが、異なる形状のセグメントを有するターゲットグループ。
JP2006501078A 2003-01-17 2004-01-20 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法 Expired - Fee Related JP4799402B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US44104803P 2003-01-17 2003-01-17
US60/441,048 2003-01-17
PCT/US2004/001570 WO2004066028A2 (en) 2003-01-17 2004-01-20 Method for process optimization and control by comparison between 2 or more measured scatterometry signals

Publications (3)

Publication Number Publication Date
JP2006515958A true JP2006515958A (ja) 2006-06-08
JP2006515958A5 JP2006515958A5 (ja) 2007-03-08
JP4799402B2 JP4799402B2 (ja) 2011-10-26

Family

ID=32771895

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006501078A Expired - Fee Related JP4799402B2 (ja) 2003-01-17 2004-01-20 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法

Country Status (3)

Country Link
US (1) US7352453B2 (ja)
JP (1) JP4799402B2 (ja)
WO (1) WO2004066028A2 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006523039A (ja) * 2003-04-10 2006-10-05 アクセント オプティカル テクノロジーズ,インク. パラメータ変動性分析による焦点の中心の決定
JP2008042193A (ja) * 2006-08-02 2008-02-21 Asml Netherlands Bv リソグラフィシステム、制御システム、およびデバイス製造方法
JP2010501113A (ja) * 2006-08-17 2010-01-14 カール・ツァイス・エスエムティー・アーゲー マイクロリソグラフィ投影露光装置及びマイクロリソグラフィ露光方法
JP2010114444A (ja) * 2008-11-06 2010-05-20 Brion Technologies Inc リソグラフィ較正のための方法及びシステム
WO2014081913A1 (en) * 2012-11-21 2014-05-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
KR101433783B1 (ko) 2008-12-30 2014-08-25 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀, 및 디바이스 제조 방법
JP2016503520A (ja) * 2012-11-30 2016-02-04 エーエスエムエル ネザーランズ ビー.ブイ. ドーズおよびフォーカス決定方法、検査装置、パターニングデバイス、基板、ならびにデバイス製造方法
JP2016048399A (ja) * 2011-05-25 2016-04-07 エーエスエムエル ネザーランズ ビー.ブイ. 計算プロセス制御
JP2016523378A (ja) * 2013-06-12 2016-08-08 エーエスエムエル ネザーランズ ビー.ブイ. クリティカルディメンション関連特性を決定する方法、検査装置およびデバイス製造方法
KR20170088403A (ko) * 2014-11-25 2017-08-01 케이엘에이-텐코 코포레이션 랜드스케이프의 분석 및 활용
KR20180016589A (ko) * 2015-06-12 2018-02-14 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법
KR20200019755A (ko) * 2017-08-07 2020-02-24 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
CN100550299C (zh) * 2004-11-16 2009-10-14 东京毅力科创株式会社 曝光条件设定方法、衬底处理装置和计算机程序
US20060117293A1 (en) * 2004-11-30 2006-06-01 Nigel Smith Method for designing an overlay mark
US7519940B2 (en) * 2005-05-02 2009-04-14 Cadence Design Systems, Inc. Apparatus and method for compensating a lithography projection tool
WO2007019269A2 (en) * 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
DE102006023196B3 (de) * 2006-05-17 2007-12-06 Qimonda Ag Verfahren und Vorrichtung zum Einstellen von gerätespezifischen Auswerteparametern
US7916284B2 (en) * 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7510960B2 (en) * 2006-08-29 2009-03-31 International Business Machines Corporation Bridge for semiconductor internal node
US7505148B2 (en) * 2006-11-16 2009-03-17 Tokyo Electron Limited Matching optical metrology tools using spectra enhancement
DE102006056625B4 (de) * 2006-11-30 2014-11-20 Globalfoundries Inc. Verfahren und Teststruktur zum Bestimmen von Fokuseinstellungen in einem Lithographieprozess auf der Grundlage von CD-Messungen
US8069020B2 (en) * 2007-09-19 2011-11-29 Tokyo Electron Limited Generating simulated diffraction signal using a dispersion function relating process parameter to dispersion
JP4897006B2 (ja) * 2008-03-04 2012-03-14 エーエスエムエル ネザーランズ ビー.ブイ. アラインメントマークを設ける方法、デバイス製造方法及びリソグラフィ装置
NL1036856A1 (nl) * 2008-04-24 2009-10-27 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP2010044101A (ja) * 2008-08-08 2010-02-25 Toshiba Corp パターン予測方法、プログラム及び装置
CN102171618B (zh) * 2008-10-06 2014-03-19 Asml荷兰有限公司 使用二维目标的光刻聚焦和剂量测量
JP2010192623A (ja) * 2009-02-17 2010-09-02 Renesas Electronics Corp 半導体装置の製造装置、その制御方法、及びその制御プログラム
CN102422227B (zh) * 2009-05-12 2014-09-17 Asml荷兰有限公司 用于光刻技术的检查方法
US8313877B2 (en) * 2009-06-12 2012-11-20 Micron Technology, Inc. Photolithography monitoring mark, photolithography mask comprising an exposure monitoring mark, and phase shift mask comprising an exposure monitoring mark
WO2011003734A1 (en) * 2009-07-06 2011-01-13 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus and lithographic processing cell
CN102498441B (zh) * 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
US10354929B2 (en) * 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
US20130325395A1 (en) * 2012-06-01 2013-12-05 Globalfoundries Singapore Pte. Ltd. Co-optimization of scatterometry mark design and process monitor mark design
US9466100B2 (en) * 2012-06-06 2016-10-11 Kla-Tencor Corporation Focus monitoring method using asymmetry embedded imaging target
KR101983615B1 (ko) 2012-06-22 2019-05-29 에이에스엠엘 네델란즈 비.브이. 포커스를 결정하는 방법, 검사 장치, 패터닝 장치, 기판, 및 디바이스 제조 방법
NL2011000A (en) * 2012-07-23 2014-01-27 Asml Netherlands Bv Inspection method and apparatus, lithographic system and device manufacturing method.
US9518936B2 (en) * 2012-11-30 2016-12-13 Asml Netherlands B.V. Method and apparatus for determining lithographic quality of a structure
TWI614586B (zh) * 2012-12-20 2018-02-11 Nikon Corp 評估方法及裝置、加工方法、以及曝光系統
US8782572B1 (en) 2013-03-13 2014-07-15 United Microelectronics Corp. Method of optical proximity correction
US8782569B1 (en) 2013-03-14 2014-07-15 United Microelectronics Corp. Method for inspecting photo-mask
WO2015009619A1 (en) * 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
AT513185B1 (de) * 2013-11-13 2015-12-15 Ditest Fahrzeugdiagnose Gmbh Kalibrierelement
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US9851300B1 (en) * 2014-04-04 2017-12-26 Kla-Tencor Corporation Decreasing inaccuracy due to non-periodic effects on scatterometric signals
US10576603B2 (en) * 2014-04-22 2020-03-03 Kla-Tencor Corporation Patterned wafer geometry measurements for semiconductor process controls
WO2015193904A1 (en) * 2014-06-19 2015-12-23 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
WO2016000914A1 (en) * 2014-06-30 2016-01-07 Asml Netherlands B.V. Method of determining dose, inspection apparatus, patterning device, substrate and device manufacturing method
US9841689B1 (en) * 2014-08-22 2017-12-12 Kla-Tencor Corporation Approach for model calibration used for focus and dose measurement
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
KR102109059B1 (ko) * 2014-11-26 2020-05-12 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
CN107949807B (zh) 2015-05-15 2022-06-03 科磊股份有限公司 用于使用焦点敏感叠盖目标进行焦点确定的系统及方法
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
WO2017016839A1 (en) 2015-07-24 2017-02-02 Asml Netherlands B.V. Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
EP3944022B1 (de) 2015-11-05 2023-10-11 Carl Zeiss SMT GmbH Verfahren und vorrichtung zur charakterisierung eines durch wenigstens einen lithographieschritt strukturierten wafers
WO2017080729A1 (en) * 2015-11-13 2017-05-18 Asml Netherlands B.V. Methods for identifying a process window boundary
EP3190464B1 (en) 2015-12-14 2021-08-11 IMEC vzw Method for inspecting a pattern of features on a semiconductor die
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
CN109791367B (zh) * 2016-09-27 2021-06-22 Asml荷兰有限公司 量测选配方案选择
EP3299890A1 (en) * 2016-09-27 2018-03-28 ASML Netherlands B.V. Metrology recipe selection
US10490462B2 (en) 2016-10-13 2019-11-26 Kla Tencor Corporation Metrology systems and methods for process control
FR3061301B1 (fr) * 2016-12-26 2020-09-04 Commissariat Energie Atomique Procede d'observation d'un objet
FR3061300B1 (fr) * 2016-12-26 2020-06-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede d'observation d'un objet
US10209627B2 (en) 2017-01-06 2019-02-19 Kla-Tencor Corporation Systems and methods for focus-sensitive metrology targets
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
EP3467589A1 (en) * 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
KR102340174B1 (ko) * 2017-06-20 2021-12-16 에이에스엠엘 네델란즈 비.브이. 엣지 러프니스 파라미터 결정
EP3422102A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
WO2019066891A1 (en) * 2017-09-29 2019-04-04 Intel Corporation SYSTEMS, METHODS AND APPARATUSES FOR IMPLEMENTING FAULT PREDICTION AND OPTIMIZATION OF WINDOW PROCESSING IN CHROME-FREE PHASE LITHOGRAPHY
JP6351893B1 (ja) * 2018-02-26 2018-07-04 日本分光株式会社 位相差制御装置
US10446563B1 (en) 2018-04-04 2019-10-15 Texas Instruments Incorporated Partially disposed gate layer into the trenches
EP3647871A1 (en) * 2018-10-31 2020-05-06 ASML Netherlands B.V. Method of determing a value of a parameter of interest of a patterning process, device manufacturing method
US20230020555A1 (en) * 2019-12-18 2023-01-19 Cymer, Llc Predictive apparatus in a gas discharge light source

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0289305A (ja) * 1988-09-27 1990-03-29 Nikon Corp リソグラフィ装置
WO2002021075A1 (en) * 2000-09-06 2002-03-14 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
WO2002027288A1 (en) * 2000-09-27 2002-04-04 Kla-Tencor Corporation Improved system for scatterometric measurements and applications
JP2002231604A (ja) * 2001-01-30 2002-08-16 Nikon Corp 位置検出装置およびその調整方法
WO2002070985A1 (en) * 2001-03-02 2002-09-12 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
JP2002311564A (ja) * 2001-01-29 2002-10-23 Tanbaa Technologies Inc 散乱測定を用いてマスクルールを求めるための方法および装置

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908656A (en) * 1988-01-21 1990-03-13 Nikon Corporation Method of dimension measurement for a pattern formed by exposure apparatus, and method for setting exposure conditions and for inspecting exposure precision
KR100197191B1 (ko) * 1994-11-14 1999-06-15 모리시다 요이치 레지스트 패턴 형성방법
US5956715A (en) * 1994-12-13 1999-09-21 Microsoft Corporation Method and system for controlling user access to a resource in a networked computing environment
US5790254A (en) * 1994-12-20 1998-08-04 International Business Machines Corporation Monitoring of minimum features on a substrate
US5629772A (en) * 1994-12-20 1997-05-13 International Business Machines Corporation Monitoring of minimum features on a substrate
US5607800A (en) * 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US5902703A (en) * 1997-03-27 1999-05-11 Vlsi Technology, Inc. Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6016684A (en) * 1998-03-10 2000-01-25 Vlsi Standards, Inc. Certification of an atomic-level step-height standard and instrument calibration with such standards
US5936738A (en) * 1998-08-03 1999-08-10 International Business Machines Corporation Focus monitor for alternating phase shifted masks
US6466314B1 (en) * 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6063531A (en) * 1998-10-06 2000-05-16 Advanced Micro Devices, Inc. Focus monitor structure and method for lithography process
US6485872B1 (en) * 1999-12-03 2002-11-26 Mks Instruments, Inc. Method and apparatus for measuring the composition and other properties of thin films utilizing infrared radiation
US6559953B1 (en) * 2000-05-16 2003-05-06 Intel Corporation Point diffraction interferometric mask inspection tool and method
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6513151B1 (en) * 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6304999B1 (en) * 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6650422B2 (en) * 2001-03-26 2003-11-18 Advanced Micro Devices, Inc. Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith
US6501534B1 (en) * 2001-04-30 2002-12-31 Advanced Micro Devices, Inc. Automated periodic focus and exposure calibration of a lithography stepper
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6614540B1 (en) * 2001-06-28 2003-09-02 Advanced Micro Devices, Inc. Method and apparatus for determining feature characteristics using scatterometry
US6884552B2 (en) * 2001-11-09 2005-04-26 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US6778275B2 (en) * 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US7151594B2 (en) * 2002-11-01 2006-12-19 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US6879406B1 (en) * 2003-10-01 2005-04-12 Advanced Micro Devices, Inc. Use of scatterometry as a control tool in the manufacture of extreme UV masks

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0289305A (ja) * 1988-09-27 1990-03-29 Nikon Corp リソグラフィ装置
WO2002021075A1 (en) * 2000-09-06 2002-03-14 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
JP2004508559A (ja) * 2000-09-06 2004-03-18 アクセント オプティカル テクノロジーズ,インク. 回折シグニチャー分析による焦点の中心の決定
WO2002027288A1 (en) * 2000-09-27 2002-04-04 Kla-Tencor Corporation Improved system for scatterometric measurements and applications
JP2004510152A (ja) * 2000-09-27 2004-04-02 ケーエルエー−テンカー コーポレイション 散乱計測定の改良システムおよび応用
JP2002311564A (ja) * 2001-01-29 2002-10-23 Tanbaa Technologies Inc 散乱測定を用いてマスクルールを求めるための方法および装置
JP2002231604A (ja) * 2001-01-30 2002-08-16 Nikon Corp 位置検出装置およびその調整方法
WO2002070985A1 (en) * 2001-03-02 2002-09-12 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
JP2004529330A (ja) * 2001-03-02 2004-09-24 アクセント オプティカル テクノロジーズ,インク. スキャタロメトリを使用するライン・プロファイルの非対称測定

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4704332B2 (ja) * 2003-04-10 2011-06-15 ナノメトリクス インコーポレイテッド パラメータ変動性分析による焦点の中心の決定
JP2006523039A (ja) * 2003-04-10 2006-10-05 アクセント オプティカル テクノロジーズ,インク. パラメータ変動性分析による焦点の中心の決定
JP2008042193A (ja) * 2006-08-02 2008-02-21 Asml Netherlands Bv リソグラフィシステム、制御システム、およびデバイス製造方法
JP2010501113A (ja) * 2006-08-17 2010-01-14 カール・ツァイス・エスエムティー・アーゲー マイクロリソグラフィ投影露光装置及びマイクロリソグラフィ露光方法
JP4730675B2 (ja) * 2006-08-17 2011-07-20 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ投影露光装置及びマイクロリソグラフィ露光方法
US8675178B2 (en) 2006-08-17 2014-03-18 Carl Zeiss Smt Gmbh Microlithographic projection exposure apparatus
US9009647B2 (en) 2008-11-06 2015-04-14 Asml Netherlands B.V. Methods and systems for lithography calibration using a mathematical model for a lithographic process
JP2010114444A (ja) * 2008-11-06 2010-05-20 Brion Technologies Inc リソグラフィ較正のための方法及びシステム
US8418088B2 (en) 2008-11-06 2013-04-09 Asml Netherlands B.V. Methods and system for lithography calibration
KR101433783B1 (ko) 2008-12-30 2014-08-25 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀, 및 디바이스 제조 방법
JP2016048399A (ja) * 2011-05-25 2016-04-07 エーエスエムエル ネザーランズ ビー.ブイ. 計算プロセス制御
US10007192B2 (en) 2011-05-25 2018-06-26 Asml Netherlands B.V. Computational process control
WO2014081913A1 (en) * 2012-11-21 2014-05-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
US10698321B2 (en) 2012-11-21 2020-06-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
JP2016503520A (ja) * 2012-11-30 2016-02-04 エーエスエムエル ネザーランズ ビー.ブイ. ドーズおよびフォーカス決定方法、検査装置、パターニングデバイス、基板、ならびにデバイス製造方法
US10180628B2 (en) 2013-06-12 2019-01-15 Asml Netherlands B.V. Method of determining critical-dimension-related properties, inspection apparatus and device manufacturing method
JP2016523378A (ja) * 2013-06-12 2016-08-08 エーエスエムエル ネザーランズ ビー.ブイ. クリティカルディメンション関連特性を決定する方法、検査装置およびデバイス製造方法
KR101826651B1 (ko) * 2013-06-12 2018-02-07 에이에스엠엘 네델란즈 비.브이. 임계 치수 관련 특성을 결정하는 방법, 검사 장치, 및 디바이스 제조 방법
KR20170088403A (ko) * 2014-11-25 2017-08-01 케이엘에이-텐코 코포레이션 랜드스케이프의 분석 및 활용
KR102269514B1 (ko) 2014-11-25 2021-06-25 케이엘에이 코포레이션 랜드스케이프의 분석 및 활용
JP2018517177A (ja) * 2015-06-12 2018-06-28 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置、検査方法、リソグラフィ装置、パターニングデバイス及び製造方法
KR102066588B1 (ko) * 2015-06-12 2020-01-15 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법
KR20180016589A (ko) * 2015-06-12 2018-02-14 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법
KR20200019755A (ko) * 2017-08-07 2020-02-24 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
US11067902B2 (en) 2017-08-07 2021-07-20 Asml Netherlands B.V. Computational metrology
KR102352673B1 (ko) * 2017-08-07 2022-01-17 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법

Also Published As

Publication number Publication date
WO2004066028A3 (en) 2005-05-19
JP4799402B2 (ja) 2011-10-26
US20040190008A1 (en) 2004-09-30
WO2004066028A2 (en) 2004-08-05
US7352453B2 (en) 2008-04-01

Similar Documents

Publication Publication Date Title
JP4799402B2 (ja) 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法
US10101674B2 (en) Methods and apparatus for determining focus
US10417359B2 (en) Optical metrology of lithographic processes using asymmetric sub-resolution features to enhance measurement
US10935893B2 (en) Differential methods and apparatus for metrology of semiconductor targets
US20200348604A1 (en) Verification Metrology Targets and Their Design
US7656512B2 (en) Method for determining lithographic focus and exposure
US9903711B2 (en) Feed forward of metrology data in a metrology system
US10502694B2 (en) Methods and apparatus for patterned wafer characterization
CN111542783A (zh) 用于确定衬底上的结构的感兴趣的特性的量测设备与方法
WO2016172122A1 (en) Metrology target design for tilted device designs
KR20030040446A (ko) 회절 시그네춰 분석을 통한 초점 중심 측정방법
KR20050035153A (ko) 단면 분석법에 의한 초점 중심의 결정
JP2009145323A (ja) モデルを最適化する方法、特性を測定する方法、デバイス製造方法、分光計及びリソグラフィ装置
JP2005513757A (ja) リソグラフィのフォーカスおよび露光を決定する方法
WO2003001297A2 (en) Method for determining lithographic focus and exposure
EP3467589A1 (en) Determining edge roughness parameters
Dare et al. Logic gate scanner focus control in high-volume manufacturing using scatterometry
CN117642700A (zh) 用于低维度数据分析的数据映射的方法和计算机程序

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070116

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070116

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100312

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100323

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100614

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101019

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110117

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110418

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110705

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110802

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140812

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4799402

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees