KR20200019755A - 컴퓨테이션 계측법 - Google Patents

컴퓨테이션 계측법 Download PDF

Info

Publication number
KR20200019755A
KR20200019755A KR1020207003088A KR20207003088A KR20200019755A KR 20200019755 A KR20200019755 A KR 20200019755A KR 1020207003088 A KR1020207003088 A KR 1020207003088A KR 20207003088 A KR20207003088 A KR 20207003088A KR 20200019755 A KR20200019755 A KR 20200019755A
Authority
KR
South Korea
Prior art keywords
substrate
metrology
parameter
overlay
patterning process
Prior art date
Application number
KR1020207003088A
Other languages
English (en)
Other versions
KR102352673B1 (ko
Inventor
페트릭 워나르
패트리시우스 알로이시우스 제이코부스 티네만스
그제고르즈 그젤라
에버하르두스 코르넬리스 모스
윔 치보 텔
마리누스 요켐슨
바르트 피터 베르트 세게르스
프랭크 스탈스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17185116.5A external-priority patent/EP3441819A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20200019755A publication Critical patent/KR20200019755A/ko
Application granted granted Critical
Publication of KR102352673B1 publication Critical patent/KR102352673B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

방법으로서, 패터닝 프로세스를 사용하여 생성되는 기판의 디바이스 패턴의 복수의 피처 중 각각의 특정 피처에 대하여, 기판에 대한 측정 타겟과 특정 피처 사이에 패터닝 프로세스의 파라미터의 모델링되거나 시뮬레이션된 관계를 획득하는 단계; 및 계측 타겟으로부터 파라미터의 측정된 값과 관계에 기초하여, 각각의 피처에 대해 기판의 적어도 일부에 걸쳐 파라미터의 분포를 생성하는 단계를 포함하되, 분포는 패터닝 프로세스의 설계, 제어 또는 수정에 사용되기 위한 것이다.

Description

컴퓨테이션 계측법
관련 출원에 대한 상호 참조
본 출원은 2017년 8월 7일에 출원된 EP 출원 제17185116.5호 및 2018년 3월 13일에 출원된 EP 출원 제18161406.6호에 대해 우선권을 주장하며, 이러한 문헌의 내용은 원용에 의해 전체적으로 본 명세서에 포함된다.
기술분야
본 발명은 디바이스 제조 프로세스의 성능을 향상시키는 기술에 관한 것이다. 이러한 기술은 리소그래피 장치 또는 계측 장치와 관련하여 사용될 수 있다.
리소그래피 장치는 기판의 타겟부 상에 원하는 패턴을 적용하는 기기이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 그러한 상황에서, 마스크 또는 레티클이라고도 지칭되는 패터닝 디바이스가 IC의 개별 층에 대응하는 회로 패턴을 생성하는 데에 사용될 수 있고, 이러한 패턴은 방사선 감응 재료(레지스트)의 층을 갖는 기판(예컨대, 실리콘 웨이퍼) 상의 타겟부(다이 중 일부, 하나 또는 여러 개의 다이를 포함) 상에 이미징될 수 있다. 일반적으로, 단일 기판은 연속적으로 노광되는 인접한 타겟부들의 네트워크를 포함할 것이다. 종래의 리소그래피 장치는, 전체 패턴을 한번에 타겟부 상에 노광함으로써 각각의 타겟부가 조사되는 소위 스테퍼와, 주어진 방향("스캐닝"-방향)으로 방사선 빔을 통해 패턴을 스캐닝함과 동시에 이러한 방향에 평행 또는 반평행으로 기판을 스캐닝함으로써 각각의 타겟부가 조사되는 소위 스캐너를 포함한다.
회로 패턴을 패터닝 디바이스로부터 기판으로 전사하기 전에, 기판은 프라이밍, 레지스트 코팅 및 소프트 베이크 등의 다양한 절차를 거칠 수 있다. 노광 후, 기판은 노광 후 베이크(PEB), 현상, 하드 베이크 및 전사된 회로 패턴의 측정/검사와 같은 다른 절차를 거칠 수 있다. 이러한 절차 어레이는 디바이스, 예를 들어 IC의 개별 층을 만들기 위한 기초로 사용된다. 그 후, 기판은 에칭, 이온 주입(도핑), 금속공정, 산화, 화학-기계적 연마 등의 다양한 프로세스를 거치며, 이는 모두 디바이스의 개별 층을 마무리하기 위한 것이다. 디바이스에 여러 층이 필요한 경우, 전체적인 절차 또는 그의 변형이 각 층에 대해 반복된다. 결국, 디바이스가 기판 상의 각각의 타겟부에 존재할 것이다. 이들 디바이스는 다이싱 또는 쏘잉 등의 기술에 의해 서로 분리되며, 개별 디바이스가 캐리어에 장착되거나 핀에 연결되거나 할 수 있다.
따라서, 반도체 디바이스와 같은 디바이스를 제조하는 것은 전형적으로 디바이스의 다양한 피처 및 다수의 층을 형성하기 위해 다수의 제조 프로세스를 사용하여 기판(예를 들어, 반도체 웨이퍼)을 프로세싱하는 것을 수반한다. 이러한 층 및 피처는 전형적으로 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마 및 이온 주입을 사용하여 제조되고 프로세싱된다. 다수의 디바이스가 기판 상의 복수의 다이 상에 제조된 후 개별 디바이스로 분리될 수 있다. 이러한 디바이스 제조 프로세스는 패터닝 프로세스로 여겨질 수 있다. 패터닝 프로세스는 패터닝 디바이스 상의 패턴을 기판으로 전사하기 위해 리소그래피 장치에서 패터닝 디바이스를 사용하는 광학 및/또는 나노 임프린트 리소그래피 등의 패터닝 단계를 수반하지만, 통상 선택적으로 하나 이상의 관련 패턴 프로세싱 단계, 예를 들면 현상 장치에 의한 레지스트 현상, 베이크 툴을 사용한 기판의 베이킹, 에칭 장치를 사용한 패턴을 이용한 에칭 등의 프로세스 등을 수반할 수 있다.
본 명세서에서 추가로 설명되는 바와 같이, 일 실시예에서, 본원의 컴퓨테이션 계측 기술은 패터닝 프로세스의 장치(예를 들어, 리소그래피 장치)로부터의 데이터와 계측 장치로부터의 데이터의 조합을 이용하여, 기판에 대한 패터닝 프로세스의 특정한 관심 파라미터(예를 들어, 오버레이, 엣지 배치 오차 등)의 도출된 기여분 또는 지문을 생성할 수 있다. 따라서, 이러한 컴퓨테이션 계측 응용에 있어서, 계측 및 패터닝 프로세스 장치(예를 들어, 리소그래피 장치) 입력에 기초하여 특정 관심 파라미터의 하이브리드 밀도 지문이 생성된다.
이러한 하이브리드 밀도 지문의 경우, 계측 타겟을 측정하는 계측 장치를 이용한 관심 파라미터의 비교적 밀도 높은 측정(예를 들어, ADI 및/또는 AEI)이 이용되어, 지문을 생성하기 위해 타겟으로부터의 파라미터 측정과 디바이스 패턴 응답 간의 양호한 매칭이 가능해질 수 있다. 따라서, 예를 들어 계측 타겟을 측정하는 계측 장치에 의한 관심 파라미터의 밀도 낮은 측정을 이용할 수 있는 것이 바람직할 수 있다. 밀도가 낮은 측정을 사용하면 계측 타겟에 대해 적은 측정이 요구될 수 있으므로 처리량이 증가할 수 있다.
추가적으로 또는 대안적으로, 위에서 설명한 결과적인 지문은 모든 디바이스 패턴 피처에 대해 포괄적인 것일 수 있고, 예를 들어 크리티컬 디바이스 패턴 피처(핫 스팟이라고도 함)을 참조로 하지 않을 수도 있다. 따라서, 디바이스 패턴의 복수의 피처 중 각각의 특정 피처에 대하여, 디바이스 패턴의 특정 피처에 특정적인(예를 들어, 하나 이상의 크리티컬 디바이스 패턴 피처에 특정적인) 지문을 생성할 수 있는 것이 바람직할 것이다.
일 실시예로서 방법이 제공되는데, 이러한 방법은: 패터닝 프로세스를 사용하여 생성되는 기판의 디바이스 패턴의 복수의 피처 중 각각의 특정 피처에 대하여, 상기 기판에 대한 측정 타겟과 상기 특정 피처 사이에 상기 패터닝 프로세스의 파라미터의 모델링되거나 시뮬레이션된 관계를 획득하는 단계; 및 계측 타겟으로부터 상기 파라미터의 측정된 값과 상기 관계에 기초하여, 각각의 피처에 대해 상기 기판의 적어도 일부에 걸쳐 상기 파라미터의 분포를 생성하는 단계를 포함하되, 상기 분포는 상기 패터닝 프로세스의 설계, 제어 또는 수정에 사용되기 위한 것이다.
일 실시예로서 방법이 제공되는데, 이러한 방법은: 기판의 적어도 일부에 걸쳐, 상기 기판의 디바이스 패턴의 복수의 피처 중 각각의 특정 피처의 패터닝 프로세스의 파라미터의 분포를 획득하는 단계 - 상기 패터닝 프로세스는 상기 디바이스 패턴을 생성하는 데 사용됨 -; 및 상기 분포에 기초하여 상기 패터닝 프로세스를 설계, 제어 또는 수정하는 단계를 포함한다.
일 실시예로서, 명령이 기록된 비-일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 프로덕트가 제공되며, 명령은 컴퓨터에 의해 실행될 때, 본 명세서의 임의의 방법을 구현한다.
도 1은 일 실시예에 따른 리소그래피 장치를 개략적으로 도시한다.
도 2는 리소그래피 셀 또는 클러스터의 일 실시예를 나타낸다.
도 3은 예시적인 검사 장치 및 계측 기술을 개략적으로 나타낸다.
도 4는 예시적인 검사 장치를 개략적으로 나타낸다.
도 5는 검사 장치의 조명 스팟과 계측 타겟 간의 관계를 나타낸다.
도 6은 측정 데이터에 기초하여 복수의 관심 변수를 도출하는 프로세스를 개략적으로 나타낸다.
도 7은 프로세싱 변수의 예시적인 카테고리를 나타낸다.
도 8은 프로세싱 변수의 변화가 계측 데이터에 기여할 수 있음을 개략적으로 보여준다.
도 9는 프로세싱 변수에 대한 조합된 기여분을 도출하는 예를 개략적으로 나타낸다.
도 10은 계측 데이터로부터의 프로세싱 변수에 대한 기여분을 도출하는 예를 개략적으로 나타낸다.
도 11은 일 실시예에 따른 방법의 흐름을 개략적으로 나타낸다.
도 12은 일 실시예에 따른 방법의 흐름을 개략적으로 나타낸다.
도 13은 일 실시예에 따른 방법의 흐름을 개략적으로 나타낸다.
도 14은 일 실시예에 따른 방법의 흐름을 개략적으로 나타낸다.
도 15은 일 실시예에 따른 방법의 흐름을 개략적으로 나타낸다.
도 16은 예시적인 컴퓨터 시스템의 블록도이다.
실시예를 상세하게 설명하기 전에, 실시예가 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)의 일 실시예를 개략적으로 나타낸다. 장치는 다음을 포함한다:
- 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝하도록 구성된 조명 시스템(조명기)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 파라미터들에 따라 패터닝 디바이스를 정확히 위치설정하도록 구성된 제1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하고 특정 파라미터들에 따라 기판을 정확히 위치설정하도록 구성된 제2 위치설정기(PW)에 연결되는 기판 테이블(예컨대, 웨이퍼 테이블)(WT)(예컨대, WTa, WTb, 또는 양자 모두); 및
기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함하고 종종 필드라 불림) 상에 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절형 투영 렌즈 시스템)(PS)(투영 시스템은 기준 프레임(RF) 상에 지지됨).
도시된 바와 같이, 상기 장치는 투과형(예를 들어, 투과형 마스크를 채택)이다. 대안적으로, 상기 장치는 반사형(예를 들어, 언급된 바와 같은 유형의 프로그램 가능한 미러 어레이를 채택하거나, 반사형 마스크를 채택)일 수 있다.
조명기(IL)는 방사선 소스(SO)로부터 방사선 빔을 수광한다. 예를 들어, 방사선 소스가 엑시머 레이저인 경우, 방사선 소스 및 리소그래피 장치는 별개의 개체일 수 있다. 그러한 경우에, 방사선 소스는 리소그래피 장치의 일부를 형성하는 것으로 여겨지지 않으며, 방사선 빔은 예를 들어 적절한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로 방사선 소스(SO)로부터 조명기(IL)로 전달된다. 이와 다른 경우, 예를 들어 방사선 소스가 수은 램프인 경우, 소스는 장치에 통합된 부분일 수 있다. 방사선 소스(SO) 및 조명기(IL)는 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템으로 지칭될 수 있다.
조명기(IL)는 빔의 세기 분포를 변경할 수 있다. 조명기는 조명기(IL)의 퓨필 평면 내의 환형 영역 내에서 0이 아닌 세기 분포가 되도록 방사선 빔의 반경방향 치수를 제한하도록 배열될 수 있다. 추가적으로 또는 대안적으로, 조명기(IL)는 퓨필 평면 내의 복수의 동일하게 이격된 섹터에서 0이 아닌 세기 분포가 되도록 퓨필 평면에서의 빔의 분포를 제한하게끔 동작가능할 수 있다. 조명기(IL)의 퓨필 평면에서 방사선 빔의 세기 분포는 조명 모드라 지칭될 수 있다.
따라서 조명기(IL)는 빔의 (각도/공간) 세기 분포를 조정하도록 구성된 조정기(AM)를 포함할 수 있다. 일반적으로, 조명기의 퓨필 평면 내의 세기 분포의 적어도 외측 반경 및/또는 내측 반경방향 치수(일반적으로 각각 외측-σ 및 내측-σ로 지칭됨)가 조정될 수 있다. 조명기(IL)는 빔의 각도 분포를 변경하도록 동작가능할 수 있다. 예를 들어, 조명기는 세기 분포가 0이 아닌 퓨필 평면 내의 섹터들의 수 및 각도 범위를 변경하도록 동작가능할 수 있다. 조명기의 퓨필 평면에서 빔의 세기 분포를 조정함으로써, 다양한 조명 모드가 달성될 수 있다. 예를 들어, 조명기(IL)의 퓨필 평면에서 세기 분포의 반경반향 및 각도 치수를 제한함으로써, 세기 분포는 예를 들어 쌍극자, 4중극자 또는 6중극자 분포와 같은 다중극 분포를 가질 수 있다. 원하는 조명 모드는, 예를 들어 그러한 조명 모드를 제공하는 광학기기를 조명기(IL)에 삽입하거나 공간 광 변조기를 사용함으로써 얻어질 수 있다.
조명기(IL)는 빔의 편광을 변경하도록 동작가능할 수 있고, 조정기(AM)를 사용하여 편광을 조정하도록 동작가능할 수 있다. 조명기(IL)의 퓨필 평면에 걸친 방사선 빔의 편광 분포는 편광 모드라 지칭될 수 있다. 다양한 편광 모드의 사용에 의해, 기판(W) 상에 형성된 이미지에 더 큰 콘트라스트가 달성될 수 있다. 방사선 빔은 편광되지 않을 수도 있다. 대안적으로, 조명기는 방사선 빔을 선형 편광시키도록 배열될 수 있다. 방사선 빔의 편광 방향은 조명기(IL)의 퓨필 평면에 걸쳐 변화할 수 있다. 방사선의 편광 방향은 조명기(IL)의 퓨필 평면 내의 서로 상이한 영역에서 상이할 수 있다. 방사선의 편광 상태는 조명 모드에 따라 선택될 수 있다. 다중극 조명 모드의 경우, 방사선 빔의 각각의 극의 편광은 일반적으로 조명기(IL)의 퓨필 평면에서의 그러한 극의 위치 벡터에 수직일 수 있다. 예를 들어, 쌍극자 조명 모드의 경우, 방사선은 쌍극자의 서로 반대측의 두 섹터를 이등분하는 라인에 실질적으로 직교하는 방향으로 선형 편광될 수 있다. 방사선 빔은 2개의 상이한 직교 방향 중 하나의 방향으로 편광될 수 있으며, 이는 X-편광 및 Y-편광 상태로 지칭될 수 있다. 4중극 조명 모드의 경우, 각각의 극의 섹터에서의 방사선은 해당 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이러한 편광 모드는 XY 편광으로 지칭될 수 있다. 마찬가지로, 6중극 조명 모드의 경우, 각각의 극의 섹터에서의 방사선은 해당 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이러한 편광 모드는 TE 편광으로 지칭될 수 있다.
또한, 조명기(IL)는 집속기(IN) 및 집광기(CO)와 같은 다양한 다른 컴포넌트들을 일반적으로 포함한다. 조명 시스템은 방사선을 지향, 성형 또는 제어하기 위해 굴절형, 반사형, 자기형, 전자기형, 정전형 또는 기타 다른 유형의 광학 컴포넌트 또는 이들의 조합과 같은 다양한 유형의 광학 컴포넌트를 포함할 수 있다.
따라서, 조명기는 단면에 요구되는 균일성 및 세기 분포를 갖는 컨디셔닝된 방사선 빔(B)을 제공한다.
지지 구조체(MT)는 패터닝 디바이스의 배향, 리소그래피 장치의 설계, 및 예를 들어 패터닝 디바이스(MA)가 진공 환경에 유지되는지의 여부와 같은 다른 조건에 의존하는 방식으로 패터닝 디바이스를 지지한다. 지지 구조체는 기계식, 진공식, 정전식 또는 다른 클램핑 기술을 사용하여 패터닝 디바이스를 유지할 수 있다. 지지 구조체는 필요에 따라 고정되거나 이동할 수 있는, 예를 들어 프레임 또는 테이블일 수 있다. 지지 구조체는 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있도록 보장할 수 있다. 본 명세서에서 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 패턴을 부여하는 데 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 일 실시예로서, 패터닝 디바이스는 기판의 타겟부에 패턴을 생성하기 위해 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 임의의 디바이스이다. 방사선 빔에 부여된 패턴은, 예를 들어 패턴이 위상-시프팅 피처 또는 소위 어시스트 피처를 포함하는 경우, 기판의 타겟부에서의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 점에 주목해야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성되는 디바이스의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예는 마스크, 프로그램 가능한 미러 어레이 및 프로그램 가능한 LCD 패널을 포함한다. 마스크는 리소그래피에서 잘 알려져 있으며 바이너리, 교번 위상 시프트 및 감쇠 위상 시프트와 같은 마스크 유형은 물론 다양한 하이브리드 마스크 유형을 포함한다. 프로그램 가능한 미러 어레이의 예는 입사하는 방사선 빔을 다양한 방향으로 반사시키도록 각각 개별적으로 기울어질 수 있는 작은 미러의 매트릭스 배열을 채용한다. 기울어진 미러는 미러 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용된 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 적합하거나 액침액의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한 것으로서, 굴절형, 반사형, 반사굴절형, 자기형, 전자기형 및 정전기형 광학 시스템 또는 이들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포괄하는 것으로 폭넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
투영 시스템(PS)은 불균일할 수도 있는 광학적 전달 함수를 가지고, 이것이 기판(W)에 이미징된 패턴에 영향을 줄 수 있다. 무편광 방사선에 대하여 이러한 효과는 두 개의 스칼라 맵에 의하여 매우 양호하게 기술될 수 있는데, 이러한 맵들은 투영 시스템(PS)으로부터 나가는 방사선의 투과(아포디제이션(apodization)) 및 상대 위상(수차)을 그것의 퓨필 평면에서 위치의 함수로서 기술한다. 투과 맵 및 상대 위상 맵이라고 지칭될 수도 있는 이러한 스칼라 맵은 기저 함수들의 완전한 세트의 선형 조합으로서 표현될 수도 있다. 특히 편리한 세트는 제르니케 다항식(Zernike polynomials)인데, 이것은 단위 원 상에서 정의된 직교 다항식의 세트를 형성한다. 각각의 스칼라 맵을 결정하는 것은 이러한 전개식(expansion)에서 계수를 결정하는 것을 수반할 수도 있다. 제르니케 다항식들이 단위 원 상에서 직교하기 때문에, 제르니케 계수는 측정된 스칼라 맵과 각각의 제르니케 다항식의 순차적인 내적을 계산하고 이것을 해당 제르니케 다항식의 놈(norm)의 제곱으로 나눔으로써 결정될 수도 있다.
투과 맵 및 상대 위상 맵은 필드와 시스템에 의존적이다. 즉, 일반적으로, 각각의 투영 시스템(PS)은 각각의 필드 포인트에 대해(즉 이것의 이미지 평면에서의 각각의 공간적 위치에 대해) 상이한 제르니케 전개식을 가질 것이다. 투영 시스템(PS)의 자신의 퓨필 평면에서의 상대 위상은, 예를 들어 투영 시스템(PS)의 대상물 평면(즉 패터닝 디바이스(MA)의 평면)에 있는 점 유사 소스로부터, 투영 시스템(PS)을 통해 방사선을 투영하고, 파면(즉 동일한 위상을 가지는 점들의 궤적)을 측정하기 위하여 시어링 간섭측정계(shearing interferometer)를 사용함으로써 결정될 수도 있다. 시어링 간섭측정계는 공통 경로 간섭측정계이고, 따라서 바람직하게는, 파면을 측정하기 위하여 이차 기준 빔이 요구되지 않는다. 시어링 간섭측정계는 투영 시스템의 이미지 평면(즉, 기판 테이블(WT))에 있는 회절 격자, 예를 들어 2차원의 그리드 및 투영 시스템(PS)의 퓨필 평면에 대해 공액관계(conjugate)인 평면에서 간섭 패턴을 측정하도록 구성되는 검출기를 포함할 수도 있다. 간섭 패턴은 시어링 방향에서의 퓨필 평면에 있는 좌표에 대한 방사선의 위상의 도함수에 관한 것이다. 검출기는, 예를 들어 전하 결합 소자(charged coupled device; CCD)와 같은 감지 요소들의 어레이를 포함할 수도 있다.
리소그래피 장치의 투영 시스템(PS)은 가시적인 무늬를 생성하지 않을 수 있고, 따라서 파면 결정의 정확도는, 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑(phase stepping) 기법을 사용하여 향상될 수 있다. 스테핑은 회절 격자의 평면에서 그리고 측정의 스캐닝 방향에 수직인 방향에서 수행될 수도 있다. 스테핑 범위는 하나의 격자 주기일 수도 있고, 적어도 3개의 (균일하게 분산된) 위상 스텝이 사용될 수도 있다. 따라서, 예를 들어 3개의 스캐닝 측정이 y-방향에서 수행될 수도 있고, 각각의 스캐닝 측정은 x-방향에서의 다른 위치에서 수행된다. 회절 격자의 이러한 스테핑은 위상 변동을 세기 변동으로 효과적으로 변환하고, 위상 정보가 결정되게 한다. 격자는 회절 격자에 수직인 방향(z 방향)으로 스테핑되어 검출기를 교정할 수도 있다.
회절 격자는 2개의 수직하는 방향으로 순차적으로 스캐닝될 수 있으며, 이러한 방향은 투영 시스템(PS)의 좌표계의 축들과 일치하거나 이들 축과 소정 각도(예컨대, 45도)를 이룰 수도 있다. 스캐닝은 정수 개의 격자 주기, 예를 들어 하나의 격자 주기에 걸쳐 수행될 수 있다. 스캐닝은 한 방향으로 위상 변동을 평균화하면서 나머지 방향으로의 위상 변동을 재구성할 수 있게 한다. 이에 의해 파면이 양 방향의 함수로서 결정될 수 있다.
투영 시스템(PS)의 자신의 퓨필 평면에서의 투과(아포디제이션)는, 예를 들어 투영 시스템(PS)의 대상물 평면(즉 패터닝 디바이스(MA)의 평면)에 있는 점 유사 소스로부터, 투영 시스템(PS)을 통해 방사선을 투영하고, 검출기를 사용하여 투영 시스템(PS)의 퓨필 평면에 대해 공액관계인 평면에서 방사선의 세기를 측정함으로써 결정될 수도 있다. 수차를 결정하기 위하여, 파면을 측정하는 데에 사용되는 것과 동일한 검출기가 사용될 수도 있다.
투영 시스템(PS)은 복수 개의 광학 요소(예를 들어, 렌즈)를 포함할 수도 있고, 수차(필드 전체에 걸쳐 퓨필 평면에 걸친 위상 변동)를 정정하기 위해 광학 요소 중 하나 이상을 조절하도록 구성되는 조절 메커니즘(AM)을 더 포함할 수도 있다. 이를 위하여, 조절 메커니즘은 하나 이상의 상이한 방법으로 투영 시스템(PS) 내의 하나 이상의 광학 요소(예를 들어, 렌즈)를 조작하도록 동작가능할 수도 있다. 투영 시스템은 좌표계를 가지는데, 이것의 광축은 z 방향으로 연장된다. 조절 메커니즘은: 하나 이상의 광학 요소를 변위시키는 것; 하나 이상의 광학 요소를 틸트시키는 것; 및/또는 하나 이상의 광학 요소를 변형하는 것의 임의의 조합을 수행하도록 동작가능할 수도 있다. 광학 요소의 변위는 임의의 방향(x, y, z 또는 이들의 조합)에서 이루어질 수도 있다. 비록 회전 대칭이 아닌 비구면 광학 요소에 대해서 z 축 주위의 회전이 사용될 수도 있지만, x 및/또는 y 방향으로 축들 주위에서 회전함으로써 광학 요소의 틸팅은 통상적으로 광축에 수직인 평면을 벗어나게 된다. 광학 요소의 변형은 저 주파수 형상(예를 들어 비점수차(astigmatic)) 및/또는 고 주파수 형상(예를 들어 자유 형상 비구면)을 포함할 수도 있다. 광학 요소의 변형은, 예를 들어 광학 요소의 하나 이상의 면에 힘을 작용시키도록 하나 이상의 액츄에이터를 사용하여 및/또는 광학 요소의 하나 이상의 선택된 영역을 가열하도록 하나 이상의 가열 요소를 사용함으로써 수행될 수도 있다. 일반적으로, 아포디제이션(퓨필 평면에 걸친 투과 변동)을 정정하기 위하여 투영 시스템(PS)을 조절하는 것이 가능하지 않을 수도 있다. 투영 시스템(PS)의 투과 맵은 리소그래피 장치(LA)에 대하여 패터닝 디바이스(예를 들어, 마스크)(MA)를 설계할 때에 사용될 수도 있다. 컴퓨테이션 리소그래피 기법을 사용함으로써, 패터닝 디바이스(MA)는 아포디제이션을 적어도 부분적으로 정정하도록 설계될 수도 있다.
리소그래피 장치는 2개(듀얼 스테이지) 또는 그 이상의 테이블(예를 들면, 둘 이상의 기판 테이블(WTa, WTb), 둘 이상의 패터닝 디바이스 테이블)을 갖는 타입일 수 있다(예컨대, 측정 및/또는 세정 등을 용이하게 하기 위해 전용화된 기판 없이 투영 시스템 아래에 기판 테이블(WTa 및 WTb)이 있음). 이러한 "다중 스테이지" 기기에서는 추가의 테이블을 병렬적으로 사용할 수 있으며, 또는 하나 이상의 다른 테이블을 노광용으로 사용하면서 하나 이상의 테이블 상에서 준비 단계를 수행할 수 있다. 예를 들어, 정렬 센서(AS)를 이용한 정렬 측정 및/또는 레벨 센서(LS)를 이용한 레벨(높이, 틸트 등) 측정이 이루어질 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우도록, 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 기판의 적어도 일부가 덮일 수 있는 유형일 수도 있다. 또한, 액침액은 리소그래피 장치의 다른 공간, 예를 들어, 패터닝 디바이스와 투영 시스템 사이에 적용될 수 있다. 액침 기술은 투영 시스템의 개구수를 증가시키기 위해 당해 기술 분야에서 잘 알려져 있다. 본 명세서에서 사용되는 "액침"이라는 용어는 기판과 같은 구조체가 액체에 잠겨야 함을 의미하는 것이 아니라 오히려 액체가 노광 중에 투영 시스템과 기판 사이에 위치한다는 것을 의미한다.
따라서, 리소그래피 장치의 작동 시에, 방사선 빔은 조명 시스템(IL)에 의해 컨디셔닝되어 제공된다. 방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블(MT)) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크(MA)) 상에 입사되고, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(MA)를 거친 후에, 방사선 빔(B)은 투영 시스템(PS)을 통과하게 되며, 투영 시스템(PS)은 기판(W)의 타겟부(C) 상으로 빔을 포커싱한다. 제2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로에 다양한 타겟부(C)를 위치설정하도록 정확하게 이동될 수 있다. 유사하게, 제1 위치설정기(PM) 및 또 다른 위치 센서(도 1에는 명확히 도시되지는 않음)가, 예를 들어 마스크 라이브러리로부터의 기계적 회수 후에, 또는 스캔 중에, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치설정하는 데 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은 제1 위치설정기(PM)의 일부를 형성하는 롱-스트로크 모듈(개략적 위치설정) 및 숏-스트로크 모듈(미세 위치설정)의 도움으로 실현될 수 있다. 유사하게, 기판 테이블(WT)의 이동은 제2 위치설정기(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 사용하여 실현될 수 있다. (스캐너와는 대조적으로) 스테퍼의 경우, 지지 구조체(MT)는 숏-스트로크 액츄에이터에만 연결되거나 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 사용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크는 전용화된 타겟부를 점유하지만, 이들은 타겟부 사이의 공간에 위치할 수도 있다(이들은 스크라이브-레인 정렬 마크로 알려져 있다). 유사하게, 둘 이상의 다이가 패터닝 디바이스(MA) 상에 제공되는 상황에서, 패터닝 디바이스 정렬 마크는 다이 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나로 사용될 수 있다:
1. 스텝 모드에서는, 방사선 빔에 부여된 전체 패턴이 한번에 타겟부(C) 상에 투영되는 동안, 지지 구조체(MT) 및 기판 테이블(WT)은 실질적으로 정지 상태로 유지된다(즉, 단일 정적 노광). 그 다음, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광으로 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서는, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안, 지지 구조체(MT) 및 기판 테이블(WT)이 동기적으로 스캐닝된다(즉, 단일 동적 노광). 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 배율(축소율) 및 이미지 반전 특성에 의해 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광에서 타겟부의 (비-스캐닝 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 프로그램 가능한 패터닝 디바이스를 유지하면서 실질적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동 또는 스캐닝된다. 이러한 모드에서는, 일반적으로 펄스형 방사선 소스가 채용되고, 프로그램 가능 패터닝 디바이스는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 중에 연속적인 방사선 펄스 사이에서 필요에 따라 업데이트된다. 이러한 동작 모드는 상술한 바와 같은 유형의 프로그램 가능한 미러 어레이 등의 프로그램 가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
상술된 사용 모드들의 조합 및/또는 변형 또는 완전히 다른 사용 모드들이 또한 채용될 수 있다.
본 명세서에서는, 집적회로(IC)의 제조에 있어서 리소그래피 장치의 사용에 대해 특정하게 언급하였지만, 본원에서 설명된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리(magnetic domain memory)용 유도 및 검출 패턴, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같은 기타 응용예들을 가질 수 있음을 이해하여야 한다. 당업자라면, 이러한 다른 응용예와 관련하여, 본 명세서에서 사용된 "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "기판" 또는 "타겟부"와 같은 좀더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은, 노광 전후에, 예컨대 트랙(전형적으로 기판에 레지스트층을 도포하고 노광된 레지스트를 현상하는 툴), 계측 또는 검사 툴에서 프로세싱될 수 있다. 적용가능한 경우, 이러한 기판 프로세싱 툴과 여타 기판 프로세싱 툴에 본 명세서의 개시 내용이 적용될 수 있다. 또한, 예컨대 다층 IC를 생성하기 위하여 기판이 복수 회 프로세싱될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 프로세싱된 층들을 포함한 기판을 지칭할 수도 있다.
본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV)선(예컨대, 365, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV)선(예컨대, 5-20 nm 범위의 파장을 가짐)을 포함하는 모든 유형의 전자기 방사선 뿐만 아니라 이온 빔 또는 전자 빔 등의 입자 빔을 포괄한다.
패터닝 디바이스 상의 또는 패터닝 디바이스에 의해 제공되는 다양한 패턴은 상이한 프로세스 윈도우, 즉 패턴이 사양 내에서 생성될 프로세싱 변수의 공간을 가질 수 있다. 잠재된 체계적 결함과 관련된 패턴 사양의 예로는, 넥킹(necking), 라인 풀백(pull back), 라인 박형화(thinning), CD, 엣지 배치, 중첩, 레지스트 상단 손실, 레지스트 언더컷 및/또는 브리징에 대한 검사 등이 포함된다. 패터닝 디바이스 또는 패터닝 디바이스의 소정 영역 상의 모든 패턴의 프로세스 윈도우는 각각의 개별 패턴의 프로세스 윈도우를 병합(예를 들어, 중첩)시킴으로써 획득될 수 있다. 모든 패턴의 프로세스 윈도우의 경계는 개개의 패턴 중 일부의 프로세스 윈도우의 경계를 포함한다. 즉, 이러한 개별 패턴은 모든 패턴의 프로세스 윈도우를 제한하게 된다. 이들 패턴은 "핫 스팟" 또는 "프로세스 윈도우 제한 패턴(PWLP)"으로 지칭될 수 있으며, 이러한 용어는 본 명세서에서 상호 교환적으로 사용된다. 패터닝 프로세스의 일부를 제어할 때 핫 스팟에 집중하는 것이 가능하고 경제적이다. 핫 스팟에 결함이 없으면 모든 패턴에 결함이 없을 가능성이 높다.
도 2에 도시된 바와 같이, 리소그래피 장치(LA)는 리소셀(lithocell) 또는 클러스터로도 지칭되는 리소그래픽 셀(LC)의 일부를 형성할 수 있으며, 이는 또한 기판에 대해 노광-전 프로세스 및 노광-후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 레지스트층을 증착하는 하나 이상의 스핀 코터(spin coater)(SC), 노광된 레지스트를 현상하는 하나 이상의 현상기(DE), 하나 이상의 냉각 플레이트(chill plate, CH), 및 하나 이상의 베이크 플레이트(bake plate, BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 하나 이상의 기판을 픽업하여, 이를 상이한 프로세스 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay, LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 프로세싱 효율을 최대화하기 위해 다양한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일관적으로 노광되도록 하기 위해 및/또는 적어도 하나의 패턴 전사 단계(예컨대, 광학 리소그래피 단계)를 포함하는 패터닝 프로세스의 일부(예컨대, 디바이스 제조 프로세스)를 모니터링하기 위해서는, 기판 및 기타 대상물을 검사하여 정렬, 오버레이(예를 들어 서로 중첩되너 있는 층들에 있는 구조체들 사이 또는, 예를 들어 이중 패터닝 프로세스에 의하여 해당 층에 별개로 제공된 동일한 층 내의 구조체들 사이의 오버레이일 수 있음), 선폭, 임계 치수(CD), 포커스 오프셋, 재료 특성 등과 같은 하나 이상의 특성을 측정 또는 결정하는 것이 바람직하다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 프로세싱된 기판(W) 또는 리소셀 내의 다른 대상물의 일부 또는 전부를 측정하는 계측 시스템(MET)을 통상적으로 더 포함한다. 계측 시스템(MET)은 리소셀(LC)의 일부일 수도 있고, 예를 들어 리소그래피 장치(LA)의 일부일 수도 있다(예컨대, 정렬 센서(AS)).
하나 이상의 측정된 파라미터는, 예를 들어 패터닝된 기판 내에 또는 패터닝된 기판 상에 형성된 연속 층들 사이의 오버레이, 예를 들어 패터닝된 기판 내에 또는 패터닝된 기판 상에 형성된 피처의 임계 치수(CD)(예를 들어, 임계 선폭), 광학 리소그래피 단계의 포커스 또는 포커스 오차, 광학 리소그래피 단계의 선량 또는 선량 오차, 광학 리소그래피 단계의 광학 수차 등을 포함할 수 있다. 이러한 측정은 프로덕트 기판 자체의 타겟 및/또는 기판 상에 제공된 전용화된 계측 타겟 상에서 수행된다. 측정은 레지스트의 현상 후, 에칭 전에 수행될 수 있거나 에칭 후에 수행될 수 있다.
주사 전자 현미경(SEM), 이미지 기반 측정 툴 및/또는 다양한 전문화된 툴의 이용을 포함하여, 패터닝 프로세스에서 형성된 구조체를 측정하기 위한 다양한 기술들이 있다. 논의된 바와 같이, 전문화된 계측 툴의 신속하고 국소적인 형태로는 방사선 빔이 기판의 표면에 있는 타겟으로 지향되고 산란된(회절/반사된) 빔의 특성이 측정되는 툴이 있다. 기판에 의해 산란되는 빔의 하나 이상의 특성을 평가함으로써, 기판의 하나 이상의 특성이 결정될 수 있다. 이는 회절 기반 계측이라고 할 수 있다. 이러한 회절 기반 계측의 응용예 중 하나는 타겟 내에서의 피처 비대칭의 측정이다. 예를 들어 이것은 오버레이의 척도로 사용될 수 있지만 다른 응용예도 알려져 있다. 예를 들어, 비대칭은 회절 스펙트럼의 상호 반대측 부분들을 비교함으로써 측정될 수 있다(예를 들어, 주기적 격자의 회절 스펙트럼에서 -1 차 및 +1 차를 비교). 이는 전술한 바와 같이, 그리고 예를 들어 미국 특허 출원 공보 US 2006-066855에 기술된 바와 같이 수행될 수 있으며, 이러한 문헌의 내용은 원용에 의해 본 명세서에 포함된다. 회절 기반 계측의 다른 응용예는 타겟 내에서의 피처 폭(CD)의 측정이다. 이러한 기술은 이하 설명하는 장치 및 방법을 사용할 수 있다.
따라서, 디바이스 제조 프로세스(예를 들어, 패터닝 프로세스 또는 리소그래피 프로세스)에 있어서, 기판 또는 기타 대상물은 이러한 프로세스 동안 또는 프로세스 이후에 다양한 유형의 측정을 거칠 수 있다. 이러한 측정은, 특정 기판에 결함이 있는지 여부를 결정할 수 있거나, 프로세스 및 프로세스에 사용된 장치에 대한 조정을 확립할 수 있거나(예를 들어, 기판 상에서 2개의 층을 정렬하거나 또는 패터닝 디바이스를 기판에 정렬), 프로세스 및 장치의 성능을 측정할 수 있거나, 또는 다른 목적을 위한 것일 수 있다. 측정의 예에는 광학 이미징(예컨대, 광학 현미경), 비-이미징 광학 측정(예컨대, ASML YieldStar 계측 툴, ASML SMASH 계측 시스템과 같은 회절 기반 측정), 기계적 측정(예컨대, 스타일러스, 원자 힘 현미경(AFM)을 이용한 프로파일링), 및/또는 비-광학 이미징(예를 들어, 주사 전자 현미경(SEM)) 등이 포함된다. 원용에 의해 전체로서 본 명세서에 포함되는 미국 특허 제6,961,116호에 기술된 바와 같은 SMASH(SMart Alignment Sensor Hybrid) 시스템은 자기 참조 간섭계를 채용하는데, 이는 정렬 마커의 상대적으로 회전된 2개의 중첩 이미지를 생성하고 이미지의 푸리에 변환이 간섭하게 되는 퓨필 평면에서의 세기를 검출하며, 간섭된 차수에서 세기 변동으로 나타나는 두 이미지의 회절 차수 간의 위상차로부터 위치 정보를 추출한다.
계측 결과는 감독 제어 시스템(SCS)에 직접적으로 또는 간접적으로 제공될 수 있다. 오차가 검출되는 경우, 후속 기판의 노광에 대해 (특히 배치의 하나 이상의 다른 기판이 여전히 노광될 수 있도록 검사가 충분히 일찍 그리고 빠르게 행해질 수 있는 경우) 및/또는 노광된 기판의 후속 노광에 대해 조정이 이루어질 수 있다. 또한, 이미 노광된 기판이 스트리핑되고 (수율을 개선하기 위해) 재작업 또는 폐기될 수 있고, 이로써 결함 있는 것으로 알려진 기판 상에서 추가 프로세싱을 수행하는 것을 피하게 된다. 기판의 단지 특정 타겟 부분만이 결함 있는 경우, 양호한 타겟 부분에 대해서만 추가적인 노광이 행해질 수도 있다.
계측 시스템(MET) 내에서 계측 장치는 기판의 하나 이상의 특성을 결정하는 데 사용되며, 특히 동일한 기판의 상이한 층들의 하나 이상의 특성이 층마다 어떻게 달라지는지 또는 상이한 기판들의 하나 이상의 특성이 어떻게 달라지는지를 결정하는 데 사용된다. 위에서 논의한 바와 같이 이러한 계측 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있거나 독립형 디바이스일 수 있다.
계측을 가능하게 하기 위해서, 하나 이상의 타겟이 기판 상에 제공될 수 있다. 일 실시예에서, 타겟은 특별하게 설계되고, 주기적 구조체를 포함할 수 있다. 일 실시예에서, 타겟은 디바이스 패턴의 부분, 예를 들어 디바이스 패턴의 주기적 구조체이다. 일 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조체(예를 들어, 바이폴라 트랜지스터(BPT), 비트 라인 콘택(BLC) 등의 구조체)이다.
일 실시예에서, 기판 상의 타겟은 하나 이상의 1-D 주기적 구조체(예를 들어 격자)를 포함할 수 있는데, 이들은 현상 후에 주기적인 구조적 피처가 솔리드 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 일 실시예에서, 타겟은 하나 이상의 2-D 구조체(예를 들어 격자)를 포함할 수 있는데, 이들은 현상 후에 하나 이상의 주기적 구조체가 솔리드 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 대안적으로 바, 필러 또는 비아들은 기판 내로 에칭될 수 있다(예를 들어, 기판 상의 하나 이상의 층으로).
일 실시예에서, 패터닝 프로세스의 관심 파라미터 중 하나는 오버레이이다. 오버레이는 0차 회절 차수(정반사에 대응)가 차단되고 더 높은 차수만이 프로세싱되는 암시야 계측법을 이용하여 측정될 수 있다. 암시야 계측법의 예는 국제 특허 공개 WO 2009/078708 및 WO 2009/106279에서 찾을 수 있으며, 이러한 문헌의 내용은 원용에 의해 전체로서 본원에 포함된다. 이러한 기법의 추가적인 발전사항은 미국 특허 공개 US 2011-0027704, US 2011-0043791 및 US 2012-0242970에 기술되어 있으며, 이러한 문헌의 내용은 원용에 의해 전체로서 본원에 포함된다. 회절 차수의 암시야 검출을 이용하는 회절 기반 오버레이는 보다 작은 타겟에 대한 오버레이 측정을 가능하게 한다. 이러한 타겟은 조명 스팟보다 작을 수 있고 기판 상의 디바이스 프로덕트 구조체에 의해 둘러싸일 수 있다. 일 실시예로서, 하나의 방사선 캡처로 다수의 타겟이 측정될 수 있다.
도 3은 예시적인 검사 장치(예를 들어, 스캐터로미터)를 도시하고 있다. 이는 기판(W) 상에 방사선을 투영하는 광대역(백색광) 방사선 투영기(2)를 포함한다. 재지향된 방사선은, 예를 들어 좌측 하단의 그래프에 도시된 바와 같이, 정반사된 방사선의 스펙트럼(10)(파장의 함수로서 세기)을 측정하는 분광계 검출기(4)로 전달된다. 이 데이터로부터, 검출된 스펙트럼을 발생시키는 구조체 또는 프로파일이 프로세서(PU)에 의해 재구성될 수 있으며, 이는 예를 들어, 정밀 결합파 분석 및 비선형 회귀 분석에 의해 또는 도 3의 우측 하단에 표시된 바와 같은 시뮬레이션된 스펙트럼 라이브러리와의 비교를 통해 이루어진다. 일반적으로, 이러한 재구성을 위한 구조체의 일반적인 형태가 알려져 있으며, 일부 변수는 구조체가 만들어지는 프로세스에 대한 정보로부터 추정되고, 측정된 데이터로부터 결정되어야 하는 구조체의 몇 가지 변수만이 남게 된다. 이러한 검사 장치는 수직 입사 검사 장치 또는 경사 입사 검사 장치로 구성될 수 있다.
이용될 수 있는 또 다른 검사 장치가 도 4에 도시되어 있다. 이 장치에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(12)을 이용하여 시준되고 간섭 필터(13) 및 편광기(17)를 통해 투과되어, 부분 반사면(16)에 의해 반사되고, 바람직하게는 0.9 이상 또는 0.95 이상의 높은 개구수(NA)를 갖는 대물 렌즈(15)를 통해 기판(W) 상의 스팟(S)에 포커싱된다. 액침 검사 장치는 (물과 같은 비교적 높은 굴절률을 갖는 유체를 이용하여) 심지어 1 이상의 개구수를 가질 수 있다.
리소그래피 장치(LA)에서와 같이, 측정 동작 중에 기판(W)을 유지하도록 하나 이상의 기판 테이블이 제공될 수 있다. 기판 테이블은 도 1의 기판 테이블(WT)의 형태와 유사하거나 동일할 수 있다. 검사 장치가 리소그래피 장치와 통합된 형태의 예에서, 이들은 동일한 기판 테이블일 수도 있다. 측정 광학 시스템에 대해 기판을 정확하게 위치시키도록 구성된 제2 위치설정기(PW)에는 개략적 위치설정기 및 미세 위치설정기가 제공될 수 있다. 다양한 센서 및 액츄에이터가, 예를 들어 관심 타겟의 위치를 획득하고, 이를 대물 렌즈(15) 아래의 위치에 배치하기 위해 제공된다. 통상적으로 기판(W)에 걸친 다양한 위치에서 타겟에 대해 많은 측정들이 이루어진다. 기판 지지체는 다양한 타겟을 획득하기 위해 X 및 Y 방향으로 이동할 수 있고, 광학 시스템의 포커스에 대한 타겟의 원하는 위치를 얻기 위해 Z 방향으로 이동할 수 있다. 예를 들어, 실제 광학 시스템이 실질적으로 정지 상태로 유지되고 (전형적으로는 X 및 Y 방향에 대한 것이지만, 아마도 Z방향에 대해서도), 오직 기판만 이동하는 경우 마치 대물렌즈가 기판에 대해 다른 위치로 이동되는 것처럼 동작을 생각하고 설명하는 것이 편리하다. 만약 기판과 광학 시스템의 상대적인 위치가 정확하다면, 실제로 이들 중 어느 것이 움직이는지는 원칙적으로 중요하지 않으며, 또는 둘 다 움직이거나, 광학 시스템의 나머지는 정지된 채 광학 시스템 중 일부만이 (예를 들어, Z방향 및/또는 비스듬한 방향으로) 이동하고 기판이 (예를 들어, X 및 Y방향으로, 그러나 선택적으로는 Z방향 및/또는 비스듬한 방향으로도) 이동하는 조합이든 무관하다.
기판(W)에 의해 재지향된 방사선은 스펙트럼이 검출되도록 부분 반사면(16)을 지나 검출기(18)에 이른다. 검출기(18)는 역-투영된 포커스 평면(11)에 (즉, 렌즈 시스템(15)의 포커스 길이에) 위치될 수 있거나, 이 평면(11)은 보조 광학기(도시되지 않음)로 검출기(18) 상에 재-이미징될 수 있다. 검출기는 2차원 검출기일 수 있으며, 기판 타겟(30)의 2차원 각도 산란 스펙트럼을 측정할 수 있다. 검출기(18)는, 예를 들어 CCD 또는 CMOS 센서의 어레이일 수 있으며, 예를 들어 프레임 당 40 밀리초의 노출 시간을 이용할 수 있다.
기준 빔이 예를 들어, 입사 방사선의 세기를 측정하기 위해 이용될 수 있다. 이를 위해, 방사선 빔이 부분 반사면(16)에 입사될 때, 방사선 빔의 일부는 부분 반사면(16)을 투과하여 기준 빔으로서 기준 미러(14)를 향하게 된다. 그 다음, 기준 빔은 동일한 검출기(18)의 다른 부분으로 투영되거나 대안적으로 상이한 검출기(도시되지 않음)로 투영된다.
하나 이상의 간섭 필터(13)가, 일례로, 405nm 내지 790nm의 범위 또는 200nm 내지 300nm와 같은 더 낮은 범위의 관심 파장을 선택하기 위해 이용 가능할 수 있다. 간섭 필터는 다양한 필터들의 세트를 포함하기보다는 조정가능한 것일 수 있다. 간섭 필터 대신 격자를 이용할 수 있다. 구경 조리개 또는 공간 광 변조기(도시하지 않음)가 조명 경로 내에 제공되어 타겟 상의 방사선의 입사각 범위를 제어할 수 있다.
검출기(18)는 단일 파장(또는 좁은 파장 범위)에서 재지향된 방사선의 세기를 측정할 수 있으며, 복수의 파장에서 개별적으로 세기를 측정하거나 또는 파장 범위에 걸쳐 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡단 자계 편광 방사선과 횡단 전계 편광 방사선의 세기 및/또는 횡단 자계 편광 방사선과 횡단 전계 편광 방사선 간의 위상차를 개별적으로 측정할 수 있다.
기판(W) 상의 타겟(30)은 1-D 격자일 수 있으며, 이 격자는 현상 후에 바(bar)가 솔리드 레지스트 라인(line)으로 형성되도록 인쇄된다. 타겟(30)은 2-D 격자일 수 있으며, 이 격자는 현상 후에 격자가 솔리드 레지스트 필러(pillar) 또는 레지스트 내의 비아로 형성되도록 인쇄된다. 바, 필러 또는 비아들은 기판 내로 또는 기판 상에 에칭될 수 있다(예를 들어, 기판 상의 하나 이상의 층으로). (예를 들어, 바, 필러 또는 비아의) 패턴은 패터닝 프로세스의 프로세스 변화(예를 들어, 리소그래피 투영 장치(특히 투영 시스템(PS))에서의 광학 수차, 포커스 변화, 선량 변화 등)에 민감하고, 인쇄된 격자에서의 변동으로 나타날 것이다. 따라서, 인쇄된 격자의 측정된 데이터는 격자를 재구성하는 데 이용된다. 라인 폭 및/또는 형상과 같은 1-D 격자의 하나 이상의 파라미터, 또는 필러 또는 비아 폭 또는 길이 또는 형상과 같은 2-D 격자의 하나 이상의 파라미터가 인쇄 단계 및/또는 다른 검사 프로세스에 대한 정보로부터 프로세서(PU)에 의해 수행되는 재구성 프로세스에 입력될 수 있다.
재구성에 의한 파라미터 측정 이외에도, 각도 분해 산란계측이 프로덕트 및/또는 레지스트 패턴에서의 피처의 비대칭 측정에 이용될 수 있다. 비대칭 측정의 특정한 응용예는 오버레이 측정을 위한 것이며, 여기서 타겟(30)은 주기적 피처의 하나의 세트가 다른 세트 상에 중첩되어 있다. 도 3 또는 도 4에서의 기기를 이용하는 비대칭 측정의 개념은 예를 들어, 원용되어 그 내용이 전체로서 본 명세서에 포함되는 미국특허공보 US2006-066855호에 기재되어 있다. 간단히 말해서, 타겟의 회절 스펙트럼에서 회절 차수의 위치는 오직 타겟의 주기성에 의해서만 결정되지만, 회절 스펙트럼에서의 비대칭은 타겟을 구성하는 개개의 피처에서의 비대칭을 나타낸다. 도 4의 기기에서는, 검출기(18)가 이미지 센서일 수 있으며, 회절 차수에서의 그러한 비대칭은 검출기(18)에 의해 기록된 퓨필 이미지에서 비대칭으로 직접 나타난다. 이러한 비대칭은 디지털 이미지 프로세싱 유닛(PU)에 의해 측정될 수 있고, 오버레이의 알려진 값에 대해 교정될 수 있다.
도 5는 도 4의 장치에서 전형적인 타겟(30)의 평면도 및 조명 스팟(S)의 범위를 나타낸다. 주변 구조체로부터의 간섭이 없는 회절 스펙트럼을 얻기 위해, 일 실시예에서, 타겟(30)은 조명 스팟(S)의 폭(예를 들어, 직경)보다 큰 주기적 구조체(예를 들어, 격자)이다. 스팟(S)의 폭은 타겟의 폭과 길이보다 작을 수 있다. 달리 말하면, 타겟은 조명에 의해 '언더필(underfilled)'되고, 회절 신호는 타겟 자체의 외부에 있는 프로덕트 피처 및 그 밖의 것들로부터의 어떠한 신호로부터도 실질적으로 구속을 받지 않는다. 조명 배열구성(2,12,13,17)은 대물 렌즈(15)의 후방 포커스 평면에 걸쳐 균일한 세기의 조명을 제공하도록 구성될 수 있다. 대안적으로, 예를 들어, 조명 경로에 개구부를 포함함으로써, 조명은 축선 또는 축외 방향으로 제한될 수 있다.
도 6은 계측법을 이용하여 얻어진 측정 데이터에 기초하여 타겟 패턴(30')의 하나 이상의 관심 변수의 값을 결정하는 예시적인 프로세스를 개략적으로 도시하고 있다. 검출기(18)에 의해 검출된 방사선은 타겟(30')에 대해 측정된 방사선 분포(108)를 제공한다.
주어진 타겟(30')에 대해, 방사선 분포(208)는 예를 들어 수치 맥스웰 솔버(numerical Maxwell solver)(210)를 이용하여 파라미터화된 모델(206)로부터 컴퓨팅/시뮬레이션될 수 있다. 파라미터화된 모델(206)은, 타겟을 구성하면서 타겟과 관련된 다양한 재료의 예시적인 층을 보여준다. 파라미터화된 모델(206)은 고려되고 있는 타겟 부분의 피처 및 층에 대한 하나 이상의 변수를 포함할 수 있으며, 이는 변경되거나 도출될 수 있다. 도 6에 도시된 바와 같이, 하나 이상의 변수는 하나 이상의 층의 두께(t), 하나 이상의 피처의 폭(w)(예를 들어, CD), 하나 이상의 피처의 높이(h), 및/또는 하나 이상의 피처의 측벽 각도(α)를 포함할 수 있다. 비록 도시되지는 않았지만, 하나 이상의 변수는 하나 이상의 층의 굴절률(예를 들어, 실수 또는 복소 굴절률, 굴절률 텐서 등), 하나 이상의 층의 소광 계수, 하나 이상의 층의 흡수, 현상 동안의 레지스트 손실, 하나 이상의 피처의 기반구조(footing), 및/또는 하나 이상의 피처의 라인 엣지 러프니스를 더 포함할 수 있지만, 이에 한정되지는 않는다. 변수의 초기값은 측정되는 타겟에 대해 예상되는 값일 수 있다. 그 후, 측정된 방사선 분포(108)는 212에서, 컴퓨팅된 방사선 분포(208)와 비교되어 양자 간의 차이를 결정한다. 차이가 있다면, 파라미터화된 모델(206)의 변수 중 하나 이상의 값이 변경될 수 있고, 새로이 컴퓨팅된 방사선 분포(208)가 계산되고, 측정된 방사선 분포(108)와 비교되는데, 이는 측정된 방사선 분포(108)와 컴퓨팅된 방사선 분포(208) 사이에 충분한 매칭이 존재할 때까지 이루어진다. 그러한 시점에서, 파라미터화된 모델(206)의 변수의 값은 실제 타겟(30')의 기하학적 형상의 양호한, 또는 최상의 매칭을 제공한다. 일 실시예에서, 측정된 방사선 분포(108)와 컴퓨팅된 방사선 분포(208) 사이의 차이가 허용 임계치 내에 있을 때에, 충분한 매칭이 존재한다.
패터닝 프로세스의 변수를 "프로세싱 변수"라고 한다. 패터닝 프로세스는 리소그래피 장치에서 패턴의 실제 전사의 상류 및 하류에 있는 프로세스를 포함할 수 있다. 도 7은 프로세싱 변수(370)의 예시적인 카테고리를 나타낸다. 제1 카테고리는 리소그래피 장치 또는 리소그래피 프로세스에 사용되는 임의의 다른 장치의 변수(310)일 수 있다. 이러한 카테고리의 예에는 리소그래피 장치의 조명, 투영 시스템, 기판 스테이지 등의 변수가 있다. 제2 카테고리는 패터닝 프로세스에서 수행되는 하나 이상의 절차의 변수(320)일 수 있다. 이러한 범주의 예에는 포커스 제어 또는 포커스 측정, 선량 제어 또는 선량 측정, 대역폭, 노광 기간, 현상 온도, 현상에 사용되는 화학적 성분 등이 있다. 제3 카테고리는 패터닝 디바이스에 있어서 설계 레이아웃 및 그 구현 또는 패터닝 디바이스를 사용하는 설계 레이아웃 및 그 구현의 변수(330)일 수 있다. 이러한 카테고리의 예에는 어시스트 피처의 형상 및/또는 위치, 분해능 향상 기술(RET)에 의해 적용된 조정, 마스크 피처의 CD 등이 있다. 제4 카테고리는 기판의 변수(340)일 수 있다. 그 예는 레지스트 층 아래의 구조체의 특성, 레지스트 층의 화학적 조성 및/또는 물리적 치수 등을 포함한다. 제5 카테고리는 패터닝 프로세스의 하나 이상의 변수의 시간적 변동의 특성(350)일 수 있다. 이러한 카테고리의 예에는 고주파 스테이지 이동(예를 들어, 주파수, 진폭 등), 고주파 레이저 대역폭 변화(예를 들어, 주파수, 진폭 등) 및/또는 고주파 레이저 파장 변화의 특성이 있다. 이러한 고주파 변화 또는 이동은 기저 변수(예컨대, 스테이지 위치, 레이저 세기)를 조정하기 위한 메커니즘의 응답 시간을 넘어서는 것이다. 제6 카테고리는 리소그래피 장치에서의 패턴 전사의 상류 또는 하류에 있는 프로세스, 예컨대 스핀 코팅, 노광 후 베이크(PEB), 현상, 에칭, 증착, 도핑 및/또는 패키징 등의 특성(360)일 수 있다.
이해하는 바와 같이, 이들 변수 모두는 아니지만 그중 많은 변수가 패터닝 프로세스의 파라미터 및 종종 관심 파라미터에 영향을 미칠 것이다. 패터닝 프로세스의 파라미터의 비-제한적인 예로는, 임계 치수(CD), 임계 치수 균일성(CDU), 포커스, 오버레이, 엣지 위치 또는 배치, 측벽 각도, 패턴 시프트 등이 있다. 종종, 이들 파라미터는 공칭 값(예를 들어, 설계 값, 평균 값 등)으로부터 오차를 표현한다. 이들 파라미터 값은 개별 패턴의 특성의 값 또는 패턴 그룹의 특성의 통계(예를 들어, 평균, 분산 등)일 수 있다.
프로세싱 변수의 일부 또는 전부 또는 이와 관련된 파라미터의 값은 적절한 방법에 의해 결정될 수 있다. 예를 들어, 이러한 값은 다양한 계측 툴(예를 들어, 기판 계측 툴)로 획득된 데이터로부터 결정될 수 있다. 이러한 값은 패터닝 프로세스에서의 장치의 다양한 센서 또는 시스템, 예를 들어 리소그래피 장치의 센서(예컨대 레벨링 센서 또는 정렬 센서), 리소그래피 장치의 제어 시스템(예컨대, 기판 또는 패터닝 디바이스 테이블 제어 시스템), 트랙 툴의 센서 등으로부터 획득될 수 있다. 이러한 값은 패터닝 프로세스의 오퍼레이터로부터 기인한 것일 수도 있다.
현재 점점 더 계측이 소요 시간의 측면에서 큰 부담이 되고 있다. 이는 예를 들어 반도체 산업에서 다중 패터닝 기술이 출현하면서 특히 부담이 되었다. LELE(Litho-etch-litho-etch) 및/또는 SADP(Sidewall Assisted Double Patterning)와 같은 다중 패터닝 기술을 사용하면 프로세싱 단계 수가 크게 증가하여 제어 및 수율 모니터링에 사용되는 계측 단계의 양 또한 증가하게 된다. 또한, 다수의 패터닝 단계에서 더 많은 층이 사용되고 층당 더 많은 패터닝 단계가 사용됨에 따라 각각의 노드에 대한 계측의 양이 증가한다(즉, 피처 크기 감소).
추가적으로 또는 대안적으로, 결함 결정 및/또는 유도된 결함 검사에 대한 요구가 증가되고 있다. 여기에는 이전보다 훨씬 더 집중적인 포커스, 오버레이 및/또는 CD 계측이 수반된다.
추가적으로 또는 대안적으로, 온-디바이스 CD, 오버레이 및/또는 포커스 성능에 대한 사양이 엄격해지고 있다. 이는 한 노드로부터 다음 노드로 예를 들어 노광 툴 및 프로세싱 툴(예를 들어, 증착, 에칭, 트랙, 화학 기계적 연마(CMP) 등)의 제조 장비 사양을 압박하게 된다. 따라서 이로 인해 성능을 보다 엄격하게 제어 및 모니터링해야 하고, 그 결과 제어 및 모니터링을 위해 점점 더 많은 양의 계측이 요구된다.
추가적으로 또는 대안적으로, 온-디바이스 CD, 포커스, 및/또는 오버레이 성능에 대한 사양의 강화와 함께, 더 높은 차수 정정이 필요할 수 있다. 더 높은 차수의 정정은 본질적으로 기판의 전부 또는 일부에 걸쳐 작은 공간 규모로 선택가능한 정정 동작이다(예를 들어, 높은 공간 분해능으로 정정). 더 높은 차수 정정은 계측을 위해 기판당 더 밀도가 높은 샘플링을 수반하여, 계측 장비 생산성의 실제 한계를 넘어 계측 부담을 증가시킨다.
추가적으로 또는 대안적으로, 기판 간의 변동은 요구되는 CD, 포커스 및/또는 오버레이 성능을 달성하기 위해 추가의 개별 기판 레벨 제어(예를 들어, 로트 레벨 제어에 비해) 및 관련 모니터링을 요구할 수 있다. 이로 인해 로트당 더 많은 기판의 측정이 초래될 수 있고, 따라서 사용되는 측정의 양이 아마도 계측 장비 생산성의 실제 한계를 넘어서 증가할 수 있다.
그러나 증가된 데이터 요구를 충족시키기 위해서는 계측 장비를 추가하거나 계측 장비 생산성을 높이는 것만으로는 충분하지 않을 수 있다. 또한, 기판에 걸쳐 조밀한 CD, 포커스 및/또는 오버레이 프로파일을 적시에 획득하는 것과 같은 모든 문제를 해결하지 못할 수도 있다.
따라서, 계측 효율성이 바람직하다. 이는 예를 들어 기판당 더 높은 밀도의 데이터 및 로트당 더 많은 수의 기판에 대한 데이터를 얻고자 하는 것이다.
따라서, 일 실시예에서, 예를 들어 계측 효율성의 개선에 도움이 되는 방법이 제공된다. 이러한 방법에서는, 기판에 걸친 복수의 위치 각각에 대하여 하나 이상의 패터닝 프로세스 파라미터(예컨대, CD, 포커스, 오버레이, 엣지 배치 등)의 정확한 추정 값을 도출하기 위해 다수의 소스로부터의 계측 데이터가 조합 및 조작된다. 일 실시예에서, 예를 들어 패터닝 프로세스에서 프로세싱된 모든 기판에 대하여, 그리고 예컨대 패터닝 프로세스에서 이러한 기판 상에서 프로세싱된 모든 층에 대하여 조밀한 계측 데이터(예를 들어, 매 제곱 밀리미터에 대해)가 제공된다.
실제로, 일 실시예에서, 이러한 방법의 결과는 기판에 걸친 하나 이상의 패터닝 프로세스 파라미터의 값의 "가상" 또는 에뮬레이트된 분포이며, 이는 다양한 계측 데이터의 이러한 조합을 통해 달성된다. 이러한 방법은 하나 이상의 패터닝 프로세스 파라미터(예를 들어, 포커스, CD, 오버레이 등)에 대한 하이브리드 계측을 위한 효율적인 방법을 제공할 수 있다. 또한, 본 명세서의 실시예는 주로 패터닝 프로세스 파라미터, 즉 포커스, CD 및 오버레이의 특정한 예를 고려할 것이지만, 하나 이상의 다른 또는 추가 패터닝 프로세스 파라미터가 본원의 기술의 주제가 될 수 있다는 점을 이해할 것이다.
위에서 언급한 바와 같이, 이러한 방법은 다양한 소스로부터의 계측 및 기타 다른 데이터의 조합을 수반한다. 일 실시예에서, 상기 방법은 패터닝 프로세스에서 하나 이상의 디바이스로부터의 데이터, 예를 들면 리소그래피 장치의 하나 이상의 센서로부터의 데이터와 관심있는 패터닝 프로세스 파라미터의 측정을 조합하는 것을 수반한다. 이러한 데이터의 조합은, 예를 들어 패터닝 프로세스 파라미터, 예컨대 CD, 오버레이, 포커스, 패턴 시프트, 엣지 배치(예를 들어, 엣지 배치 오차) 등 및/또는 그로부터 도출된 파라미터, 예컨대 수율, 결함(예를 들면, 결함의 위험, 결함 카운트 등) 등의 형태로 온-프로덕트(on-product) 성능을 예측하는 데 사용될 것이다.
따라서, 본 방법의 기본 원리는 관심있는 패터닝 프로세스 파라미터의 변화에 대한 개별적인 근본 원인을 분리하여 추정한 다음 이를 조합하여 온-프로덕트 성능을 계산하는 것이다. 그 목표는, 도 3-6과 관련하여 기술된 바와 같은 툴을 사용하여 관심있는 패터닝 프로세스 파라미터를 결정하기 위해 가능한 많은 양의 가용 정보를 사용하여 오프라인(및/또는 온라인) 계측의 양이 계속 증가하지 않도록 하는 것이다.
따라서, 일 실시예에서, 관심있는 패터닝 프로세스 파라미터(CD 오차, 포커스 오차, 오버레이 등)의 변화에 대한 다양한 기여분을 식별하는 것이 바람직하다. 그러면 이러한 기여분을 다양한 조합으로 사용하여 온-프로덕트 성능의 요구되는 추정을 달성할 수 있다. 일반적으로 이러한 기여분은 오차 및/또는 잔차가 될 것이다. 예를 들어, 디바이스는 정정 수행 시에 오차를 발생시킬 수 있고, 이는 관심있는 패터닝 프로세스 파라미터의 변화로 나타날 수 있다. 다른 예로서, 센서 시스템은 오차를 측정할 수 있으며, 이러한 오차는 패터닝 프로세스 파라미터의 변화이거나 그에 기여한다. 이와 다른 예로서, 디바이스의 설정을 결정하는 데 사용되는 디바이스 또는 수학적 모델은 소정의 요구되는 또는 최상의 물리적 효과(예를 들어, 요구되는 물리적 정정과 디바이스에 의해 달성될 수 있는 물리적 정정 간의 차이, 선량, 포커스 등과 같은 요구되는 물리적 효과와 디바이스에 의해 달성될 수 있는 물리적 효과 간의 차이, 요구되는 물리적 정정 또는 효과와 수학적 모델에 의해 결정될 수 있는 정정 또는 효과 간의 차이 등)를 인식하지 못할 수도 있고, 따라서 패터닝 프로세스 파라미터의 변화이거나 그에 기여하는 잔차를 인식하지 못할 수도 있다. 일 실시예로서, 기여분은 실험적으로 또는 경험적으로 결정될 수 있다.
다이, 필드 또는 기판에 걸쳐 공간적으로 분포된 관심있는 패터닝 프로세스 파라미터에 대한 이들 기여분 각각은 지문으로서 특성화될 수 있다. 이와 유사하게, 다이, 필드 또는 기판에 걸친 조합된 기여분이 지문으로서 특성화될 수 있다.
따라서, 복수의 이러한 기여분은 도출된 지문을 생성하기 위해 조합될 수 있다. 예를 들어, 프로덕트 기판상의 포커스 지문은, 예를 들면 기판의 비평탄도, 기판의 노광 중에 리소그래피 장치의 투영 시스템과 관련된 포커스 오차, 노광 동안 기판 위치 제어 루프에 의해 생긴 높이 오차, 및 리소그래피 장치의 포커스 설정의 잔차 지문에 기인한 포커스 기여분의 복합체일 수 있다. 이에 대한 예는 도 9와 관련하여 아래에 설명되어 있다.
이와 유사하게, 도출되거나 측정된 지문은 (추가로) 도출된 지문을 생성하도록 하나 이상의 지문이 제거될 수 있다. 예를 들어, 기판의 측정된 포커스 지문은, 해당 기판의 비평탄도, 기판의 노광 중에 리소그래피 장치의 투영 시스템과 관련된 포커스 오차, 노광 동안 기판 위치 제어 루프에 의해 생긴 높이 오차, 및 리소그래피 장치의 포커스 설정의 잔차 지문의 포커스 기여분을 제거할 수 있다. 이에 의해, 제거된 지문으로 캡처되지 않은 오차로 인해 잔차 포커스 지문이 생성될 수 있다. 이에 대한 예는 도 10와 관련하여 아래에 설명되어 있다.
따라서 일 실시예에서, 본 방법은 예를 들어, 지문을 개별적인 기여분 지문으로 분류하고 및/또는 지문을 조합함으로써 지문을 도출할 수 있다.
따라서, 도 8을 참조하면, 기여분(지문)의 조합의 실시예가 도시되어 있다. 프로세싱 변수(311)의 변화(예를 들어, 포커스 맥락에서의 높이 오차)는 기판 상의 패턴에 대한 패터닝 프로세스 파라미터(352)(예를 들어, 포커스의 맥락에서의 포커스)에 대한 기여분(312)을 가질 수 있고, 프로세싱 변수(321)의 변화(예를 들어, 포커스 맥락에서의 포커스 셋업의 잔차)는 패터닝 프로세스 파라미터(352)에 대한 기여분(322)을 가질 수 있다. 즉, 패터닝 프로세스 파라미터(352)는 하나 이상의 프로세싱 변수의 변화의 조합된 기여분을 가질 수 있다. 이러한 기여분(312 및 322)은 단순히 가산적(가중 또는 선형 가산을 포함할 수 있음)이거나 다른 함수(예를 들어, (디)컨볼루션 사용, 신경망 사용, RMS 추가, 스케일링 등)에 의해 조합될 수 있다. 패터닝 프로세스 파라미터(352)는 다른 변수의 변화의 기여분을 가질 수 있다. 따라서, 이러한 실현으로(이후에 추가로 논의됨) 다양한 기여분이 결정되거나 패터닝 프로세스 파라미터의 추정치를 도출하는 데 사용될 수 있다. 일 실시예에서, 기여분(312 및/또는 322)은 하나 이상의 적용가능한 프로세싱 변수를 모델링함으로써 결정될 수 있다. 기여분(312 및/또는 322)은 하나 이상의 적용가능한 프로세싱 변수의 함수로서 표현될 수 있다. 이러한 함수는 선형 또는 비선형일 수 있다.
도 9는 기판의 패터닝 프로세스 파라미터에 대한 도출된 기여분(470)을 획득하는 예를 개략적으로 도시하며, 도출된 기여분은 다수의 프로세싱 변수의 기여분(하나 이상이 도출된 기여분일 수 있음)의 조합이다. 이 경우, 도출된 기여분(470)은 (디)포커스이다. 따라서, 도출된 기여분(470)은, 기판의 노광 중에 리소그래피 장치의 투영 시스템과 관련된 포커스 오차(FE)(400), 노광 동안 기판 위치 제어 루프에 의해 생긴 높이 오차(예컨대, 이동 표준 편차(MSDz))(410), 및 해당 기판의 비평탄도(P)(420) 등의 다수의 프로세싱 변수의 기여분을 이용하여 획득될 수 있다. 중요하게도, 이러한 데이터는 리소그래피 장치로부터 획득될 수 있고 패터닝 프로세스의 일부로서의 기판 생성의 부산물일 수 있다. 이러한 식별된 기여분 중 어느 것도, 예를 들어 도 3-6과 관련하여 설명된 바와 같은 툴을 사용하여 기판 상에 패터닝된 피처의 측정을 반드시 요하는 것은 아니다.
따라서 포커스의 경우, 프로세싱 변수(400)의 포커스에 대한 기여분의 예가 기여분(430)으로 도시되어 있고, 프로세싱 변수(410)의 포커스에 대한 기여분의 예는 기여분(440)으로 도시되어 있으며, 프로세싱 변수(420)의 포커스에 대한 기여분의 예는 기여분(440)으로 도시되어 있다. 그 다음에 이들 기여분의 각각은 도출된 기여분(470)을 실현하기 위해 함께 조합(460)된다. 요소(460)(및 도면의 다른 요소)는 + 부호를 보여주지만, 460에서의 연산이 합산일 필요는 없으며, 즉 이는 신경망을 이용한 적산, 컨볼루션 등일 수 있다. 이러한 연산은 하나 이상의 기여분에 대해 다른 하나 이상의 기여분과는 상이할 수 있다(예를 들어, 430 내지 440의 합산 및 그 합과 기여분(450)의 컨볼루션). 예를 들어, 조합된 기여분은
Figure pct00001
로 표현될 수 있다. 시뮬레이션, 수학적 모델링 및/또는 실험을 통해 다양한 함수를 획득할 수 있다. 더욱이, 여기에 나타나지 않은 교차 항이 있을 수도 있다(예를 들어, FE 곱하기 MSD의 함수로서의 포커스 등). 포커스의 절대값을 획득하기 위해 이러한 포커스의 공칭값 또는 시뮬레이션된 값이 기여분과 조합될 수 있다.
Figure pct00002
,
Figure pct00003
,
Figure pct00004
등의 계수는 개개의 프로세싱 변수 또는 그 함수에 대한 포커스의 감도이다. 이러한 예에서, 기여분은 기판 전역에 대한 것이지만, 일 실시예에서, 다이/필드당 하나 이상의 기여분이 있을 수 있다(예를 들어, 각각의 경우에 적용가능한 조건에 따라 기판에 걸쳐 반복될 수 있다). 전술한 바와 같이, 기여분(470)은 기판/다이/필드에 걸쳐 공간적으로 규정될 수 있기 때문에 지문으로서 특성화될 수 있다. 나아가 기여분의 절대값으로의 변환 또한 기판/다이/필드에 걸쳐 공간적으로 규정될 수 있기 때문에 지문으로서 특성화될 수 있다. 이해하는 바와 같이, 패터닝 프로세스를 사용한 다수의 기판의 프로세싱으로부터의 데이터가 각각의 기여분을 도출하는 데에 사용될 수 있다. 이러한 데이터는 기판 프로세싱의 부산물일 수 있으므로 이미 이용 가능할 수 있다.
이제, CD의 맥락에서 도 9와 관련하여 설명된 동일한 기술이 사용될 수 있다. 예를 들어, CD에 대한 도출된 기여분(470)은 포커스(F)(400), 기판의 수직 방향으로의 기판 이동의 이동 표준 편차(MSDz)(410), 및 기판에 평행한 방향으로의 기판 이동의 이동 표준 편차(MSDx)(420) 등의 다수의 프로세싱 변수에 기인하는 기여분들의 조합일 수 있다(도출된 기여분을 포함할 수 있음). 따라서 이러한 경우 포커스(F)(400)의 CD에 대한 기여분의 일례는 기여분(430)일 수 있고, 이동 표준 편차(MSDz)(410)의 CD에 기여분의 일례는 기여분(440)일 수 있으며, 이동 표준 편차(MSDx)(420)의 CD에 기여분의 일례는 기여분(440)일 수 있다. 그 다음에 이들 기여분의 각각은 도출된 기여분(470)을 실현하기 위해 함께 조합(460)된다. 예를 들어, 조합된 기여분은 다음과 같이 표현될 수 있다.
Figure pct00005
나아가, 여기에 나타나지 않은 교차 항이 있을 수 있고, 그 관계가 상이할 수 있다(예를 들면, 포커스 및/또는 MSDz 등의 관계는 완전히 2차가 아닐 수도 있고, 선형 및 3차 항을 가질 수 있다). 일 실시예에서, 기여분(430, 440, 450)은 각각 포커스(F)(400), 이동 표준 편차(MSDz)(410) 및 이동 표준 편차(MSDx)(420) 분포일 수 있으며, 이 경우 CD 모델이 사용되어 이들을 CD 분포로 조합할 수 있다. 더욱이, 여기에 나타나지 않은 교차 항이 있을 수도 있다(예를 들어, F 곱하기 MSD의 함수로서의 CD 등). CD의 절대값을 획득하기 위해 CD의 공칭값 또는 시뮬레이션된 값이 기여분과 조합될 수 있다.
Figure pct00006
,
Figure pct00007
,
Figure pct00008
등의 계수는 프로세싱 변수 또는 그 함수에 대한 CD의 감도이다.
전술한 원리를 적용하여, 도 10은 기판의 관심있는 패터닝 프로세스 파라미터에 대한 기여분을 제거함으로써 관심있는 패터닝 프로세스 파라미터에 대한 패터닝된 기판 계측 데이터로부터 도출된 기여분(510)을 획득하는 예를 개략적으로 나타낸다. 이러한 맥락에서 패터닝된 기판 계측 데이터는 패터닝 프로세스에 의해 적어도 부분적으로 프로세싱되는 기판 상의 피처(예를 들어, 디바이스 패턴 피처, 이러한 디바이스 패턴과 별도인 계측 타겟 등)를 측정함으로써 획득된 관심 파라미터의 값이다. 이러한 계측 데이터는 일반적으로 도 3-6과 관련하여 설명한 계측 또는 검사 툴을 사용하여 얻을 수 있다.
관심 파라미터에 대한 패터닝된 기판 계측 데이터(500)는 적어도 2개의 기여분을 가질 수 있다. 따라서, 패터닝된 기판 계측 데이터 내에서 하나 이상의 다른 기여분을 제거함으로써 패터닝된 기판 계측 데이터 내의 하나 이상의 기여분을 얻을 수 있다. 따라서, 패터닝된 기판 계측 데이터(500)로부터 기여분(520)을 제거(505)함으로써 기여분(510)이 획득될 수 있다. 요소(505)(및 도면의 다른 요소들)는 - 부호를 보여주지만, 505에서의 연산은 감산일 필요는 없다(예를 들어, 신경망을 이용한 적산, (디)컨볼루션 등일 수 있다). 기여분(520)은 기여분(470)과 같은 도출된 기여분일 수 있거나, 기여분(430, 440 및 450) 중 임의의 하나 이상과 같은 다른 데이터로부터 도출된 기여분일 수 있다. 또한 다수의 기여분을 제거할 수도 있다. 예를 들어, 프로세싱 변수(530)와 관련된 선택적인 기여분(540)이 기여분(520)과 함께 패터닝된 기판 계측 데이터(500)로부터 제거될 수 있다. 이해하는 바와 같이, 패터닝 프로세스를 사용한 다수의 기판의 프로세싱으로부터의 데이터가 패터닝된 기판 계측 데이터를 획득하는 데에 사용될 수 있다.
일 실시예에서, 패터닝된 기판 계측 데이터는 디바이스 패턴과는 별도인 패턴, 예를 들면 다이의 비기능 영역의, 또는 다이들 사이의, 또는 테스트 기판 상의 계측 피처로부터 기인한 것이다. 따라서, 예를 들어 하나 이상의 프로세싱 변수의 기여분이 그러한 계측 데이터로부터 제거될 수 있고, 그에 의해 하나 이상의 프로세싱 변수의 이러한 계측 데이터에 대한 나머지 기여분을 얻게 된다. 디바이스 패턴으로부터 계측 데이터를 실제로 획득할 필요 없이, 이러한 나머지 기여분에 기초하여 동일하거나 상이한 기판 상에서 디바이스 패턴의 계측 데이터에 대한 상응하는 기여분이 획득될 수 있다. 예를 들어, 적용가능한 하나 이상의 프로세싱 변수가 디바이스 패턴 및 별개의 패턴에 상응하는 효과를 갖기 때문에 디바이스 패턴의 계측에 대한 기여분은 나머지 기여분과 동일하다고 추정될 수 있다. 일 실시예에서, 이러한 별개의 패턴은 테스트 목적을 위한 패턴(예를 들어, 계측 타겟)이며, 이러한 별개의 패턴에서 계측을 수행하는 것은 기판 상의 디바이스 패턴에 영향을 미치지 않는다.
따라서, 패터닝된 기판 계측 데이터로부터 기여분을 제거하고 및/또는 기여분을 조합함으로써, 해당 기판에 대한 패터닝된 기판 계측 데이터를 반드시 얻을 필요 없이 기판의 관심 파라미터의 추정치가 획득될 수 있다. 이는 다양한 기여분의 특성을 인식함으로써 이루어진다.
일 실시예에서, 하나 이상의 프로세싱 변수의 기여분은 장치(예를 들어, 리소그래피 장치) 특정적일 수 있다; 즉, 기여분은 어떤 실제 장치 또는 장치의 조합에 특정적이다. 따라서, 일 실시예에서, 하나 이상의 프로세싱 변수의 기여분은 매 기판에 걸쳐 반복적으로 사용될 수 있다. 따라서, 하나 이상의 프로세싱 변수의 기여분은 다양한 조합/제거 프로세스를 위해 데이터베이스로부터 사전 특성화되고 획득될 수 있다. 기여분은 장치의 전체 또는 특정 부분(예를 들어, 에칭 챔버)으로서 장치에 특정적일 수 있다. 그러한 변수의 예에는 스핀 코팅, 노광 후 베이크, 현상, 에칭, 증착, 도핑 및/또는 패키징과 같은 리소그래피 장치에서의 패턴 전사의 상류 또는 하류에 있는 프로세스의 다양한 특성이 있지만, 이에 제한되지는 않는다.
일 실시예에서, 하나 이상의 프로세싱 변수의 기여분은 특별한 기판에 특정적인 것이 아니다(따라서 여러 기판에 걸쳐 사용될 수 있다). 따라서, 하나 이상의 프로세싱 변수의 기여분은 추후 다양한 조합/제거 프로세스를 위해 데이터베이스로부터 사전 특성화되고 획득될 수 있다. 하나 이상의 프로세싱 변수의 이러한 기여분은 특정 기판에 대한 하나 이상의 변수의 데이터 및 감도 관계와 조합됨으로써 이러한 특정 기판에 적용될 수 있다. 이러한 변수의 예에는, 조명, 투영 시스템, 포커스, 선량, 대역폭, 노광 기간, 고주파 스테이지 이동의 특성(예를 들어, 기판 스테이지 이동의 이동 표준 편차(MSD), 기판 스테이지 이동의 이동 평균, 주파수, 진폭 등), 고주파 레이저 대역폭 변화(예컨대, 주파수, 진폭 등), 고주파 레이저 파장 변화 및/또는 기판의 평탄도 등의 변수가 있지만 이에 제한되지는 않는다.
일 실시예에서, 하나 이상의 프로세싱 변수의 기여분은 기판 특정적일 수 있다. 예를 들어, 기여분은 각 기판 또는 특정 그룹의 기판에 대해 결정될 수 있다. 이러한 변수의 예에는, 기판 기하구조(높이 맵, 변형 맵), 기판 프로세싱 조건, 조명 변수, 투영 시스템, 포커스, 선량, 대역폭, 노광 기간의 변수, 고주파 스테이지 이동의 특성(예를 들어, 기판 스테이지 이동의 이동 표준 편차(MSD), 기판 스테이지 이동의 이동 평균 등), 고주파 레이저 대역폭 변화(예컨대, 주파수, 진폭 등), 고주파 레이저 파장 변화 및/또는 기판의 평탄도 등의 변수가 있지만 이에 제한되지는 않는다.
일 실시예에서, 하나 이상의 프로세싱 변수의 기여분은 패턴 또는 패터닝 디바이스에 특정적일 수 있다; 즉, 기여분은 어떤 실제 패터닝 디바이스 또는 패터닝 디바이스에 의해 제공될 특정 패턴에 특정적이다. 이러한 기여분은 또한 기판에 독립적일 수 있다. 따라서, 패턴 또는 패터닝 디바이스 특정 기여분은 추후 다양한 조합 프로세스를 위해 데이터베이스로부터 사전 특성화되고 획득될 수 있다. 그러한 변수의 예에는. 패터닝 디바이스 피처 CD, 어시스트 피처의 형상 및/또는 위치, 분해능 향상 기술(RET)에 의해 적용된 조정 등이 있지만, 이에 제한되지는 않는다.
일 실시예에서, 상이한 프로세싱 변수와 관련된 기여분은 상이한 공간적 균일성을 가질 수 있다. 예를 들어, 일부 프로세싱 변수의 기여분은 전체 기판에 걸쳐 실질적으로 공간적 균일성을 가질 수 있지만, 일부 다른 프로세싱 변수의 기여분은 전체 기판에 걸쳐 그렇게 공간적으로 균일하지 않을 수도 있다. 이러한 차이는 프로세싱 변수의 특성이 다르기 때문일 수 있다. 예를 들어, 레지스트 층, 레지스트 층의 현상 및/또는 기판의 에칭과 관련된 하나 이상의 프로세싱 변수의 기여분은 실질적으로 공간적 균일성을 가지는 경향이 있거나(전체 기판이 보통 이러한 레지스트 층으로 코팅되거나, 동일한 시간과 동일한 조건 하에서 현상 또는 에칭되기 때문), 또는 예컨대 이러한 여러 프로세스 동안 기판의 회전으로 인해 대칭적인 경향이 있다. 예를 들어, 패턴 전사 또는 리소그래피 장치와 관련된 하나 이상의 프로세싱 변수의 기여분은 공간적으로 덜 균일한 경향이 있는데, 패턴 전사는 위치 특정적인 경향이 있고 하나 이상의 프로세싱 변수가 하나의 위치에 대한 패턴 전사와 다른 위치에 대한 패턴 전사 사이에 변화할 수 있기 때문이다. 따라서, 실질적으로 공간적 균일성을 갖지 않는 기여분이 패터닝된 기판 계측 데이터로부터 제거될 수 있다면, 이러한 패터닝된 기판 계측 데이터로부터 실질적으로 공간적 균일성을 갖는 기여분을 얻을 수 있다.
따라서, 특정한 예로서, 기판 상에서 노광된 각각의 포인트에 대해 리소그래피 장치로부터 프로세싱 변수 데이터를 수집할 수 있는데, 예를 들면 레벨링 정보, 기판 이동의 이동 평균(MA), MSDxyz, 선량, 레이저 대역폭, 퓨필 형상 등이 있다. 이러한 데이터는 전형적으로 이미 리소그래피 장치에서, 예를 들어 진단 파일에서 입수가능하다. 이러한 데이터로부터, 전술한 기여분이 하나 이상의 프로세싱 변수에 대한 관심 파라미터의 감도를 기술하는 관심 파라미터에 대한 모델을 사용하여 생성될 수 있다. 이러한 하나 이상의 감도는 시뮬레이션 또는 실험으로부터 얻을 수 있다. 이러한 방식으로 생성된 기여분은, 트랙 및 레지스트 모델 교정이 완벽하다고 가정할 때, 레지스트 현상 후 측정 중에 알게 될 것이라 예상할 수 있다. 일단 기판이, 예를 들어 현상 후에 또는 에칭 후에 측정되면, 기여분은 패터닝된 기판 계측 데이터로부터 제거된다. 나머지 기여분은 이제 패턴 전사 전 또는 패턴 전사 후 프로세스(예를 들어, 트랙 및/또는 에칭 장치에 의한 것으로서 일반적으로 필드간) 및 패터닝 디바이스(필드내)에 의한 기여분이다. 리소그래피 장치 오차는 패터닝된 기판 계측 데이터로부터 정정되었을 것이다. 이와 유사하게, 패터닝 디바이스 기여분이 측정될 수 있고 그 기여분이 제거되어 패턴 전사 전 또는 패턴 전사 후 프로세스에 의한 기여분만 남길 수 있다. 프로세스 흐름별로(예를 들어, 패터닝 프로세스 단계들 및/또는 사용된 장치의 조합) 또는 특정 장치 또는 그 부분별로(예를 들어, 에칭 장치 또는 에칭 챔버) 기여분이 제거될 수 있다.
따라서 이러한 기술을 통하여, 관심 파라미터에 대한 기여분은 모델링된 관계를 통해 또는 패터닝된 기판 계측 데이터로부터 알려진 기여분을 제거함으로써 얻을 수 있다. 나아가, 하나 이상의 기여분은 장치에 특정적인 것일 수 있지만 또한 기판 독립적일 수도 있다. 그러나, 하나 이상의 다른 기여분은 기판 특정적일 수 있다. 따라서, 기여분의 적절한 혼합 및 매칭에 의해, 패터닝 프로세스의 소정 포인트에서의 관심 파라미터의 추정치가 추정될 수 있다.
따라서, 이제 이들 기여분을 적용하는 일부 특정한 예에 관하여, 기판에 대한 각각의 포커스, CD 및 오버레이의 추정치를 결정하기 위해 포커스, CD 및 오버레이의 맥락에서 설명할 것이다. 이해하는 바와 같이, 추가의 또는 다른 관심 파라미터가 프로세싱 및 추정될 수 있다.
이러한 예를 가능하게 하기 위해 다양한 데이터가 사용된다. 예를 들어, 리소그래피 장치에는 패턴 전사 제어에 사용되는 상당한 계측 능력이 통합된다. 이러한 통합 계측의 예로는, 서보 제어를 위한 기판 및/또는 패터닝 디바이스의 위치 측정 디바이스(예를 들어, 센서 IF), 레벨링 제어를 위한 기판 표면 측정용 레벨링 센서(예컨대, 센서 LS), 오버레이 제어를 가능하게 하도록 기판의 배향, 위치 및.또는 변형을 측정하는 정렬 센서(예를 들어, 센서 AS) 및/또는 투영 시스템의 파면을 제어하기 위한 수차 센서(예컨대, 위에서 설명한 시어링 간섭계) 등이 있다. 리소그래피 장치는, 전체적인 CD, 오버레이 및/또는 포커스 예산(budget)이 충족되는 수준에서 제어를 위해 이들 센서로부터의 데이터를 사용할 것이지만, 이와 동시에 제어 이후에 남겨진 잔차 및/또는 오차 또한 추적할 것이다. 이들 잔차 및/또는 오차는, 패턴 전사 동안에 어떤 CD, 오버레이 및/또는 포커스 오차가 생성되는지, 즉 CD, 오버레이 및/또는 포커스 지문에 대한 리소그래피 장치의 기여분을 계산하는 데에 사용될 수 있다. 이해하는 바와 같이, 패터닝 프로세스에 사용되는 기타 다른 장치가 이러한 장치의 적용가능한 계측을 위해 유사한 정보를 가질 수 있다.
나아가, 리소그래피 장치 셋업 또는 제어가 하나 이상의 수학적 모델을 사용하여 각각의 교정 또는 제어 정정을 결정할 수 있다. 그러나, 이러한 하나 이상의 모델은 제로가 아닌 잔차 오차를 유발하는 내장된(built-in) 가정 또는 한계를 가질 수 있다. 이러한 모델 잔차는, 어떤 CD, 오버레이 및/또는 포커스 오차가 생성되는지, 즉 CD, 오버레이 및/또는 포커스 지문에 대한 모델의 기여분을 계산하는 데에 사용될 수 있다. 이해하는 바와 같이, 패터닝 프로세스에 사용되는 다른 장치가 유사한 모델 정보를 가질 수 있다.
나아가, 리소그래피 장치는 하나 이상의 파라미터(예를 들어, 포커스, 오버레이 등)의 임의의 전역 및 국소 지문을 제거하는 것을 돕기 위해 레지스트내(in-resist) 측정을 사용함으로써 셋업될 수 있다. 그러나 전역 지문은 교정이 수행된 최종 시간으로부터 시간에 걸쳐 드리프트(drift)할 수 있다. 이러한 셋업 상태의 모니터링 및 제어를 위해, 전역 지문을 모니터링하도록 모니터 기판이 노광되고 측정될 수 있다. 이러한 모니터 기판은, 레지스트 층이 적용되는 양호하게 규정된 패턴을 갖고 이처럼 양호하게 규정된 패턴과 관련된 패턴으로 노광되며, 현상 및 측정되는 기본적인 기판일 수 있다. 일단 측정되면, 모니터 기판상의 레지스트를 스트립핑함으로써 이처럼 양호하게 규정된 패턴을 남겨두어 새로운 레지스트 층을 적용할 수 있다. 그러한 측정에 기초하여, 리소그래피 장치에 대한 정정이 이루어질 수 있으므로, 이러한 정정은 기여분을 결정할 때 고려될 필요가 있다. 일 실시예에서, 모니터 기판은 양호하게 규정된 패턴을 사용하여 오버레이를 결정하고 및/또는 레지스트 패턴을 사용하여 포커스를 결정하는 데에 사용될 수 있다.
포커스의 예를 살펴보면, 이러한 기술은 본질적으로 두 가지 주요 부분을 수반한다. 제1 부분은 실질적으로, 추정 프로세스에서 특정 기판에 대한 포커스의 추정치를 결정하기 위해 사용되는 하나 이상의 유형의 리소그래피 장치 프로세싱 변수에 반영되지 않는 리소그래피 장치의 기저의(underlying) 포커스 기여분을 결정하기 위한 셋업 프로세스이다. 제2 부분은 그러한 특정 기판에 대한 포커스의 추정치를 결정하기 위해 고려 중인 기판에 대해 하나 이상의 유형의 리소그래피 장치 프로세싱 변수와 관련된 포커스 기여분을 이용하는 추정 프로세스이다.
따라서, 일 실시예에 따른 셋업 프로세스에서, 하나 이상의 기판에 대해 패터닝된 기판 포커스 계측 데이터가 획득된 다음에 하나 이상의 유형의 리소그래피 장치 프로세싱 변수의 기여분이 도 10에 대해 전술한 바와 마찬가지로 제거된다. 제거될 수 있는 기여분의 한 가지 유형은 예를 들어 리소그래피 장치의 레벨링 센서와 관련된 기판 높이 잔차 또는 오차 맵의 포커스 기여분이다. 제거될 수 있는 기여분의 추가적인 유형은 예를 들어 시어링 간섭계 및/또는 투영 시스템 모델 잔차로부터 획득될 수 있는 투영 시스템 이미지 평면 편차(IPD)의 포커스 기여분이다. 제거될 수 있는 기여분의 추가적인 유형은 적용가능한 위치설정기, 위치 측정 디바이스(예를 들어, 센서 IF)로부터 획득할 수 있는 패터닝 디바이스 및/또는 기판 서보 제어(예컨대, MA) 및/또는 서보 제어 모델의 잔차의 포커스 기여분이다. 위에서 논의된 바와 같이, 프로세싱 변수로부터 포커스 기여분으로의 변환은 시뮬레이션, 수학적 모델링 및/또는 실험을 통해 결정될 수 있다.
필요에 따라, 기여분 지문들은 동일한 격자(기여분 지문 중 하나의 격자 또는 다른 격자와 동일할 수 있음)로 재격자화(re-grid)될 수 있다. 유사하게, 기여분 지문들은 패터닝된 기판 계측 데이터로 재격자화되거나 또는 그 반대가 될 수 있다. 일 실시예에서, 재격자화는 업 샘플링 또는 다운 샘플링을 포함한다.
일 실시예에서, 필터링(예를 들어, 이동 평균, 디컨볼루션, FFT 등)이 바람직하게는 또 다른 기여분과 조합되기 전에 기여분에 적용된다.
일 실시예에서, 리소그래피 장치의 기저의 포커스 기여분은 실질적으로 한번 결정되어 다양한 포커스 추정에 사용될 수 있고, 따라서 각 기판을 측정하는 것에 비해 계측의 양이 상당히 감소될 수 있다. 일 실시예에서, 패터닝된 기판 포커스 계측 데이터는 기판 상의 위치(예를 들어, 기판 상의 500개 이하의 타겟) 및/또는 하나 이상의 로트로부터의 다수의 기판(25개 이상의 기판 중에서 한 로트로부터의 10개 이하의 기판)의 비교적 희박한 샘플링에 의해 획득될 수 있다.
일 실시예에서, 패터닝된 기판 포커스 계측 데이터는 전술한 바와 같이 모니터 기판의 측정된 포커스 지문일 수 있다. 따라서 이미 캡처 중인 데이터를 사용할 수 있다. 따라서, 측정된 포커스 지문은, 투영 시스템 이미지 평면 편차(IPD)의 포커스 기여분, 기판 높이 잔차 또는 오차 맵의 포커스 기여분 및/또는 패터닝 디바이스 및/또는 기판 서보 제어의 포커스 기여분 등의 리소그래피 장치 센서 정보로부터 도출된 리소그래피 장치 영향에 대해 정정되어 리소그래피 장치의 기저의 포커스 기여분에 이를 수 있다.
그 다음에 리소그래피 장치의 기저의 포커스 기여분은 포커스의 온-프로덕트(on-product) 추정에 사용하기 위해 저장된다. 리소그래피 장치의 기저의 포커스 기여분은 다수의 리소그래피 장치에 대해 결정될 수 있다. 리소그래피 장치의 기저의 포커스 기여분은 사용되는 리소그래피 장치의 디바이스들의 특정 조합에 대해 결정될 수 있다. 예를 들어, 리소그래피 장치는 기판이 노광될 수 있는 둘 이상의 기판 테이블을 가질 수 있고, 따라서 리소그래피 장치의 기저의 포커스 기여분은 사용되는 디바이스들의 특정 조합 및/또는 다수의 조합에 대해 결정될 수 있다.
이어서, 온-프로덕트 추정을 위해, 포커스와 관련된 하나 이상의 유형의 리소그래피 장치 프로세싱 변수의 오차 또는 잔차가 관심있는 기판에 대해 획득되고 그 포커스 기여분이 결정된다. 예를 들어, 투영 시스템 이미지 평면 편차(IPD)의 포커스 기여분, 기판 높이 잔차 또는 오차 맵의 포커스 기여분, 및/또는 패터닝 디바이스 및/또는 기판 서보 제어의 포커스 기여분이 관심있는 기판에 대해 획득될 수 있다. 추가될 수 있는 기여분의 다른 유형은, 특정 관심 기판에 사용되는 패터닝 디바이스에 기인하는 패터닝 디바이스 오차의 포커스 기여분이고, 이는 측정에 의해 획득될 수 있다. 이러한 기여분은, 리소그래피 장치의 적용가능한 기저의 포커스 기여분이 관심있는 기판에 대해 패터닝 디바이스를 사용하지 않고 획득된 경우에 특히 사용될 수 있다.
또한, 전술한 바와 같이 리소그래피 장치의 적용가능한 기저의 포커스 기여분이 획득된다. 그 다음에, 리소그래피 장치의 적용가능한 기저의 포커스 기여분은, 도 9와 관련하여 전술한 바와 마찬가지로, 관심있는 기판의 하나 이상의 특정 포커스 기여분과 조합되어 관심있는 기판에 대한 추정된 포커스 지문을 획득하게 된다. 따라서, 포커스 오차의 추정치는 임의의 기판 상의 임의의 위치 또는 거의 임의의 위치에서 결정될 수 있다.
이제 CD의 예를 살펴보면, 원칙적으로 기판에서 CD 변동을 유발할 수 있는 여러 가지 프로세싱 변수가 있다. 이러한 실시예에서, 기판에 걸친 소정의 CD 변동이 고려된다. 특히, 포커스, 포커스 블러링(blur), 선량 및 전반적인 프로세스와 관련하여 CD 변동 기여분이 고려된다. CD 변동에 대한 필드내 기여요인인 패터닝 디바이스 또한 고려될 수 있지만 편의상 더 설명하지는 않을 것이다.
CD에 대한 포커스 기여분은 전술한 바와 같은 포커스 기여분, 특히 기저의 리소그래피 장치 포커스 기여분과 고려 중인 기판에 대한 리소그래피 장치의 하나 이상의 프로세싱 변수의 포커스 기여분과의 조합에 기초할 수 있다. 이러한 밀도가 높은 포커스 정보는 원칙적으로, 예컨대 (리소 이후 및/또는 에칭 이후의) 피처의 Bossung 거동이 실험 또는 시뮬레이션을 통해 알려질 수 있다고 할 때, 임의의 패턴 피처에 대해 필드에 걸친 및/또는 기판에 걸친 ΔCD 기여분으로 변환될 수 있다. 따라서, 소정의 포커스 값을 갖는 기판 상의 임의의 위치(x, y)에 대해, 그 기판 위치(x, y)에 대응하여 CD 값이 계산될 수 있다:
Figure pct00009
여기서 HDFM은 포커스의 예에서 전술한 바와 같이 도출된 고밀도 포커스 맵과 같은 포커스 맵에 대응한다.
CD에 대한 포커스 블러링 기여분은 서보 정보(예를 들어, z 방향으로의 MSD)와 같은 리소그래피 장치 데이터를 사용하여 얻을 수 있다. 포커스 블러링 정보는 스캔 방향에 걸친 그리고 기판에 걸친 ΔCD 기여분으로 변환될 수 있다. 이러한 포커스 블러링 데이터에서 CD 로의 변환은 또한 피처에 특정적이며 실험 또는 시뮬레이션을 통해 알 수 있다:
Figure pct00010
여기서 fblur는 포커스 블러링에 해당한다.
CD에 대한 선량 기여분은 리소그래피 장치의 선량 변동(예를 들어, 선량 매핑 시스템에 의해 결정됨)에 기인한다. 노광 동안 기판에 걸친 선량 변동은 적용가능한 피처의 적절한 선량 감도를 사용하여 ΔCD 기여분으로 변환될 수 있으며, 이러한 선량 감도는 실험 또는 시뮬레이션을 통해 알 수 있다 :
Figure pct00011
CD에 대한 전반적인 프로세스 기여분은, 단독의 패턴 전사와는 별개인 패터닝 프로세스의 일부로서의 다양한 프로세스 단계들에서 발생하는 CD 변동이다. 따라서, 일 실시예에서, 전반적인 프로세스 기여분은 최종 에칭 단계 후 CD 변동의 상태이며 CD 변동을 추정하는 데 고려되는 다양한 다른 CD 변동에 기인하는 것이 아니다. 따라서, 일 실시예에서, 이러한 기여분은 예를 들어 막 증착 변동, 베이킹 및/또는 현상 변동 및/또는 에칭 프로세스 변동으로 인해 발생하는 프로세스 변동에 대해 해명되지 않은 모든 것에 대한 누적적인 효과이다. CD에 대한 전반적인 프로세스 기여분에 기여하는 프로세싱 변수의 예에는, 레지스트 층 아래의 구조체의 특성, 레지스트 층의 화학적 조성 및/또는 물리적 치수, 및/또는 리소그래피 장치에서의 패턴 전사의 상류 또는 하류에 있는 하나 이상의 프로세스(예컨대, 스핀 코팅, 노광 후 베이킹, 현상, 에칭, 증착, 도핑 및/또는 패키징 등)의 특성이 있을 수 있다. 그리고, CD에 대한 전반적인 프로세스 기여분은 에칭 이후의 관점에서 설명되지만, 전반적인 프로세스 기여분은 예를 들어 현상 후 에칭 전에 획득된 패터닝 프로세스의 상이한 포인트들에 대해 획득될 수 있다.
따라서 포커스 예의 셋업 프로세스와 마찬가지로, 포커스, 포커스 블러링 및 선량으로 인한 이러한 ΔCD 기여분 전부가 사용되어 기판 CD 측정으로부터 감산됨으로써 전반적인 프로세스 기여분을 추정할 수 있다. 즉, 일 실시예에서, 에칭 후 앵커(anchor) 피처 CD 측정으로부터 에칭 후 전반적인 프로세스 기여분이 생성될 수 있으며, 이로부터 (앵커 피처에 대한) 포커스, 포커스 블러링 및 선량에 대한 △ CD 기여분이 제거된다. 언급한 바와 같이, 전반적인 프로세스 기여분은 적절한 앵커 피처로부터 추정된다. 따라서, 일 실시예에서, 다른 피처들에 대한 전반적인 프로세스 기여분이 앵커 피처로부터 결정될 수 있다. 예를 들어, 전반적인 프로세스 기여분의 나머지는 앵커 피처의 특성의 일정 비율로 표현될 수 있다. 전반적인 프로세스 기여분이 패터닝 프로세스의 상이한 포인트, 예를 들어 현상 후 에칭 전에 획득되는 경우, 현상 후 에칭 전 CD 측정이 사용될 수 있다.
일 실시예에서, 전반적인 프로세스 기여분은 실질적으로 한번 결정되어 다양한 CD 추정에 사용될 수 있고, 따라서 각 기판을 측정하는 것에 비해 계측의 양이 상당히 감소될 수 있다. 일 실시예에서, 패터닝된 기판 포커스 계측 데이터는 기판 상의 위치(예를 들어, 기판 상의 500개 이하의 타겟) 및/또는 하나 이상의 로트로부터의 다수의 기판(25개 이상의 기판 중에서 한 로트로부터의 10개 이하의 기판)의 비교적 희박한 샘플링에 의해 획득될 수 있다.
그 다음에 전반적인 프로세스 기여분은 CD의 온-프로덕트(on-product) 추정에 사용하기 위해 저장된다. 전반적인 프로세스 기여분은 특별한 장치 구성 및/또는 다수의 장치 구성(예를 들어, 하나 이상의 특정 에칭 챔버, 에칭 챔버와 베이크 플레이트의 하나 이상의 특정 조합, 기판 테이블 및 에칭 챔버의 하나 이상의 특정 조합 등)에 대해 결정될 수 있다.
그러면 전술한 포커스 추정 단계와 유사하게 온-프로덕트 CD의 추정이 획득될 수 있다. 일 실시예로서, CD와 관련된 하나 이상의 유형의 리소그래피 장치 프로세싱 변수의 오차 또는 잔차가 관심있는 기판에 대해 획득되고 그 CD 기여분이 결정된다. 예를 들어, 포커스, 포커스 블러링 및/또는 선량의 CD 기여분이 관심 기판에 대해 획득될 수 있다. 추가될 수 있는 기여분의 다른 유형은, 특정 관심 기판에 사용되는 패터닝 디바이스에 기인하는 패터닝 디바이스 오차의 CD 기여분이고, 이는 측정에 의해 획득될 수 있다.
또한, CD에 대한 적용가능한 전반적인 프로세스 기여분이 전술한 바와 같이 획득된다. 그 다음에, CD에 대한 적용가능한 전반적인 프로세스 기여분은, 도 9와 관련하여 전술한 바와 마찬가지로, 관심있는 기판의 하나 이상의 특정 CD 기여분과 조합되어 관심있는 기판에 대한 추정된 CD 지문을 획득하게 된다. 따라서, CD 오차의 추정치는 임의의 기판 상의 임의의 위치 또는 거의 임의의 위치에서 결정될 수 있다.
또한, 핫 스팟과 같은 기판 상의 하나 이상의 특정 관심 패턴 피처에 대해 추정치를 얻을 수 있다. 전술한 바와 같이, CD에 대한 전반적인 프로세스 기여분은 특정 앵커 피처에 대해 결정되었지만, 하나 이상의 특정한 관심 피처에 대하여 스케일링될 수 있다. 또한, 하나 이상의 유형의 리소그래피 장치 프로세싱 변수(예컨대, 포커스, 포커스 블러링 및/또는 선량 등)의 CD 기여분은 CD 변동과 이러한 하나 이상의 유형의 리소그래피 장치 프로세싱 변수 사이의 하나 이상의 특정 피처에 대한 적절한 감도에 기초하여 컴퓨팅될 수 있다. 이러한 감도는 예를 들면 시뮬레이션 및/또는 실험에 의해 획득될 수 있다. 따라서, 각각 상이한 핫 스팟 또는 패턴의 다른 관심 피처에 대해 다수의 CD 기판 지문이 획득될 수 있다.
이러한 방법론은 예를 들어 하나 이상의 관련 모델, 예컨대 패터닝 디바이스 및/또는 수차 모델에서 더 많은 파라미터를 이용해 개선될 수 있다. 이러한 방법론은, 예를 들어 전반적인 프로세스 기여분을 서로 상이한 기여요인(예컨대 상이한 피처에 대해 상이한 감도를 갖는 증착, 리소그래피, 및/또는 에칭)으로 분류함으로써 전반적인 프로세스 기여분을 추정하여 확장될 수 있다.
일 실시예에서, 패터닝 프로세스의 일부로서 적용된 선량 정정은 그 결과에 대해 정정될 수 있다. 예를 들어, 리소셀은 예컨대 ASML의 Dosemapper 시스템을 사용하여 선량 정정을 적용할 수 있다. 따라서 이러한 정정은 CD의 추정치를 결정하는 데에 고려된다.
이제 오버레이의 예를 살펴보면, 기판 상으로의 적어도 2회의 상이한 패턴 전사로부터의 데이터가 사용된다. 이러한 기술은 포커스 및 CD의 예와 관련하여 위에서 설명한 것과 유사하다.
이 기술은 본질적으로 두 가지 주요 부분을 수반한다. 제1 부분은 실질적으로, 추정 프로세스에서 특정 기판에 대한 오버레이의 추정치를 결정하기 위해 사용되는 하나 이상의 유형의 리소그래피 장치 프로세싱 변수에 반영되지 않는 리소그래피 장치의 기저의 오버레이 기여분을 결정하기 위한 셋업 프로세스이다. 선택적으로, 전반적인 프로세스 오버레이 기여분이 또한 전술한 CD의 예의 전반적인 프로세스 CD 기여분과 유사하게 결정될 수 있다. 제2 부분은 그러한 특정 기판에 대한 오버레이의 추정치를 결정하기 위해 적어도 2회의 패턴 전사에 대하여 고려 중인 기판에 대한 하나 이상의 유형의 리소그래피 장치 프로세싱 변수와 관련된 오버레이 기여분을 이용하는 추정 프로세스이다.
따라서, 일 실시예에 따른 셋업 프로세스에서, 하나 이상의 기판에 대해 패터닝된 기판 오버레이 계측 데이터가 획득된 다음 적어도 2회의 패턴 전사 각각에 대한 하나 이상의 유형의 리소그래피 장치 프로세싱 변수의 기여분이 도 10에 대해 전술한 바와 유사하게 제거된다. 제거될 수 있는 기여분의 한 가지 유형은 예를 들어 리소그래피 장치의 레벨링 센서로부터 획득된 기판 높이 맵의 오버레이 기여분이다. 2회의 패턴 전사에 대하여 기판 높이 맵에 대한 차이가 발견될 수 있고, 그 차이는 오버레이 값 및 이에 따라 오버레이 기여분으로 변환될 수 있다. 예를 들어 Z 높이 차이는, 이러한 높이 차이를 기판의 휨 또는 벤딩으로 간주하고 X 및/또는 Y 변위를 계산하기 위한 제1 원리들을 사용함으로써 X 및/또는 Y 변위로 전환될 수 있다(예를 들어, 이러한 변위는 예를 들면 기판의 클램핑된 영역에서 기판 두께의 1/2 곱하기 X 또는 Y 방향 변동에 대한 Z 방향 변동일 수 있거나, 이러한 변위는 예를 들면 기판의 클램핑되지 않은 영역에서 Kirchoff-Love 플레이트 이론을 사용하여 계산될 수 있다). 일 실시예로서, 높이의 오버레이 기여분으로의 변환은 시뮬레이션, 수학적 모델링 및/또는 실험을 통해 결정될 수 있다. 따라서, 패턴 전사별로 이러한 기판 높이 정보를 사용함으로써, 포커스 또는 척 스팟으로 인한 오버레이 영향을 관측하고 설명할 수 있다.
제거될 수 있는 기여분의 추가적인 유형은, 적용가능한 위치설정기로부터 획득할 수 있는 X 및/또는 Y 방향으로의(Z 주위의 회전을 포함) 패터닝 디바이스 및/또는 기판 서보 제어(예컨대, MA), 위치 측정 디바이스(예를 들어, 센서 IF) 및/또는 서보 제어 모델의 잔차의 오버레이 기여분이다. 2회의 패턴 전사에 대해 기판에 걸친 서보 제어 값에 대한 차이가 발견될 수 있으며, 그 차이는 오버레이 기여분을 나타낼 수 있다. 필요한 경우, 서보 제어 값으로부터 오버레이 기여분으로의 변환은 시뮬레이션, 수학적 모델링 및/또는 실험을 통해 결정될 수 있다.
제거될 수 있는 기여분의 추가적인 유형은, (예를 들어 시어링 간섭계로부터 획득될 수 있는) 투영 시스템 수차 및/또는 투영 시스템 모델 잔차의 오버레이 기여분이다. 수차 및/또는 잔차로부터 오버레이 기여분으로의 변환은 시뮬레이션, 수학적 모델링 및/또는 실험을 통해 결정될 수 있다.
제거될 수 있는 기여분의 추가적인 유형은 리소그래피 장치에 의해 제공될 수 있는 정렬 시스템 모델 잔차의 오버레이 기여분이다. 잔차로부터 오버레이 기여분으로의 변환은 시뮬레이션, 수학적 모델링 및/또는 실험을 통해 결정될 수 있다. 일 실시예에서, 정렬 시스템 모델 잔차는 서로 상이한 패턴 전사 단계들에 대해 상이할 수 있고, 따라서 상이한 패턴 전사 단계들에 대한 정렬 시스템 모델 잔차의 조합/차이가 오버레이 기여분을 얻기 위해 사용될 수 있다. 일 실시예에서, 정렬 모델 잔차는 기판 높이에 대해 정정될 수 있다.
필요에 따라 기여분 지문들은 동일한 격자로 재격자화될 수 있다. 유사하게, 기여분 지문들은 패터닝된 기판 계측 데이터로 재격자화되거나 또는 그 반대가 될 수 있다.
일 실시예에서, 리소그래피 장치의 기저의 포커스 기여분은 실질적으로 한번 결정되어 다양한 포커스 추정에 사용될 수 있고, 따라서 각 기판을 측정하는 것에 비해 계측의 양이 상당히 감소될 수 있다. 일 실시예에서, 패터닝된 기판 오버레이 계측 데이터는 기판 상의 위치(예를 들어, 기판 상의 500개 이하의 타겟) 및/또는 하나 이상의 로트로부터의 다수의 기판(25개 이상의 기판 중에서 한 로트로부터의 10개 이하의 기판)의 비교적 희박한 샘플링에 의해 획득될 수 있다.
일 실시예에서, 패터닝된 기판 오버레이 계측 데이터는 전술한 바와 같이 모니터 기판의 측정된 오버레이 지문일 수 있다. 따라서 이미 캡처 중인 데이터를 사용할 수 있다. 따라서, 측정된 오버레이 지문은, 투영 시스템의 오버레이 기여분, 기판 높이의 오버레이 기여분, 정렬 모델 잔차의 오버레이 기여분, 및/또는 패터닝 디바이스 및/또는 기판 서보 제어의 오버레이 기여분 등의 리소그래피 장치 센서 정보로부터 도출된 리소그래피 장치 영향에 대해 정정되어 리소그래피 장치의 기저의 오버레이 기여분에 이를 수 있다. 일 실시예에서, 측정된 오버레이 지문 데이터는 프로덕트 기판의 제1 패턴 전사에 대해 한번 캡처된 후 (패턴 전사들 사이에서 모니터 기판의 재작업과 함께) 제2 패턴 전사에 대해 캡처될 것이다. 일 실시예에서, 패터닝된 기판 오버레이 계측 데이터는 제1 패턴 전사 후 하나 이상의 모니터 기판으로부터 측정된 오버레이 지문과 제2 패턴 전사 후 하나 이상의 모니터 기판으로부터 측정된 오버레이 지문 사이의 차이로부터 획득되는 오버레이 델타(delta) 지문이다. 따라서, 프로덕트 층들과 거의 동시에 모니터 기판들의 세트를 노광함으로써, 단기적인 드리프트의 측면에서 오버레이에 대한 리소그래피 장치 기여분이 정량화될 수 있다. 즉, 오버레이 델타 지문을 이용하여, 제1 패턴 전사와 제2 패턴 전사 사이의 단기적인 드리프트로 인한 필드간 오버레이에 대한 리소그래피 장치 기여분이 획득될 수 있다.
선택적으로, 에칭 후 오버레이가 요구되는 경우, 오버레이에 대한 에칭 프로세스 기여분이 결정될 수 있는데, 이는 에칭으로부터 발생하는 오버레이 변동이다. 에칭 프로세스 오버레이 기여분을 얻기 위해, 현상 후 에칭 전 패터닝된 기판 계측 데이터가 에칭 후 패터닝된 기판 계측 데이터로부터 제거되어 에칭 프로세스 오버레이 기여분을 얻을 수 있다. 일 실시예에서, 에칭 프로세스 오버레이 기여분은 실질적으로 한번 결정되어 오버레이 추정에 사용될 수 있고, 따라서 각 기판을 측정하는 것에 비해 계측의 양이 상당히 감소될 수 있다. 일 실시예에서, 패터닝된 기판 오버레이 계측 데이터는 기판 상의 위치(예를 들어, 기판 상의 500개 이하의 타겟) 및/또는 하나 이상의 로트로부터의 다수의 기판(25개 이상의 기판 중에서 한 로트로부터의 10개 이하의 기판)의 비교적 희박한 샘플링에 의해 획득될 수 있다. 각 패턴 전사 이후의 에칭 지문이 동일하다고 가정하면, 제1 또는 제2 패턴 전사에서 유발된 에칭 프로세스 지문은, 현상 후 에칭 전 오버레이 측정과 제1 또는 제2 패턴 전사 중 나머지 하나의 전사의 에칭 후 오버레이 측정 간의 델타 지문으로 추론될 수 있다.
그 다음에 리소그래피 장치의 기저의 오버레이 기여분(및 선택적인 에칭 프로세스 오버레이 기여분)은 오버레이의 온-프로덕트(on-product) 추정에 사용하기 위해 저장된다. 리소그래피 장치의 기저의 오버레이 기여분은 다수의 리소그래피 장치에 대해 결정될 수 있다. 리소그래피 장치의 기저의 오버레이 기여분은 사용되는 리소그래피 장치의 디바이스들의 특정 조합에 대해 결정될 수 있다. 예를 들어, 리소그래피 장치는 기판이 노광될 수 있는 둘 이상의 기판 테이블을 가질 수 있고, 따라서 리소그래피 장치의 기저의 오버레이 기여분은 사용되는 디바이스들의 특정 조합 및/또는 다수의 조합에 대해 결정될 수 있다. 에칭 프로세스 오버레이 기여분은 특정 장치 구성 및/또는 다수의 장치 구성(예를 들어, 하나 이상의 특정 에칭 챔버)에 대해 결정될 수 있다.
이어서, 온-프로덕트 추정을 위해, 오버레이와 관련된 하나 이상의 유형의 리소그래피 장치 프로세싱 변수의 오차 또는 잔차가 관심있는 기판에 대해 획득되고 그 오버레이 기여분이 결정된다. 예를 들어, 투영 시스템의 오버레이 기여분, 기판 높이의 오버레이 기여분, 정렬 모델 잔차의 오버레이 기여분, 및/또는 패터닝 디바이스 및/또는 기판 서보 제어의 오버레이 기여분 등이 관심 기판에 대해 획득되어 리소그래피 장치의 기저의 오버레이 기여분에 이를 수 있다. 일 실시예에서, 기판 높이의 오버레이 기여분 만이 획득된다.
또한, 전술한 바와 같이 리소그래피 장치의 적용가능한 기저의 오버레이 기여분이 획득되고 선택적으로 적용가능한 에칭 프로세스 오버레이 기여분이 획득된다. 그 다음에, 리소그래피 장치의 적용가능한 기저의 오버레이 기여분(및 임의부가적으로 선택적인 에칭 프로세스 오버레이 기여분)은, 도 9와 관련하여 전술한 바와 마찬가지로, 관심있는 기판의 하나 이상의 특정 오버레이 기여분과 조합되어 관심있는 기판에 대한 추정된 오버레이 지문을 획득하게 된다. 따라서 오버레이 추정치는 임의의 기판 상의 거의 임의의 위치에서 결정될 수 있다.
일 실시예에서, 패터닝 프로세스의 일부로서 적용된 오버레이 정정은 그 결과에 대해 정정될 수 있다. 예를 들어, 리소셀은 예를 들어 ASML 사의 Baseliner 시스템을 사용한 모니터 기판의 측정에 기초하여 오버레이 정정을 적용할 수 있다. 따라서 이러한 정정은 오버레이의 추정치를 결정하는 데에 고려된다.
일 실시예에서, 다중 패터닝 프로세스에서, 노광량 및 리소그래피 장치 상태, 예를 들어 노광 선량, 패터닝 디바이스 투과, 패터닝 디바이스 자격 오차, 조명 설정, 리소그래피 장치 기판 클램핑 오차 등이 제1 패턴 전사 단계와 제2 패턴 전사 단계 사이에 매우 유사한 것이 바람직하다. 또한, 필드내 레벨에서의 노광 및 가열 시그니처는 제1 및 제2 패턴 전사 단계 사이에서 유사할 것으로 예상되므로, 오버레이에 대한 그러한 영향은 작아야 한다.
또한, 일 실시예에서, 복수의 예측이 조합될 수 있다. 예를 들어, 오버레이 예측 및 CD 예측이 조합될 수 있다. 예를 들어, 서로 상이한 패턴 전사 단계를 사용하여 서로 인접한 구조체를 생성하되 그러한 구조체는 그들 사이에 갭을 가지는 다중 패터닝 상황에서, 상이한 패턴 전사 단계 중 하나 이상의 CD와 그러한 상이한 패턴 전사 단계에 대한 오버레이의 조합에 의해, 단지 오버레이나 CD 추정치만의 경우보다는 갭의 크기를 보다 양호하게 예측할 수 있게 된다.
그 다음으로 도 11은 일 실시예에 따른 방법의 흐름을 개략적으로 나타낸다. 특히 도면에서는, 리소그래피 장치의 기저의 포커스 기여분, CD에 대한 전반적인 프로세스 기여분 및/또는 리소그래피 장치의 기저의 오버레이 기여분(및 선택적으로 적용가능한 에칭 프로세스 오버레이 기여분) 등의 일반화된 기여분이 관심 기판에 대한 관심 파라미터의 추정치를 얻기 위해 그러한 기판에 대한 특정 정보에 어떻게 적용될 수 있는지를 보여주고 있다. 따라서, 특정 기판의 하나 이상의 프로세싱 변수(911)에 대응하는 기여분(912), 예를 들면 핫 스팟에 대한 것이다. 이는, 디바이스 정보 등으로부터 모델링함으로써 결정될 수 있다. 또한, 리소그래피 장치의 기저의 포커스 기여분, CD에 대한 전반적인 프로세스 기여분 및/또는 리소그래피 장치의 기저의 오버레이 기여분(및 선택적으로 적용가능한 에칭 프로세스 오버레이 기여분)과 같은 복수의 기판에 적용가능한 기여분(922)이 획득된다. 기여분(922)은 본 명세서에 기술된 임의의 기술을 사용하여 획득될 수 있다. 기여분(912)은 복수의 기판(예를 들어, 관심있는 모든 기판에 대해)에 대해 결정될 수 있는 반면, 기여분(922)은 많은 기판에 걸쳐 반복적으로 사용될 수 있다. 기여분(912) 및 기여분(922)은 예를 들어, 반드시 핫 스팟에 대한 패터닝된 기판 계측 데이터를 획득할 필요없이 그러한 핫 스팟에 대한 관심 파라미터의 추정치(950)를 얻도록 조합된다. 절차(970)에서는 동작이 취해지고, 예를 들면 핫 스팟에 결함이 있는지 여부 등이 관심 파라미터의 추정치에 기초하여 결정된다.
따라서, 레지스트내(in-resist) 및/또는 에칭 후 계측을 향상시켜 컴퓨팅된 계측을 얻기 위해 디바이스 센서 데이터가 활용될 수 있고, 이러한 컴퓨팅된 계측은, 예를 들면 기판의 조밀한 샘플링을 필요로 하지 않고도 기판별로 조밀한 계측을 제공할 수 있고 및/또는 각각의 기판에 대해 패터닝된 기판 계측 데이터를 획득할 필요 없이 (전부는 아닐지라도) 많은 기판에 대해 계측을 제공할 수 있다. 또한, 조밀한 컴퓨팅된 계측은 예를 들어 고차 정정을 가능하게 할 수 있다. 이러한 컴퓨팅된 계측은 또한, 각각의 이러한 기판에 대한 패터닝된 기판 계측 데이터를 획득할 필요 없이 및/또는 기판 상에서 패터닝된 기판 계측 데이터를 조밀하게 측정할 필요 없이, 가능하다면 기판당 제어를 포함하여 더 뛰어난 제어를 가능하게 할 수 있다.
또한, 이들 컴퓨팅된 계측 기술로부터의 추정치는 패터닝 프로세스 제어(예를 들어, 하나 이상의 프로세스 변수의 조정), 패터닝 프로세싱 모니터링, 결함 검출, 결함 예측, 패터닝 프로세스 설계 등과 같은 다양한 응용을 가능하게 할 수 있다.
일 실시예에서, 도출된 기여분(예컨대, 도 10을 사용하여 도출된 기여분)은 관심 파라미터에 대한 하나 이상의 프로세싱 변수의 변화의 기여분을 결정하기 위한 수학적 모델을 파라미터화하는 데에 사용될 수 있다. 즉, 수학적 모델이 도출된 기여분에 대해 근사(fitting)될 수 있고, 이에 의해 수학적 모델의 하나 이상의 파라미터, 상수 등의 값을 얻을 수 있다. 따라서, 일례로서, 프로세스 및/또는 기판 스택의 변화에 맞추기 위해, 임의의 감도가 모델로 표현되는 모델을 갖는 것이 바람직하다. 따라서, 일 실시예에서, 측정될 모든 기판에 대해 예측된 기판 지문이 생성될 수 있다. 이를 위해, 관심 파라미터의 모델이 확립될 수 있으며, 예를 들어 CD의 경우 CD = a1 * DOSE + a2 * FOCUS2 + a3 * MSDx + a4 * MSDy + a5 * MSDz + ...를 포함하는 모델이다. 기판의 측정에 의해, 사전 및/또는 사후 프로세스(예컨대, 트랙/에칭) 지문과 패터닝 디바이스 지문이 제거될 수 있다. 나머지 지문 측정은 예측된 값과 비교될 수 있다. 이러한 상황에서는 이제 CD, 선량, 포커스, MSD-xyz, 수차 등이 알려져 있는 방정식의 세트(측정 포인트만큼 많은 방정식)가 있다. 이러한 방정식 세트는 요구되는 감도(a1, a2, ...)에 대해 풀이할 수 있다. 이것이 특정 디바이스 패턴에 대해 측정된 다수의 기판에 대해 수행될 때, 요구되는 감도를 재추정하기 위한 수많은 데이터가 있을 것이다. 다수의 리소그래피 장치로부터의 데이터가 사용되고 및/또는 포커스 노광 매트릭스로부터의 데이터가 동일한 방식으로 사용되는 경우, 입력 신호(예를 들어, 포커스, 선량, MSD, CD 등)의 충분한 변동이 감도의 적절한 추정을 가능하게 할 수 있다. 또한, 이러한 기술은 포커스 심도, 노광 허용도(latitude), 오버레이, 엣지 위치 등과 같은 다른 관심 파라미터에 적용될 수 있다.
일 실시예에서, 트레이닝 알고리즘이 추가적인 정확도를 부가하기 위해 사용될 수 있다. 예를 들어, 수차에 대한 감도가 풀이될 때, 이러한 수차가 단지 슬릿에 걸쳐 변화할 수 있고 이러한 감도를 근사하기 전에 CD(또는 오버레이) 데이터를 사전필터링할 수 있다는 경계 조건이 고려될 수 있다. 일 실시예에서, 감도는 때때로 또는 연속적으로 재평가됨으로써 패터닝 프로세스의 변동에 대해 로버스트하게 될 수 있다.
상기 논의된 기여분/지문의 추가 적용예에서, 패턴의 컨투어가 하나 이상의 기여분/지문을 사용하여 예측될 수 있다. 이러한 맥락에서, 컨투어는 기판으로 전사된 패턴의 피처의 형상의 윤곽이다. 컨투어는 예를 들어 기판으로 전사된 패턴 피처의 형상의 외부 경계를 추출하기 위해 기판의 이미지(예를 들어, 주사 전자 현미경 이미지)를 프로세싱함으로써 시각화될 수 있다. 그러나, 기판으로 전사될 것으로 예상될 때의 패턴 피처의 전자적 표현을 생성하기 위해 수학적 프로세스(예를 들어, 시뮬레이션)에 의해 컨투어가 생성될 수도 있다. 컨투어는 전형적으로 라인의 형태일 것이지만, 본 명세서에서 사용된 용어 컨투어는 피처의 경계를 묘사하는 데이터로 보다 일반화될 수 있다. 컨투어는 연속적일 필요는 없다; 즉, 불연속적인 윤곽 및/또는 데이터가 피처의 경계를 충분히 묘사한다면 이러한 윤곽 및/또는 데이터는 피처 주위에서 불연속적일 수 있다. 일 실시예에서, 컨투어는 2차원(즉, 평면에 규정됨) 또는 3차원일 수 있다. 일 실시예에서, 컨투어는 패턴이 형성된 기판의 표면에 실질적으로 평행한 평면에서 연장될 수 있다. 일 실시예에서, 컨투어는 패턴이 형성된 기판의 표면에 실질적으로 수직인 평면에서 연장될 수 있다; 이 경우 컨투어는 프로파일로서 특성화될 수 있고 2차원 또는 3차원 형태일 수 있다.
컨투어를 예측하기 위해, 본 명세서에 설명된 바와 같이 하나 이상의 기여분/지문이 획득될 수 있고, 이는 예측된 컨투어에 이르기 위해 특정한 공칭 컨투어를 선택하고 및/또는 공칭 컨투어를 수정하는 데에 사용될 수 있다. 본원에 기술된 하나 이상의 기여분/지문의 다른 이용과 함께 하나 이상의 기여분/지문을 이용하는 컨투어의 이러한 예측 및 이용에 대한 세부 사항은 2017년 2월 22일자로 출원된 미국 출원 번호 제62/462,201호에 개시되어 있으며, 이러한 문헌은 원용에 의해 전체로서 본 명세서에 포함된다.
위에서 논의한 바와 같이, 일 실시예에서, 본 명세서의 컴퓨테이션 계측 기술은 패터닝 프로세스의 장치(예를 들어, 리소그래피 장치)로부터의 데이터와 계측 장치(예컨대, 도 3-5와 관련하여 살펴본 계측 장치 중 하나)로부터의 데이터의 조합을 이용하여, 기판에 대한 패터닝 프로세스의 특정한 관심 파라미터(예를 들어, 오버레이, 엣지 배치 오차 등)의 도출된 기여분 또는 지문을 생성할 수 있다. 따라서, 이러한 컴퓨테이션 계측 응용에 있어서, 계측 및 패터닝 프로세스 장치(예를 들어, 리소그래피 장치) 입력에 기초하여 특정 관심 파라미터의 하이브리드 밀도 지문이 생성된다. 예를 들어, 오버레이 지문의 경우, 입력은 예를 들어, 하나 이상의 모니터 기판을 이용하여 이루어진 측정, 패터닝 프로세스 제조 장치(예를 들어, 리소그래피 장치)로부터의 데이터 또는 측정, 및/또는 계측 장치(예컨대, 도 3-5와 관련하여 살펴본 계측 장치 중 하나)로부터의 측정(예를 들면, 에칭 후 검사(AEI) 또는 현상 후 검사(ADI) 측정 결과)일 수 있다.
위에서 살펴본 하이브리드 밀도 지문의 경우, 계측 타겟을 측정하는 계측 장치를 이용한 관심 파라미터의 비교적 밀도 높은 측정(예를 들어, ADI 및/또는 AEI)이 이용되어, 지문을 생성하기 위해 타겟으로부터의 파라미터 측정과 디바이스 패턴 응답 간의 양호한 매칭이 가능해질 수 있다. 따라서, 예를 들어 계측 타겟을 측정하는 계측 장치에 의한 관심 파라미터의 밀도 낮은 측정을 이용할 수 있는 것이 바람직할 수 있다. 밀도가 낮은 측정을 사용하면 계측 타겟에 대해 적은 측정이 요구될 수 있으므로 처리량이 증가할 수 있다.
추가적으로 또는 대안적으로, 위에서 설명한 결과적인 지문은 모든 디바이스 패턴 피처에 대해 포괄적인 것일 수 있고, 예를 들어 크리티컬 디바이스 패턴 피처(핫 스팟이라고도 함)을 참조로 하지 않을 수도 있다. 따라서, 디바이스 패턴의 복수의 피처 중 각각의 특정 피처에 대하여, 디바이스 패턴의 특정 피처에 특정적인 지문을 생성할 수 있는 것이 바람직할 것이다. 일 실시예에서, 지문은 하나 이상의 크리티컬 디바이스 패턴 피처에 특정적일 수 있다. 크리티컬 디바이스 패턴 피처와 관련된 관심 파라미터(예를 들어, 오버레이, 포커스, CD, 정렬 등)의 조밀한 맵을 갖는 것이 보다 유익할 수 있다(예를 들어, 디바이스 수율 제어의 관점에서). 크리티컬 디바이스 패턴 피처에 중점을 둠으로써, 예를 들어 디바이스 패턴의 특정한 피처 특성을 고려하여, 패터닝 프로세스의 수율 기반 설계, 제어, 수정 등이 생성될 수 있다.
도 12를 참조하면, 디바이스 패턴의 특별한 피처에 특정적인 지문을 생성하고 이용하는 방법의 실시예가 개략적으로 도시되어 있다. 일반적으로 본 방법은, 시뮬레이션(예를 들어, 풀칩(full chip) 시뮬레이션), 계측 타겟을 이용한 계측 측정(예를 들어, 오버레이 지문에 대한 ADI/AEI 오버레이 측정) 및 온-디바이스 패턴 측정의 조합에 의해 하나 이상의 특정 디바이스 패턴 피처에 대해 기판의 적어도 일부에 걸쳐 관심 파라미터 지문(맵)의 생성을 수반한다 예를 들어, 파라미터 지문은 하나 이상의 크리티컬 디바이스 패턴 피처 각각에 대해 생성될 수 있다. (예를 들어, 하나 이상의 크리티컬 디바이스 패턴 피처에 대한) 하나 이상의 파라미터 지문으로, 패터닝 프로세스의 하나 이상의 양태(예를 들어, 장치, 서브 프로세스, 사용되는 소모품(예를 들어, 패터닝 디바이스) 등)는 하나 이상의 파라미터 지문을 사용하여 설계, 수정, 제어, 수정 등이 이루어질 수 있다. 일 실시예에서, 개개의 디바이스 패턴 피처의 이용가능한 복수의 파라미터 지문의 가중된 조합이 패터닝 프로세스의 양태의 설계, 정정, 제어, 수정 등을 위해 사용될 수 있다; 즉, 개개의 특정 디바이스 패턴 피처와 관련된 하나 이상의 파라미터 지문은 다른 개개의 디바이스 패턴 피처와 관련된 하나 이상의 다른 파라미터 지문보다 가중될 수 있다. 이러한 설계, 정정, 제어, 수정 등은 패터닝 프로세스를 이용하여 기판 상에 디바이스 패턴을 제조하기 위해 패터닝 프로세스에 사용되는 장치(예를 들어, 리소그래피 장치, 에칭 툴, 증착 툴 등)의 설정의 구성을 포함할 수 있다. 일 실시예에서, 설계, 정정, 제어, 수정 등은, 리소그래피 장치 설정의 수정(예를 들어, 기판 등의 대상물의 X, Y 또는 Z 이동의 제어의 수정, (예를 들어, 투영 시스템 내의 액추에이터 또는 기타 다른 파면 조작 디바이스를 이용하는) 투영 시스템 수차의 특정한 정정, (예를 들어, 방사선 소스를 제어하고 및/또는 조명 시스템에서 선량 변조 디바이스를 이용하는 것에 의한) 기판의 노광을 위한 조명 선량의 변화 등)을 포함할 수 있다.
1100에는, 패터닝 디바이스에 제공되는 바와 같은 디바이스 패턴 피처 및 계측 타겟을 갖는 패터닝 디바이스 패턴의 고도로 개략적인 레이아웃의 일례가 도시되어 있다. 디바이스 패턴 피처는 피처 A, B, C 및 D로 표시되어 있다. 이해하는 바와 같이, 피처 A의 각각의 인스턴스는 실질적으로 동일한 유형의 패턴 레이아웃이고, 피처 B의 각각의 인스턴스는 실질적으로 동일한 유형의 패턴 레이아웃이고, 피처 C의 각각의 인스턴스는 실질적으로 동일한 유형의 패턴 레이아웃이며, 피처 D의 각각의 인스턴스는 실질적으로 동일한 유형의 패턴 레이아웃이다. 나아가 각각의 피처(AD)는 나머지 피처와 상이할 수 있다. 또한 계측 타겟은 타겟 1, 2 및 3으로 표시되어 있다. 이해하는 바와 같이, 타겟 1의 각각의 인스턴스는 실질적으로 동일한 타입의 계측 타겟이고, 타겟 2의 각각의 인스턴스는 실질적으로 동일한 타입의 계측 타겟이며, 타겟 3의 각각의 인스턴스는 실질적으로 동일한 타입의 계측 타겟이다. 일 실시예에서, 각각의 계측 타겟(1-3)은 나미저 타겟과 상이할 수 있다(예를 들어, 타겟 주기적 구조체의 피치가 상이하거나, 타겟 주기적 구조체의 피처들의 세그먼트화가 상이하거나, 듀티 사이클이 상이한 등이다). 알려진 바와 같이, 디바이스 패턴 피처 및 계측 타겟은 리소그래피 프로세스를 사용하여 기판으로 전사된다. 오버레이를 위한 계측 타겟의 경우, 타겟 1, 2 및 3은 기판 상의 하부 층에 대한 타겟 또는 기판 상의 상부 층에 대한 타겟일 수 있고, 여기서 타겟 1, 2 또는 3은 일반적으로 하부 층의 대응하는 타겟 위에 놓이거나 상부 층의 대응하는 타겟이 일반적으로 타겟 1, 2 또는 3 위에 놓일 것이다.
1110에서는, 예를 들어 패터닝 프로세스의 다양한 조건에서(예컨대, 패터닝 프로세스의 공칭 조건으로부터의 특정량의 섭동 등), 패터닝 디바이스 패턴을 기판으로 전사하는 시뮬레이션이 수행된다. 예를 들어, 도 14의 패터닝 시뮬레이션 방법은 패터닝 디바이스 패턴(하나 이상의 계측 타겟을 포함)의 기판으로의 예측된 전사를 생성하는 데에 사용될 수 있다. 이와 유사하게, 시뮬레이션된 패터닝 프로세스의 일부로서 생성된 하나 이상의 계측 타겟의 측정 또한, 예를 들어 다수의 측정 빔 파장 및/또는 다수의 측정 편광으로 시뮬레이션된다. 예를 들어, 이러한 측정은 도 15의 측정 시뮬레이션 방법을 사용하여 시뮬레이션될 수 있다. 이들 시뮬레이션에 기초하여, 하나 이상의 계측 타겟 각각과 기판 상에 생성되도록 시뮬레이션되는 하나 이상의 디바이스 패턴 피처에 대한 관심 파라미터(예를 들어, 오버레이)가 결정되고, 이렇게 시뮬레이션된 결과로부터, 기판 상에 생성되도록 시뮬레이션되는 패터닝 디바이스 패턴의 하나 이상의 디바이스 피처와 하나 이상의 계측 타겟 간의 파라미터의 관계가 결정된다. 예를 들어, 패턴 전사 조건에 따라 그리고 관심 파라미터로서의 오버레이에 대하여, 디바이스 패턴 피처 시프트는 오버레이 타겟 시프트와 관련될 수 있다. 일 실시예에서, 이러한 관계를 위해, 하나 이상의 계측 타겟의 관심 파라미터는 하나 이상의 디바이스 피처의 관심 파라미터와 상이할 수 있다; 예를 들어, 하나 이상의 계측 타겟에 대한 관심 파라미터는 오버레이인 반면, 하나 이상의 디바이스 피처의 관심 파라미터는 엣지 배치 오차일 수 있다.
추가적으로 또는 대안적으로, 관심 파라미터의 하나 이상의 광학 수차 감도, 관심 파라미터의 하나 이상의 포커스 감도, 관심 파라미터의 하나 이상의 선량 감도, 관심 파라미터의 하나 이상의 피치 의존성과 같은 다른 관계가 확립될 수도 있다. 예를 들어, 도 13을 참조하여 후술하는 실시예에서, 측정 타겟은 포커스 타겟을 포함하고, 패터닝 프로세스의 관심 파라미터는 포커스를 포함한다. 포커스 오차는 피처의 Bossung 곡선 상단(즉, 최상의 포커스 조건)에서 멀리 떨어진 노광 조건의 피처별(per-feature) 편차로 규정될 수 있다. 이는 부분적으로 수차로 인해 유발된다. 수차(주로 구면 수차)는 피처별 Bossung 곡선 상단에서 차이를 유발한다. 수차 지문(예를 들어, 렌즈 가열 및 레티클 형상과 관련됨)은, 조명 설정에 의존할 뿐만 아니라 피처에 특정적인 포커스 지문을 야기할 수 있다. 따라서, 컴퓨테이션 포커스 계측에서는, (디바이스 피처별로) 컴퓨팅된 포커스 지문 내의 수차 지문을 고려하는 것이 유리하다.
이러한 실시예에서는, 도 13에 도시된 바와 같이 도 12의 단계(1110)에 대응하여, 측정 타겟(1112) 및 특별한 피처(1113)에 대한 포커스의 측정된 또는 시뮬레이션된 수차 감도를, 패터닝 프로세스(1114)의 측정된 또는 모델링된 수차와 조합(1115)함으로써 관계가 획득되어, 측정 타겟과 특별한 피처 간의 포커스 차이의 맵(델타-포커스 맵)을 결정하게 된다(1116).
1130에서는, 1110의 시뮬레이션이 수행되는 패터닝 프로세스를 사용하여 패터닝 디바이스 패턴이 전사된 하나 이상의 기판으로부터 적용가능한 관심 파라미터의 측정이 획득된다. 일 실시예에서, 이러한 측정은 패터닝 디바이스 패턴의 복수의 인스턴스가 전사된 기판에 걸쳐 획득된다. 일 실시예에서, 이러한 측정은 복수의 기판으로부터 획득되는데, 이러한 복수의 기판 각각에는 패터닝 디바이스 패턴이 복수회 전사된 것이다. 일 실시예에서, 측정은 패터닝 프로세스의 일부로서 하나 이상의 기판으로 전사되는 하나 이상의 계측 타겟으로부터 획득된다. 일 실시예에서, 관심 파라미터는 오버레이이고, 측정은 하나 이상의 계측 타겟의 ADI 및/또는 AEI를 사용하여 획득된 오버레이 측정이다. 일 실시예에서, 오버레이 측정은 다수의 측정 빔 파장 및/또는 다수의 측정 빔 편광으로 획득된다.
1120에서, 하나 이상의 계측 타겟으로부터의 관심 파라미터의 측정이 시뮬레이션된 결과와 매칭된다. 즉, 시뮬레이션으로부터 결정된 하나 이상의 관계를 이용하여, 측정된 관심 파라미터는 디바이스 패턴 피처에 대해 적용가능한 관심 파라미터(예를 들어, 동일한 관심 파라미터 또는 이와 다른 파라미터)의 등가의 값으로 변환될 수 있다. 예를 들어, 오버레이의 맥락에서, 계측 타겟으로부터의 오버레이의 측정은 하나 이상의 시뮬레이션된 관계를 이용하여 연관된 디바이스 패턴 피처의 예상된 오버레이로 변환될 수 있다. 예를 들어, 도 13을 참조하여 전술한 실시예에서, (계측 타겟에 기초하는) 컴퓨팅된 포커스 맵(1130)은 단계 1110에서 획득된 포커스 차이의 맵(1116)과 합산되어 연관된 디바이스 패턴 피처의 예측된 포커스의 맵을 결정하게 된다. 일 실시예에서, 계측 측정이 기판에 걸쳐 획득되고, 따라서 기판에 걸친 다양한 위치에서 국소적인 조건(예를 들어, 기판 비평탄도, 에칭의 변동 등)으로 인해 변화하는 값을 가질 가능성이 높다. 따라서, 일 실시예에서, 하나 이상의 특정 디바이스 패턴 피처 각각에 대해 예측된 관심 파라미터의, 기판의 적어도 일부에 걸친 맵이 획득될 수 있다. 일 실시예에서, 관심 파라미터는 파라미터의 오차 또는 잔차, 예를 들어 CD 오차일 수 있다. 오버레이의 예를 고려하면, 기판의 적어도 일부에 걸친 특정 디바이스 패턴 피처의 예측된 오버레이의 맵은, 하나 이상의 시뮬레이션된 관계를 이용하여, 기판의 적어도 일부에 걸쳐 취해진 계측 타겟 오버레이 측정을, 이러한 기판의 적어도 일부에 걸쳐 생성된 특정 디바이스 패턴 피처에 매칭함으로써 결정될 수 있다. 또 다른 복수의 특정 디바이스 패턴 피처 각각에 대해 유사한 오버레이 맵이 생성될 수 있으며, 각각의 디바이스 패턴 피처는 그 인스턴스들이 기판의 적어도 일부에 걸쳐 분산되어 있다. 일 실시예에서, 오버레이 맵은 실질적으로 기판의 적어도 일부에 걸친 오버레이 벡터의 공간적 분포이며, 여기서 각각의 벡터는 오버레이의 방향 및 오버레이의 크기를 갖는다. 그 결과, 디바이스 패턴 피처별로 예측된 관심 파라미터(예를 들어, 오버레이, 엣지 배치 오차 등) 기판 맵을 산출하기 위해 데이터가 조합될 수 있다. 일 실시예에서, 이러한 기판 맵은 풀 칩 정보에 기초하여 구성될 수 있고, 따라서 필드/기판에 걸쳐 조밀하게 샘플링될 수 있다.
1140에서는, 1110의 시뮬레이션이 수행되 패터닝 프로세스를 사용하여 패터닝 디바이스 패턴이 전사된 하나 이상의 기판으로부터 하나 이상의 패터닝 디바이스 패턴 피처의 측정이 획득된다. 일 실시예에서, 이러한 측정은 패터닝 디바이스 패턴의 복수의 인스턴스가 전사된 기판에 걸쳐 획득된다. 일 실시예에서, 이러한 측정은 복수의 기판으로부터 획득되는데, 이러한 복수의 기판 각각에는 패터닝 디바이스 패턴이 복수회 전사된 것이다. 일 실시예에서, 이러한 측정은 온-디바이스 측정이다. 즉, 이러한 측정은 디바이스 패턴 주위의 하나 이상의 계측 타겟으로부터 획득된 것이 아니라 디바이스 피처 자체에 대한 것이다. 일 실시예에서, 온-디바이스 측정은 전자 현미경과 같은 이온 빔(예를 들어, 전자 빔) 측정 기술을 사용하여 획득된다. 일 실시예에서, 측정은 디바이스 패턴 피처의 엣지 배치, CD 등이다.
1150에서는, 온-디바이스 측정에 기초하여, 시뮬레이션된 디바이스 패턴 피처(예를 들어, 1110에 대해 위에서 설명한 시뮬레이션으로부터 결정됨)와 측정된 관심 파라미터(예를 들어, 1130에서 결정되고, 1120에서 시뮬레이션된 디바이스 패턴 피처와 매칭됨) 사이의 관계가 상관될 수 있다. 이러한 상관 관계는 디바이스 피처의 온-디바이스 측정을 분석하여 이루어질 수 있다. 예를 들어, 오버레이의 맥락에서, 시뮬레이션된 디바이스 패턴 피처와 연관 계측 타겟으로부터의 측정된 오버레이 사이의 관계에 기초하여 오버레이가 예측된 피처에 대해 온-디바이스 측정으로부터 결정된 엣지 배치 오차가 식별될 수 있고, 예측된 오버레이는 측정된 온-디바이스 엣지 배치 오차와 상관될 수 있다. 예를 들어, 별도의 패터닝 프로세스 실행으로 생성된 인접 피처들의 엣지들 사이의 거리 오차가 예측된 오버레이와 상관될 수 있다. 그 다음에 이러한 상관 관계는, 예측된 오버레이를 디바이스 피처의 오버레이 및/또는 엣지 배치 오차의 보다 정확한 예측으로 변환하기 위해 사용될 수 있다. 따라서, 패터닝 디바이스 패턴 피처별로, 고도로 예측적이고 정확한 관심 파라미터(예를 들어, 오버레이, 엣지 배치 오차 등) 기판 맵이 디바이스 패턴 피처마다 구성될 수 있다.
선택적으로, 디바이스 패턴 피처별 관심 파라미터 기판 맵은 다른 연관 데이터를 가질 수 있다. 예를 들어, 이러한 맵은 패터닝 프로세스 내에서의 제어 파라미터와의 상호 의존성에 관한 정보를 포함할 수 있으며, 여기서 제어 파라미터는 패터닝 프로세스 파라미터 중 적어도 일부의 성능을 변경하도록 패터닝 프로세스에 의해 사용되는 장치에서 설정될 수 있는 파라미터이다. 일 실시예에서, 제어 파라미터는 리소그래피 장치의 동작을 제어하는 파라미터이다. 일 실시예에서, 제어 파라미터는 예를 들어 리소그래피 장치 내의 포커스, 투영 시스템 수차 등을 제어하기 위한 파라미터이다. 따라서, 관심 파라미터 기판 맵은 하나 이상의 리소그래피 장치 제어 파라미터(예를 들어, 포커스, 투영 시스템 동작 등)와의 하나 이상의 상호 의존성을 포함할 수 있다. 이러한 상호 의존성은, 디바이스 패턴의 특정 피처의 관심 파라미터의 값이 제어 파라미터의 값의 변화에 응답하여 디바이스 패턴의 또 다른 피처와는 상이한 변화를 가질 수 있으므로, 적절한 정정이 이루어질 수 있게 한다.
복수의 맵에 관해 언급하였지만, 하나 이상의 맵이 조합 맵(데이터 구조의 조합에 있어서 함께 조합되는 복수의 맵으로 간주됨)으로 조합될 수 있다는 것이 이해될 것이다. 일 실시예에서, 모든 이러한 맵이 조합 맵으로 조합될 수 있거나 또는 맵들의 서브 세트가 하나 이상의 나머지 별개 맵들과 함께 조합 맵으로 조합될 수 있다. 예를 들어, 복수의 디바이스 패턴 피처 각각에 대한 디바이스 패턴 피처별 기판 맵은 조합 기판 맵(조합 기판 맵에 포함된 하나 이상의 디바이스 패턴 피처별로 연관된 샘플링과 함께 데이터를 조합함)으로 변환될 수 있다. 즉, 조합 기판 맵에 기초하여, 하나 이상의 관련 디바이스 패턴 피처에 대한 데이터는 적용가능한 샘플링 규정에 기초하여 선택될 수 있다.
따라서, 단계 1120 및 1150에서, (하나 이상의 계측 타겟을 사용하는) 관심 파라미터의 측정(1130)은 컴퓨테이션 리소그래피 및 계측 시뮬레이션과 온-디바이스 측정(1140)을 이용하여 디바이스 패턴 피처별로 적용가능한 관심 파라미터로 변환된다(예를 들어, 이러한 관심 파라미터는 측정된 관심 파라미터와 동일하거나 상이하다). 예를 들어, 오버레이의 맥락에서, 하나 이상의 오버레이 계측 타겟을 사용하는 오버레이 측정은 컴퓨테이션 리소그래피 및 계측 시뮬레이션(피드포워드)과 온-디바이스 측정(피드백)을 이용하여 디바이스 패턴 피처별 오버레이 맵으로 변환될 수 있다. 이러한 기술을 맥락에 맞추려면, 측정(1130)은 비교적 신속하게 획득될 수 있고 기판에 걸쳐 비교적 희박하게 획득될 수 있다. 또한, 하나 이상의 계측 타겟은 실질적으로 디바이스 패턴 피처의 거동에 대한 프록시로서 작용하도록 설계되지만 디바이스 패턴 피처의 실제 거동의 측정은 아니다. 따라서, 단계 1120에서는, 하나 이상의 계측 타겟을 사용하는 관심 파라미터의 상대적으로 희박한 측정이, 하나 이상의 시뮬레이션된 관계를 사용하여, 하나 이상의 디바이스 패턴 피처에 대응하는 (동일하거나 상이한) 관심 파라미터의 값으로 변환된다. 특히, 이러한 희박한 측정은 다양한 디바이스 패턴 피처와 매칭되어 복수의 디바이스 패턴 피처 각각에 대한 관심 파라미터의 예측된 값을 제공하게 되고, 디바이스 패턴 피처별 관심 파라미터 기판 맵을 효과적으로 산출하게 된다. 바람직하게도, 그 결과는 관심 파라미터의 계측 타겟 측정을 복수의 디바이스 패턴 피처 각각으로 변환함으로써 기판에 걸친 관심 파라미터의 보다 조밀한 표현이 되며, 여기서 각각의 디바이스 패턴 피처는 필드/다이가 있는 다수의 인스턴스를 가질 수 있고 기판에 걸쳐 복수의 다이/필드에 걸친 다수의 인스턴스를 가질 것이다. 이제, 디바이스 패턴 피처의 실제 거동을 반영하기 위해 온-디바이스 측정이 획득되며, 이러한 온-디바이스 측정 또한 비교적 희박할 수 있다. 온-디바이스 측정을 이용해, 시뮬레이션된 패턴 피처 및 측정된 계측 타겟 측정 간의 관계와 온-디바이스 측정들 간의 상관관계를 확립할 수 있고 이에 의해 디바이스 패턴 피처의 관심 파라미터를 보다 정확하게 예측할 수 있다. 이러한 상관관계는 디바이스 패턴 피처별로 고도로 예측적이고 정확한 관심 파라미터 기판 맵을 산출하기 위해 디바이스 패턴 피처에 대한 예측된 관심 파라미터를 개선하는 데에 사용될 수 있다.
1160 내지 1180에서는, 디바이스 패턴 피처별 기판 맵이 이용되어 패터닝 프로세스의 양태(예컨대, 장치(예를 들면, 리소그래피 장치, 에칭 툴, 증착 툴 등), 서브 프로세스(예를 들어, 패턴 단계, 에칭 단계 등), 사용되는 소모품(예를 들어, 패터닝 디바이스 등))에 정정이 이루어질 수 있다. 이러한 정정은 피드포워드 또는 피드백 정정일 수 있다. 일 실시예에서, 리소그래피 장치의 하나 이상의 제어 파라미터(예를 들어, 선량의 제어 파라미터, 포커스의 제어 파라미터(예를 들면, 기판의 Z 위치의 제어), 정렬의 제어 파라미터(예를 들어, 기판의 X 및/또는 Y 위치의 제어), 광학 수차의 제어 파라미터 등)를 사용하여 리소그래피 장치에 정정이 이루어진다.
1160에서는, 복수의 관심 파라미터 기판 맵들(각각의 맵은 디바이스 패턴 피처에 대응함)이 존재하는 경우, 복수의 디바이스 패턴 피처 중 하나 이상의 디바이스 패턴 피처의 서브 세트가, 적용가능한 관심 파라미터 기판 맵 및 패터닝 프로세스의 적어도 일부의 예상된 또는 실제 거동에 관한 데이터에 기초하여 선택될 수 있다. 예를 들어, 하나 이상의 크리티컬 디바이스 패턴 피처가 식별될 수 있고, 그 다음에 하나 이상의 크리티컬 디바이스 패턴 피처의 개개의 관심 파라미터 기판 맵(들)이 패터닝 프로세스의 적어도 일부의 정정에 사용될 수 있다.
일 실시예에서, 예상된 또는 실제 거동 데이터는, 패터닝 프로세스에 사용되는 장치(1180)로부터의 데이터(1167)를 포함하는데, 예를 들면 리소그래피 장치의 경우에, 기판의 실제 또는 예상된 X, Y 및/또는 Z 위치에 관한 데이터(예컨대, 이동 평균 및/또는 이동 표준 편차 정보의 형태, 기판 높이 또는 비평탄도 정보의 형태, 정렬 오차의 형태 등), 광학 수차에 관한 데이터(예를 들면, Zernike 수차 사양의 형태인 수차의 측정된 값), 선량에 관한 데이터(예컨대, 장치 내의 센서에 의해 측정될 수 있는 선량 오차) 등이다. 거동 데이터는 장치의 제어의 일부로서 생성되는 데이터 및/또는 측정된 값으로서 장치로부터 출력될 수 있다. 거동 데이터는 하나 이상의 후속 기판의 프로세싱을 제어하는데 사용하기 위해 이전 기판의 프로세싱으로부터 수집될 수 있다. 거동 데이터는 특정한 입력(예를 들어, 기판의 이전 프로세싱으로부터의 데이터)에 기초하여 거동을 예측하는 수학적 모델로부터 생성될 수 있다. 일 실시예에서, 거동 데이터는 일정 거동 범위, 예컨대 공칭 거동 주변 또는 또는 공칭 거동에 인접한 거동의 범위 또는 세트를 포함한다.
거동 데이터를 사용하여, 디바이스 패턴 피처의 기판 맵에 의해 표현되는 관심 파라미터에 대한 거동의 예상되는 영향이 결정될 수 있다. 예를 들어, 오버레이 및 리소그래피 장치의 맥락에서, 예를 들면 하나 이상의 그러한 거동에 대한 오버레이의 감도에 기초하여 특정한 선량 오차, 광학 수차, 위치 오차 등이 오버레이의 관점에서 무엇을 야기하게 될지를 계산할 수 있다. 이렇게 계산된 오버레이는, 패터닝 프로세스의 적어도 일부의 거동의 측면에서 어느 디바이스 패턴 피처가 사양에서 벗어나 생성될 가능성(예를 들면, 결함이 있을 가능성)이 높은지를 결정하기 위해, 복수의 오버레이 기판 맵과 함께 프로세싱될 수 있다(각각의 오버레이 기판 맵은 개개의 디바이스 패턴 피처에 대한 것임). 거동의 결과로서 어느 하나 이상의 디바이스 패턴 피처가 사양에서 벗어나 생성될 가능성이 높은지를 식별하기 위해 임계치가 적용될 수 있다. 단순한 예로서, 특별한 디바이스 패턴 피처에 대한 오버레이 기판 맵은, 기판의 특정 영역에서 디바이스 패턴 피처가 양의 X 방향으로 2 nm의 오버레이를 가지는 것으로 예상된다고 식별할 수 있고, 디바이스 패턴 피처에 대한 오버레이 사양은 4 nm로 설정될 수 있다(즉, 이러한 디바이스 패턴 피처에 대해 4 nm 이하의 오버레이가 허용가능함). 그런 다음, 실제 또는 예상된 거동이 양의 X 방향으로 1-3 nm의 오버레이를 유발하는 것으로 결정되면, 기판 맵으로부터의 2 nm의 오버레이에 실제 또는 예상된 거동으로부터의 1-3 nm의 오버레이를 더한 것이 디바이스 패턴 피처로 하여금 사양에서 벗어나게 할 가능성이 높기 때문에 이러한 디바이스 패턴 피처는 크리티컬한 것으로 여겨질 수 있다. 물론, 이와 다른 분석이 수행될 수도 있다.
1160의 분석 결과는 파라미터 기판 맵의 세트(1163)이며, 각각의 맵은 크리티컬 디바이스 패턴 피처로서 식별되는 개개의 디바이스 패턴 피처에 대한 것이다. 이는 도 12에서, 본 예에서는 크리티컬 피처로 각각 식별되는 피처 A, B, C 및 D의 각각에 대한 오버레이(본 예에서는 오버레이, 또는 도 13을 참조하여 설명한 예에 대해서는 포커스)의 기판 맵의 세트로서 개략적으로 도시되어 있다. 도 12에 도시된 바와 같이, 각각의 오버레이 기판 맵은 기판에 걸쳐 연관된 디바이스 패턴 피처에 대한 오버레이 벡터를 보여준다. 일부 영역에서는 벡터의 크기가 상대적으로 크고, 패터닝 프로세스의 거동에 따라 디바이스 패턴 피처가 크리티컬하게 된다는 점을 알 수 있다.
이제, 분석(1160)은 크리티컬 디바이스 패턴 피처에 대한 것으로 식별된 맵 등의 파라미터 기판 맵에 대한 가중치를 추가로 결정할 수 있다. 이러한 가중치는, 수행될 정정을 결정하는 것의 일부로서 제2 특정 디바이스 패턴 피처에 대한 파라미터 기판 맵에 비해 제1 특정 디바이스 패턴 피처에 대한 파라미터 기판 맵에 더 많은 가중치를 가할 수 있다. 예를 들어, 실제 또는 예상된 거동을 고려하여, 제1 디바이스 패턴 피처가 제2 디바이스 패턴 피처보다 사양을 벗어날 가능성이 더 높고, 사양을 더 벗어난 것으로 예상되는 등으로 결정될 수 있다. 따라서, 제1 디바이스 패턴 피처에 대한 파라미터 기판 맵은 제2 디바이스 패턴 피처에 대한 파라미터 기판 맵보다 정정 분석에 있어서 더 크게 가중될 수 있다. 따라서 하나 이상의 가중치(1165)가 생성되고 파라미터 기판 맵의 세트(1163)와 함께 제공될 수 있고, 각각의 맵은 크리티컬 디바이스 패턴 피처로서 식별되는 개개의 디바이스 패턴 피처에 대한 것이다.
1170에서는, 하나 이상의 관심 파라미터 기판 맵(각각의 맵은 개개의 디바이스 패턴 피처에 대한 것임)에 기초하여 패터닝 프로세스의 적어도 일부의 하나 이상의 정정이 결정된다. 예를 들러 이러한 정정은 파라미터 기판 맵의 세트(1163)를 이용해 계산될 수 있으며, 각각의 맵은 크리티컬 디바이스 패턴 피처로서 식별되는 개개의 디바이스 패턴 피처에 대한 것이다. 추가적으로 또는 대안적으로, 정정은 하나 이상의 가중치(1165)를 고려할 수 있고, 예를 들어, a1 * F1 + ... + an * Fn 와 같이 가중된 메트릭이 사용될 수 있으며, 여기서 a는 가중치에 대응하는 것이고, F는 특정 디바이스 패턴 피처(예를 들어, 크리티컬 피처)에 대한 파라미터 기판 맵에 대응하는 것이며, n은 디바이스 패턴 피처의 수이다. 일 실시예에서, 정정은 패터닝 프로세스의 적어도 일부의 실제 또는 예상된 거동을 고려할 수 있다.
1180에서, 하나 이상의 정정은 패터닝 프로세스에 사용된 장치에 의해 적용될 수 있다. 일 실시예에서, 정정은 장치에서 사용하기 위해 전환/변환될 수 있다. 일 실시예에서, 단계 1110, 1120, 1150, 1160 및/또는 1170 중 임의의 하나 이상의 단계의 분석이 장치에서 수행될 수 있다(1180).
따라서, 일 실시예에서, 적어도 기판 맵에 기초하여(예를 들어, 가중된 방식으로), 즉 패터닝 프로세스 시스템의 하나 이상의 정정 메커니즘의 능력 내에서, 정정이 결정되어 디바이스 패턴 피처의 개선된 생성을 이끌 수 있다. 예를 들어 리소그래피 장치의 경우, 파라미터 기판 맵은, 하나 이상의 디바이스 패턴 피처의 생성에 대한 정정을 시행하는 리소그래피 장치의 하나 이상의 제어 파라미터(예를 들어, 포커스, 선량, 광학 수차, X, Y 및/또는 Z 위치 등)의 값을 생성하는 데에 사용될 수 있다. 일 실시예에서, 파라미터 기판 맵은, 가장 크리티컬한 디바이스 패턴 피처에 대한 정정을 타겟으로, 디바이스 패턴 피처 가중화에 기초하는 리소그래피 장치 패턴 전사 제어를 가능하게 한다.
일 실시예에서, 특정 디바이스 피처에 대한 파라미터 기판 맵은, 설계, 정정의 결정 등의 목적으로 다른 관심 파라미터의 하나 이상의 다른 파라미터 기판 맵(디바이스 피처에 특정적이거나 그렇지 않을 수 있음)과 함께 사용될 수 있다. 예를 들어, 오버레이의 기판 맵은 오버레이 정정을 위해 포커스 및/또는 CD의 기판 맵(이러한 기판 맵은 오버레이 맵의 특별한 피처에 특정적이거나 또는 포괄적인 것일 수 있음)과 함께 사용될 수 있다. 예를 들어, 포커스 기판 맵으로부터의 디포커스는 예를 들어 투영 시스템 수차로 인한 오버레이 시프트의 정정을 위한 정보를 제공할 수도 있다.
검토하자면, 컴퓨테이션 리소그래피 및 계측 시뮬레이션(예컨대, 계측 타겟 측정 시뮬레이션과 풀 칩 컴퓨테이션 리소그래피의 조합), 계측 타겟 측정(예컨대, ADI/AEI 오버레이 측정) 및 온-디바이스 측정의 조합에 의해 디바이스 패턴 피처별로 관심 파라미터(예컨대, 오버레이) 기판 맵의 생성이 제공된다. 실질적으로, 기판에 걸쳐 관심 파라미터의 개선된 표현을 제공하기 위해 컴퓨테이션 필드내 샘플링이 제공된다. 하나 이상의 기판 맵으로, 하나 이상의 특정 디바이스 패턴 피처에 대한 관심 파라미터를 개선하는 것을 돕기 위해 하나 이상의 기판 맵에 기초하여 패터닝 프로세스에 정정이 이루어질 수 있다. 예를 들어, 리소그래피 장치에 정정(예를 들어, 기판의 X 위치, Y 위치 및/또는 Z 위치의 정정, 광학 수차의 정정, 선량의 정정 등)이 이루어질 수 있다. 일 실시예에서, 복수의 기판 맵(각각 상이한 디바이스 패턴 피처에 대응함)이 가중된 조합으로 사용되어 정정을 수행한다. 따라서, 디바이스 패턴 피처 가중된 피드백이 패터닝 프로세스에서 적절한 장치에 제공되어 적절한 정정이 가능해진다.
오버레이의 실시예에서, 본 명세서에 설명한 기술은 컴퓨테이션 리소그래피를 이용해, 예를 들어 디바이스 패턴 레이아웃 정보 및 패터닝 프로세스 파라미터(예컨대, 광학 수차, 선량, 스테이지 위치 등)에 기초하여 특정한 디바이스 패턴 피처의 오버레이/패턴 시프트(예컨대, 정렬)를 예측할 수 있다. 계측 타겟으로부터의 오버레이/정렬 데이터는 선택된 위치에서 디바이스 패턴 피처의 예측된 오버레이/정렬을 고정(anchor)하는 데에 사용된다. 그런 다음, (조밀한 컴퓨테이션 리소그래피로부터의) 예측된 조밀한 오버레이 및 희박한 오버레이/정렬 측정을 기초로 하여 디바이스 패턴 피처에 특정적인 조밀한 오버레이/정렬 맵이 생성된다. 희박한 오버레이/정렬 측정을 예측된 조밀한 오버레이와 상관시키기 위해 온-디바이스 측정이 사용될 수 있다. 이러한 디바이스 패턴 피처에 특정적인 맵을 이용하여 정정을 생성할 수 있다. 예를 들어, 실제 또는 예상된 패터닝 프로세스 거동을 기초로 하여 크리티컬 디바이스 패턴 피처에 대한 맵이 식별될 수 있고, 정정에 사용될 수 있다. 또한, 특정 디바이스 패턴 피처(예를 들면, 보다 크리티컬한 디바이스 패턴 피처)의 타겟팅이 가능하도록 가중치를 특정 맵에 할당할 수 있다.
이와 같은 디바이스 패턴 피처별 관심 파라미터 기판 맵의 기술은 하나 이상의 이점을 제공할 수 있다. 예를 들어, 시뮬레이션으로부터 얻을 수 있는 상대적으로 높은 밀도를, 측정된 데이터(예컨대, 계측 타겟 데이터 및 온-디바이스 측정 데이터)를 이용한 검증과 조합함으로써, 적용가능한 디바이스 패턴 피처에 대한 관심 파라미터 정확도 오차를 줄일 수 있다. 나아가 이러한 기술은, 공간적인 정보가 시뮬레이션에 기초한 외삽을 통해 획득될 수 있고 파라미터 정보의 더 높은 공간 분해능을 달성할 수 있기 때문에 제한된 파라미터 측정 샘플링을 사용할 수 있다. 디바이스 패턴 피처별 기판 맵은 또한 디바이스 패턴 피처에 따라 상이한 프로세싱 지문의 분리를 가능하게 한다. 상이한 디바이스 패턴 피처는 상이한 필드간 및/또는 필드내 지문을 가질 수 있으므로, 지문의 분리는 패터닝 프로세스 시스템 내에서 정정 메커니즘을 사용하여 오차의 타겟팅을 개선할 수 있다. 나아가, 디바이스 패턴 피처의 가중된 정정은, 예를 들어 패터닝 프로세스 시스템 내에서 정정 메커니즘의 능력에 맞춰질 수 있는 보다 맞춤화된 필드내 정정을 가능하게 한다.
일 실시예에서, 계측 타겟은 디바이스 패턴 피처 및 계측 타겟을 생성할 때 광학 수차의 차이를 줄이거나 최소화하기 위해 디바이스 패턴 피처에 근접하게 위치된다. 그러나, 일 실시예에서, 이러한 시뮬레이션은 적절한 계측 타겟 선택 및 감도 분석에 의해 임의의 차이를 "메우기(bridge)" 위해 사용될 수 있다. 결과적으로, 디바이스 패턴 피처의 거동을 예측하기 위해 더 적은 계측 타겟이 필요할 수도 있다.
일 실시예에서, 컴퓨테이션 계측 시뮬레이션은 특정 디바이스 패턴 피처의 거동에 맞추어 최적화된 계측 타겟을 설계하는 데에 사용될 수 있다. 즉, 특정 계측 타겟의 피치, 세그먼트화 등은, 그러한 타겟으로부터 측정된 관심 파라미터가 다른 디바이스 패턴 피처에 비해 특정 디바이스 패턴 피처(예를 들면, 크리티컬 디바이스 패턴 피처)를 고도로(예컨대, 최상으로) 대표하도록 선택될 수 있다. 따라서, 디바이스 패턴 피처는 다양한 상이한 계측 타겟 유형을 가질 수 있고, 상이한 계측 타겟 유형은 다른 디바이스 패턴 피처보다 하나 이상의 특정 디바이스 패턴 피처를 더 잘 대표한다.
일 실시예에서, 온-디바이스 측정은 컴퓨테이션 리소그래피의 정확성을 검증하고 및/또는 컴퓨테이션 리소그래피 모델을 교정하는 데에 사용될 수 있다.
본 명세서의 방법의 결과(또는 본 명세서의 방법의 결과로부터 도출된 또 다른 결과(예를 들어, 결함 예측))는, 패터닝 프로세스 또는 그 안의 장치에서의 프로세스의 제어, 또는 패터닝 프로세스에 의해 생성된 기판의 모니터링, 패터닝 프로세스의 장치 또는 프로세스의 설계 등을 포함하여 다양한 목적으로 사용될 수 있다. 예를 들어, 이러한 결과 또는 그로부터 도출된 또 다른 결과는 기판의 추가적인 프로세싱을 위하여 또는 또 다른 기판의 프로세싱을 위하여 패터닝 프로세스의 장치 또는 프로세스를 변경하는 데에 사용될 수 있다. 예를 들어, 이러한 결과를 사용하여 결함을 예측할 수 있다. 결함의 예측은 예를 들어, 영향을 받은 영역을 검사하기 위해 계측 툴을 제어하고 및/또는 기판의 추가적인 프로세싱 또는 또 다른 기판의 프로세싱을 위해 패터닝 프로세스의 장치 또는 프로세스를 변경하는 데 사용될 수 있다. 나아가 이러한 결과는, 예를 들어 리소그래피 장치의 정정을 위한 선량 레시피를 도출함으로써 패터닝 프로세스를 설계하는 데에 사용될 수 있고, 이로써 패터닝 디바이스 및 그 패턴의 설계, 프로세스의 셋업 등이 가능해진다. 또한 이러한 결과는 모델 교정, 예를 들어, 광학 근접 정정 모델, 소스-마스크 최적화 모델, 리소그래피 제조 검사 모델, 레지스트 모델, 이미징 모델, 측정 모델(예를 들어, 측정의 프로세스 등)을 교정하는 데에 사용될 수 있다. 그 결과는, 추후 다양한 목적으로 사용될 수 있는 프로세스의 하나 이상의 변수(예를 들어, 최상의 노광 및/또는 최상의 선량)를 결정하는 데 사용될 수 있다. 이해하는 바와 같이, 이와 다른 많은 용도가 있을 수 있다.
패터닝 프로세스의 부분들을 모델링 및/또는 시뮬레이션하기 위한 예시적인 흐름도가 도 14에 도시되어 있다. 이해하는 바와 같이, 이러한 모델은 상이한 패터닝 프로세스를 나타낼 수 있고 아래에 설명한 모든 모델을 포함할 필요는 없다. 소스 모델(1200)은 패터닝 디바이스의 조명의 광학적 특성(방사선 세기 분포, 대역폭 및/또는 위상 분포를 포함)을 나타낸다. 소스 모델(1200)은, 개구수 설정, 조명 시그마(σ) 설정뿐만 아니라 임의의 특별한 조명 형태(예를 들어, 환형, 사중극자, 쌍극자 등의 축외 방사선 형태)를 포함하지만 이에 제한되지 않는 조명의 광학적 특성을 나타낼 수 있으며, 여기서 σ(또는 시그마)는 조명기의 외측 반경방향 치수이다.
투영 광학기 모델(1210)은 투영 광학기의 광학적 특성(투영 광학기에 의해 유발되는 방사선 세기 분포 및/또는 위상 분포의 변화를 포함)을 나타낸다. 투영 광학기 모델(1210)은 수차, 왜곡, 하나 이상의 굴절률, 하나 이상의 물리적 크기, 하나 이상의 물리적 치수 등을 포함하여 투영 광학기의 광학적 특성을 나타낼 수 있다.
패터닝 디바이스/설계 레이아웃 모델 모듈(1220)은 패터닝 디바이스의 패턴에서 설계 피처들이 어떻게 레이아웃되는지를 캡처하고, 예를 들어 미국 특허 제7,587,704호에 기술된 바와 같이 패터닝 디바이스의 상세한 물리적 특성의 표현을 포함할 수 있으며, 이러한 문헌의 내용은 원용에 의해 전체로서 본원에 포함된다. 일 실시예에서, 패터닝 디바이스/설계 레이아웃 모델 모듈(1220)은 설계 레이아웃(예를 들어, 집적 회로, 메모리, 전자 디바이스 등의 피처에 대응하는 디바이스 설계 레이아웃)의 광학적 특성(주어진 설계 레이아웃에 의해 유발되는 방사선 세기 분포 및/또는 위상 분포의 변화를 포함)을 나타내며, 이는 패터닝 디바이스 상에 또는 패터닝 디바이스에 의해 형성된 피처들의 배열의 표현이다. 리소그래피 투영 장치에 사용되는 패터닝 디바이스가 변경될 수 있기 때문에, 패터닝 디바이스의 광학적 특성을 적어도 조명 및 투영 광학기를 포함하는 리소그래피 투영 장치의 나머지의 광학적 특성과 분리하는 것이 바람직하다. 시뮬레이션의 목적은 종종, 예를 들어 엣지 배치 및 CD를 정확히 예측하려는 것이며, 이는 그 후 디바이스 설계와 대비될 수 있다. 디바이스 설계는 일반적으로 OPC 전(pre-OPC) 패터닝 디바이스 레이아웃으로 규정되며, GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷으로 제공될 것이다.
공간상(1230)은 소스 모델(1200), 투영 광학기 모델(1210) 및 패터닝 디바이스/설계 레이아웃 모델(1220)로부터 시뮬레이션될 수 있다. 공간상(AI)은 기판 레벨에서의 방사선 세기 분포이다. 리소그래피 투영 장치의 광학적 특성(예를 들어, 조명, 패터닝 디바이스 및 투영 광학기의 특성)은 공간상을 좌우한다.
기판 상의 레지스트 층은 공간상에 의해 노광되고 이러한 공간상은 잠재적인 "레지스트상"(RI)으로서 레지스트 층에 전사된다. 레지스트상(RI)은 레지스트 층에서의 레지스트의 가용성의 공간적 분포로서 규정될 수 있다. 레지스트상(1250)은 레지스트 모델(1240)을 사용하여 공간상(1230)으로부터 시뮬레이션될 수 있다. 레지스트 모델은 공간상으로부터 레지스트상을 계산하는 데에 사용될 수 있으며, 그 일례가 미국 특허 출원 공보 US 2009-0157360에 개시되어 있고, 그 개시내용은 원용에 의해 전체로서 본원에 포함된다. 레지스트 모델은 일반적으로, 예를 들어 기판 상에 형성된 레지스트 피처의 컨투어를 예측하기 위해 레지스트 노광, 노광 후 베이크(PEB) 및 현상 중에 발생하는 화학적 프로세스의 효과를 기술하는 것이므로, 이는 일반적으로 레지스트 층의 이러한 특성에만 관련된다(예를 들어, 노광, 노광 후 베이크 및 현상 중에 발생하는 화학적 프로세스의 효과). 일 실시예에서, 레지스트 층의 광학적 특성, 예를 들어, 굴절률, 막 두께, 전파 및 편광 효과는 투영 광학기 모델(1210)의 일부로서 캡처될 수 있다.
따라서, 일반적으로, 광학 및 레지스트 모델 사이의 연계는 레지스트 층 내에서 시뮬레이션된 공간상 세기이며, 이는 기판 상으로의 방사선의 투영, 레지스트 계면에서의 굴절 및 레지스트 막 스택에서의 다수의 반사로부터 유래한다. 방사선 세기 분포(공간상 세기)는 입사 에너지의 흡수에 의해 잠재적인 "레지스트상"으로 바뀌고, 이는 확산 프로세스 및 다양한 로딩 효과에 의해 추가로 수정된다. 풀 칩 응용을 위해 충분히 신속한 효율적인 시뮬레이션 방법은 2차원 공간상(및 레지스트상)에 의해 레지스트 스택에서 실제 3차원 세기 분포를 근사한다.
일 실시예에서, 레지스트상은 패턴 전사 후 프로세스 모델 모듈(1260)에 대한 입력으로 사용될 수 있다. 패턴 전사 후 프로세스 모델(1260)은 하나 이상의 레지스트 현상 후 프로세스(예를 들어, 에칭, 현상 등)의 성능을 규정한다.
패터닝 프로세스의 시뮬레이션은, 예를 들어 레지스트 및/또는 에칭된 이미지에서의 컨투어, CD, 엣지 배치(예를 들어, 엣지 배치 오차) 등을 예측할 수 있다. 따라서, 이러한 시뮬레이션의 목적은 예를 들어 인쇄된 패턴의 엣지 배치 및/또는 공간상 세기 기울기 및/또는 CD 등을 정확하게 예측하려는 것이다. 이들 값을 의도된 설계와 비교하여, 예를 들어 패터닝 프로세스를 정정하고 결함이 발생할 것으로 예상되는 위치를 식별할 수 있다. 의도된 설계는 일반적으로 OPC전(pre-OPC) 설계 레이아웃으로 규정되며, GDSII 또는 OASIS 또는 기타 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 것이다.
따라서, 모델 형식화(formulation)는 전반적인 프로세스의 알려진 물리적 및 화학적 성질의 전부는 아니지만 대부분을 기술하며, 각각의 모델 파라미터는 바람직하게는 별개의 물리적 또는 화학적 효과에 대응한다. 따라서 모델 형식화는 모델이 전반적인 제조 프로세스를 시뮬레이션하는 데 얼마나 잘 사용될 수 있는지에 대한 상한을 설정한다.
계측 프로세스를 모델링 및/또는 시뮬레이션하기 위한 예시적인 흐름도가 도 15에 도시되어 있다. 이해하는 바와 같이, 다음의 모델은 상이한 계측 프로세스를 나타낼 수 있고 아래에 설명한 모든 모델을 포함할 필요는 없다(예컨대, 일부가 조합될 수 있음). 소스 모델(1300)은 계측 타겟의 조명의 광학적 특성(방사선 세기 분포, 방사선 파장, 편광 등)을 나타낸다. 소스 모델(1300)은 파장, 편광, 조명 시그마(σ) 설정(여기서 σ(또는 시그마)는 조명기에서의 조명의 반경방향 치수), 임의의 특정 조명 형태(예를 들어, 환형, 사중극자, 쌍극자 등과 같은 축외 방사선 형태 등) 등을 포함하지만 이에 제한되지 않는 조명의 광학적 특성을 나타낼 수 있다.
계측 광학기 모델(1310)은 계측 광학기의 광학적 특성(계측 광학기에 의해 유발되는 방사선 세기 분포 및/또는 위상 분포의 변화를 포함)을 나타낸다. 계측 광학기(1310)는 계측 광학기에 의한 계측 타겟의 조명의 광학적 특성 및 계측 타겟으로부터 계측 장치 검출기를 향해 재지향된 방사선의 전달의 광학적 특성을 나타낼 수 있다. 계측 광학기 모델은 타겟의 조명 및 계측 타겟으로부터 검출기를 향해 재지향된 방사선의 전달을 수반하는 다양한 특성을 나타낼 수 있으며, 여기에는 수차, 왜곡, 하나 이상의 굴절률, 하나 이상의 물리적 크기, 하나 이상의 물리적 치수 등이 포함된다.
계측 타겟 모델(1320)은 계측 타겟에 의해 재지향되는 조명의 광학적 특성(계측 타겟에 의해 유발된 조명 방사선 세기 분포 및/또는 위상 분포의 변화를 포함)을 나타낼 수 있다. 따라서, 계측 타겟 모델(1320)은 계측 타겟에 의해 조명 방사선의 재지향된 방사선으로의 변환을 모델링할 수 있다. 따라서, 계측 타겟 모델은 계측 타겟으로부터 재지향된 방사선의 결과적인 조명 분포를 시뮬레이션할 수 있다. 계측 타겟 모델은 타겟의 조명 및 계측으로부터 재지향된 방사선의 생성을 포함하는 다양한 특성을 나타낼 수 있는데, 여기에는 하나 이상의 굴절률, 계측의 하나 이상의 물리적 크기, 계측 타겟의 물리적 레이아웃 등이 포함된다. 사용되는 계측 타겟이 변경될 수 있기 때문에, 계측 타겟의 광학적 특성을 적어도 조명 및 투영 광학기와 검출기를 포함하는 계측 장치의 나머지의 광학적 특성과 분리하는 것이 바람직하다. 시뮬레이션의 목적은 종종, 예를 들어 세기, 위상 등을 정확하게 예측하려는 것이며, 이는 오버레이, CD, 포커스 등과 같은 패터닝 프로세스의 관심 파라미터를 도출하는 데 사용될 수 있다.
퓨필 또는 공간상(1330)은 소스 모델(1300), 계측 광학기 모델(1310) 및 계측 타겟 모델(1320)로부터 시뮬레이션될 수 있다. 퓨필 또는 공간상은 검출기 레벨에서의 방사선 세기 분포이다. 계측 광학기 및 계측 타겟의 광학적 특성(예를 들어, 조명, 계측 타겟 및 계측 광학기의 특성)은 퓨필 또는 공간상을 좌우한다.
계측 장치의 검출기는 퓨필 또는 공간상에 노출되고 퓨필 또는 공간상의 하나 이상의 광학적 특성(예를 들어, 세기, 위상 등)을 검출한다. 검출 모델 모듈(1320)은 계측 광학기로부터의 방사선이 계측 장치의 검출기에 의해 어떻게 검출되는지를 나타낸다. 검출 모델은 검출기가 퓨필 또는 공간상을 어떻게 검출하는지를 기술할 수 있고, 신호 대 잡음, 검출기 상의 입사 방사선에 대한 감도 등을 포함할 수 있다. 따라서, 일반적으로, 계측 광학기 모델과 검출기 모델 사이의 연계는 시뮬레이션된 퓨필 또는 공간상이며, 이는 광학기에 의한 계측 타겟의 조명, 타겟에 의한 방사선의 재지향 및 재지향된 방사선의 검출기로의 전달로부터 발생한다. 방사선 분포(퓨필 또는 공간상)는 검출기 상에서의 입사 에너지의 흡수에 의해 검출 신호로 전환된다.
계측 프로세스의 시뮬레이션은 예를 들어, 검출기에서의 공간 세기 신호, 공간 위상 신호 등을 예측하거나 검출 시스템으로부터의 다른 계산된 값, 예컨대 검출기에 의한 퓨필 또는 공간상의 검출에 기초하는 오버레이, CD 등의 값을 예측할 수 있다. 따라서, 시뮬레이션의 목적은 예를 들어 계측 타겟에 대응하는 검출기 신호 또는 도출된 값, 예컨대 오버레이, CD 등을 정확하게 예측하려는 것이다. 이들 값을 의도된 설계 값과 비교하여, 예를 들어 패터닝 프로세스를 정정하고 결함이 발생할 것으로 예상되는 위치를 식별할 수 있다.
따라서, 모델 형식화는 전반적인 계측 프로세스의 알려진 물리적 및 화학적 성질의 전부는 아니지만 대부분을 기술하며, 각각의 모델 파라미터는 바람직하게는 계측 프로세스에서 별개의 물리적 및/또는 화학적 효과에 대응한다.
일 실시예로서 방법이 제공되는데, 이러한 방법은: 패터닝 프로세스를 사용하여 생성되는 기판의 디바이스 패턴의 복수의 피처 중 각각의 특정 피처에 대하여, 상기 기판에 대한 측정 타겟과 상기 특정 피처 사이에 상기 패터닝 프로세스의 파라미터의 모델링되거나 시뮬레이션된 관계를 획득하는 단계; 및 계측 타겟으로부터 상기 파라미터의 측정된 값과 상기 관계에 기초하여, 각각의 피처에 대해 상기 기판의 적어도 일부에 걸쳐 상기 파라미터의 분포를 생성하는 단계를 포함하되, 상기 분포는 상기 패터닝 프로세스의 설계, 제어 또는 수정에 사용되기 위한 것이다.
일 실시예로서, 상기 분포를 생성하는 단계는 추가적으로 상기 피처 자체로부터 상기 파라미터의 측정된 값에 기초하는 것이다. 일 실시예로서, 상기 관계는 상기 피처 자체로부터 상기 파라미터의 측정된 값을 사용하여 상관되는 것이다. 일 실시예로서, 상기 방법은 상기 복수의 피처 중 하나 이상의 피처를 크리티컬 피처로 식별하기 위해 패터닝 프로세스의 적어도 일부의 실제 또는 예상된 거동과 조합하여 상기 분포를 분석하는 단계를 더 포함한다. 일 실시예로서, 상기 방법은 상기 피처 중 하나의 피처의 분포를 상기 피처 중 다른 하나의 피처의 분포와 상이하게 가중하기 위한 하나 이상의 가중치를 생성하는 단계를 더 포함한다. 일 실시예로서, 상기 분포는 상기 계측 타겟으로부터 상기 파라미터의 측정된 값보다 큰 파라미터 정보 밀도를 갖는다. 일 실시예로서, 각각의 상기 분포의 데이터는 상기 기판에 걸쳐 위치되는 복수의 필드/다이 각각에 대해 특정된다. 일 실시예로서, 상기 방법은 상기 파라미터의 복수의 분포에 기초하여 상기 패터닝 프로세스의 적어도 일부에 대한 정정을 생성하는 단계를 더 포함한다. 일 실시예로서, 상기 방법은 상기 파라미터의 분포 및 상이한 파라미터의 분포 중 적어도 하나에 기초하여 상기 패터닝 프로세스의 적어도 일부에 대한 정정을 생성하는 단계를 더 포함한다. 일 실시예로서, 상기 패터닝 프로세스의 파라미터는 오버레이 및/또는 엣지 배치 오차를 포함한다.
일 실시예로서 방법이 제공되는데, 이러한 방법은: 기판의 적어도 일부에 걸쳐, 상기 기판의 디바이스 패턴의 복수의 피처 중 각각의 특정 피처의 패터닝 프로세스의 파라미터의 분포를 획득하는 단계 - 상기 패터닝 프로세스는 상기 디바이스 패턴을 생성하는 데 사용됨 -; 및 상기 분포에 기초하여 상기 패터닝 프로세스를 설계, 제어 또는 수정하는 단계를 포함한다.
일 실시예로서, 상기 방법은 상기 파라미터의 복수의 분포의 조합에 기초하여 상기 패터닝 프로세스의 적어도 일부에 대한 정정을 생성하는 단계를 포함한다. 일 실시예로서, 상기 방법은 상기 파라미터의 분포 및 상이한 파라미터의 분포 중 적어도 하나에 기초하여 상기 패터닝 프로세스의 적어도 일부에 대한 정정을 생성하는 단계를 포함한다. 일 실시예로서, 상기 정정은 패터닝 프로세스에 사용되는 리소그래피 장치의 제어 파라미터의 값이다. 일 실시예로서, 상기 방법은 상기 패터닝 프로세스를 설계, 제어 또는 수정함에 있어서 상기 피처 중 하나의 피처의 분포를 상기 피처 중 다른 하나의 피처의 분포와 상이하게 가중하기 위한 하나 이상의 가중치를 생성하는 단계를 포함한다. 일 실시예로서, 상기 분포는 패터닝 프로세스의 적어도 일부의 실제 또는 예상된 거동에 기초하여 크리티컬 피처로서 식별된 피처와 연관된다. 일 실시예로서, 상기 분포는 상기 계측 타겟으로부터 상기 파라미터의 측정된 값보다 큰 파라미터 정보 밀도를 갖는다. 일 실시예로서, 각각의 상기 분포의 데이터는 상기 기판에 걸쳐 위치되는 복수의 필드/다이 각각에 대해 특정된다. 일 실시예로서, 상기 방법은 각각의 특정한 피처에 대하여, 기판에 대한 측정 타겟과 특정한 피처 사이에 파라미터의 모델링된 또는 시뮬레이션된 관계를 획득하는 단계, 및 계측 타겟으로부터 파라미터의 측정된 값에 기초해, 각각의 피처에 대한 파라미터의 분포를 생성하는 단계를 더 포함한다. 일 실시예로서, 상기 패터닝 프로세스의 파라미터는 오버레이 및/또는 엣지 배치 오차를 포함한다.
일 실시예로서, 컴퓨테이션 리소그래피 및 계측 시뮬레이션(예컨대, 계측 타겟 측정 시뮬레이션과 풀 칩 컴퓨테이션 리소그래피의 조합), 계측 타겟 측정(예컨대, ADI/AEI 오버레이 측정) 및 온-디바이스 측정 중 하나 이상의 조합에 의해 디바이스 패턴 피처별로 엣지 배치 오차 기판 맵(분포)을 생성하기 위한 방법이 제공된다. 실질적으로, 기판에 걸쳐 엣지 배치 오차의 개선된 표현을 제공하기 위해 컴퓨테이션 필드내 샘플링이 제공된다. 하나 이상의 기판 맵으로, 하나 이상의 특정 디바이스 패턴 피처에 대한 엣지 배치 오차를 개선하는 것을 돕기 위해 하나 이상의 기판 맵에 기초하여 패터닝 프로세스에서 정정이 이루어질 수 있다.
일 실시예에서, 엣지 배치 오차 기판 맵은 복수의 계측 데이터 유형을 사용하여 구성된다. 예를 들어 CD의 온-디바이스 e-빔 측정은 오버레이의 산란계측 기반 측정과 조합된다. 이러한 측정은 기판에 제공된 하나 이상의 층과 더 연관될 수 있으며, 예를 들어 오버레이 및/또는 CD 데이터는 2개, 3개 또는 그 이상의 층에 대해 이용가능할 수 있다.
일 실시예에서, 엣지 배치 오차 기판 맵은 시뮬레이션 및 측정된 CD 및 오버레이 데이터에 기초한다. 시뮬레이션된 데이터는 알려진 컨텍스트 또는 노광전 데이터, 예를 들어 오버레이를 예측하는 데 사용되는 정렬 지문 및 CD 오차를 예측하는 데 사용되는 기판의 레벨링 맵에 기초할 수 있다. 측정된 데이터는 복수의 계측 툴, 예를 들어 e-빔 검사 툴, 주사 전자 현미경, 스캐터로미터 또는 이미지 기반 검사 툴로부터 얻을 수 있다. 측정된 데이터는 복수의 피처(예를 들어, 계측 구조체, 디바이스 구조체)와 연관된 CD, 오버레이 또는 엣지 배치 오차 데이터를 포함할 수 있다. 측정된 데이터는 기판 상의 복수의 층과 관련된 추가적인 CD, 오버레이 또는 엣지 배치 오차 데이터를 포함할 수 있다.
엣지 배치 오차는 CD 오차 및 오버레이 오차 모두에 선형적으로 의존하며, CD 오차는 전형적으로 다양한 공간적 스케일로 측정된 및/또는 시뮬레이션된 CD 변동으로부터 계산된다. 일반적으로 다음의 세 가지 공간적 스케일이 특히 중요하다: 1) 기판에 걸친 CD의 전역 지문과 연관된 스케일, 2) 통상적으로 ~ 1 um 내지 1 mm 범위에 걸친 CD 변동의 공간적 스케일과 연관된 국소적인 스케일, 및 3) 단일 피처/구조체와 연관된, 통상적으로 러프니스(roughness) 관련 CD 오차(LER = 라인 엣지 러프니스(Line Edge Roughness) 및 LWR = 라인 폭 러프니스(Line Width Roughness)라고 함)와 연관된 공간적 스케일. 일반적으로 전역 공간적 스케일 CD 변동은 전역 CD 균일성 파라미터(CDU)로 캡처되고, 국소 CD 변동은 국소 CDU(LCDU) 파라미터로 캡처되며, 러프니스 관련 CD 오차는 LER(Line Edge Roughness) 파라미터로 캡처된다. 상이한 공간적 스케일과 연관된 CD 오차가 엣지 배치 오차에 대한 그의 연관된 영향을 대표하는 CD(오차) 값을 얻기 위해 통계적으로 추가될 수 있다. 그 다음에 이러한 대표 CD 값은 결정된 오버레이 오차에 추가되어 재구성된 엣지 배치 오차 기판 맵을 도출할 수 있다.
엣지 배치 오차 맵을 도출하기 위해 다수 유형의 계측 툴을 이용하는 것은 특히 제1 계측 툴 및 제2 계측 툴이 상보적인 파라미터 데이터(예를 들어, CD 및 오버레이 오차 데이터)를 전달하는 경우에 유용하다. 다른 예로서, 파라미터 데이터는 계측 툴의 측정에 의해 커버되는 공간적 스케일의 의미에서 상보적이다. 예를 들어, 큰 관측시야(FOV) e-빔 검사 툴은 높은 분해능으로 그리고 비교적 넓은 영역(~ 10X10um^2)에 대하여 대량의 CD 데이터를 제공할 수 있어, 다수의 관심 피처들에 대해 LCDU 및 LER 양자 모두를 효율적으로 정량화할 수 있게 된다. 스캐터로미터가 일반적으로 CD 및/또는 오버레이의 전역 지문을 결정하는 데에 사용된다. 두 가지 툴을 모두 사용하면 더 작은 공간적 규모의 변동을 고려하면서 기판 전역의 엣지 배치 오차를 재구성할 수 있다.
엣지 배치 오차 기판 맵은 디바이스 피처(구조체)별로 그리고 층별로 재구성될 수 있다. 일례에서, 오버레이 및 CD 오차는 층별로 계측 구조체 상에서 측정된다. CD 및 오버레이의 디바이스 피처의 기판 맵은, 알려진 파라미터 변동(수차 및 스테이지 부정확성 등)에 대한 디바이스와 계측 구조체 간의 알려진(시뮬레이션된) 감도 차이 및 측정에 기초하여 계산될 수 있다. CD 및 오버레이 기판 맵을 조합함으로써 각각의 (크리티컬) 디바이스 구조체의 층별 엣지 배치 오차 기판 맵이 획득된다.
엣지 배치 오차 기판 맵의 재구성은 기판 상의 다양한 층들 사이의 상관에 대한 정보를 이용할 수 있다; 예를 들어, 종종 제1 층에 대한 특정 오버레이 기판 맵은 제2 층에 대한 오버레이 기판 맵과 상관되는 것으로 알려져 있다. 따라서, 특정 층과 연관된 엣지 배치 오차 기판 맵은 (부분적으로) 다른 층과 관련된 측정 및/또는 시뮬레이션된 데이터에 기초할 수 있다.
이러한 엣지 배치 오차의 재구성은, 실질적으로 디바이스 피처의 3 차원(3D) 특성이 특성화될 수 있도록 다수의 층에 걸쳐 확장될 수 있으며, 3D 재구성은 기판 상의 복수의 층에 걸친(재구성된) 엣지 배치 오차 기판 맵을 이용한다.
도 16은 본 명세서에 개시된 방법 및 흐름을 구현하는 데에 도움이 될 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 매커니즘과, 정보를 프로세싱하기 위하여 버스(102)와 커플링된 프로세서(104)(또는 여러 프로세서들(104 및 105)을 포함한다. 컴퓨터 시스템(100)은 프로세서(104)에 의하여 실행될 정보 및 명령을 저장하기 위하여 버스(102)에 커플링되는, 랜덤 액세스 메모리(RAM) 또는 다른 동적 스토리지 디바이스와 같은 메인 메모리(106)를 더 포함한다. 메인 메모리(106)는 프로세서(104)에 의하여 실행될 명령이 실행되는 도중에 일시적 변수 또는 다른 중간 정보를 저장하기 위해서도 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령을 저장하기 위하여 버스(102)에 커플링된 판독 전용 메모리(ROM)(108) 또는 다른 정적 스토리지 디바이스를 더 포함한다. 자기적 디스크 또는 광학적 디스크와 같은 스토리지 디바이스(110)가 제공되고 정보 및 명령을 저장하기 위하여 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 정보를 컴퓨터 사용자에게 디스플레이하기 위하여, 버스(102)를 통해서 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 키와 다른 키들을 포함하는 입력 디바이스(114)는 정보 및 커맨드 셀렉션을 프로세서(104)로 통신하기 위하여 버스(102)에 커플링된다. 다른 타입의 사용자 입력 디바이스는, 지시 정보와 커맨드 셀렉션을 프로세서(104)로 통신하고 디스플레이(112) 상에서의 커서 움직임을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 콘트롤(116)이다. 이러한 입력 디바이스는 통상적으로 두 개의 축인 제1 축(예를 들어, x)과 제2 축(예를 들어, y)에서 2-자유도를 가져서, 디바이스가 평면에서의 위치를 특정하게 한다. 터치 패널(스크린) 디스플레이가 입력 디바이스로서 사용될 수도 있다.
일 실시예에 따르면, 프로세서(104)가 메인 메모리(106) 내에 저장된 하나 이상의 명령 중 하나 이상의 시퀀스를 실행하는 것에 응답하여, 프로세스의 부분들이 컴퓨터 시스템(100)에 의해 수행될 수 있다. 이러한 명령들은 스토리지 디바이스(110)와 같은 다른 컴퓨터-판독가능 매체로부터 메인 메모리(106)로 독출될 수 있다. 메인 메모리(106)에 포함된 명령의 시퀀스를 실행하면, 프로세서(104)는 본 명세서에서 설명되는 프로세스 단계들을 수행하게 된다. 메인 메모리(106)에 포함된 명령의 시퀀스를 실행하기 위하여, 다중 프로세싱 장치 내의 하나 이상의 프로세서가 채용될 수도 있다. 다른 실시예에서, 소프트웨어 명령 대신에 또는 이와 조합되어 유선 회로부가 사용될 수도 있다. 따라서, 본 명세서의 설명은 하드웨어 회로와 소프트웨어의 임의의 특정한 조합으로 한정되지 않는다.
"컴퓨터-판독가능 매체"라는 용어는 본 명세서에서 사용될 때 실행되도록 프로세서(104)에 명령을 제공하는 데에 관여하는 임의의 유형의(tangible) 매체를 지칭한다. 이러한 매체는 비-휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하지만 이들로 한정되지는 않는 많은 형태를 취할 수도 있다. 비-휘발성 매체는 예를 들어, 스토리지 디바이스(110)와 같은 광학적 또는 자기적 디스크를 포함한다. 휘발성 매체는 메인 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어를 포함하는 동축 케이블, 구리 배선, 및 광섬유(fiber optics)를 포함한다. 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 중에 생성되는 것과 같은 음파 또는 광파의 형태를 띨 수도 있다. 컴퓨터-판독가능 매체의 공통 형태는, 예를 들어 플로피 디스크, 가요성 디스크, 하드 디스크, 자기 테이프, 및 임의의 다른 자기적 매체, CD-ROM, DVD, 임의의 다른 광학적 매체, 펀치 카드, 종이 테이프, 홀들의 패턴을 가진 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH EPROM, 임의의 다른 메모리 칩 또는 카트리지, 후술될 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능 매체들이, 실행을 위해 하나 이상의 명령의 하나 이상의 시퀀스를 프로세서(104)에 전달하는 것에 관여할 수 있다. 예를 들어, 명령들은 처음에 원격 컴퓨터의 자기적 디스크 상에 보유될 수도 있다. 원격 컴퓨터는 명령들을 자신의 동적 메모리 내로 로딩하고 명령들을 모뎀을 사용하여 전화선을 통해 전송할 수 있다. 컴퓨터 시스템(100)에 국소적인 모뎀은 전화선에서 데이터를 수신하고, 적외선 송신기를 사용하여 이러한 데이터를 적외선 신호로 변환한다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호에서 전달되는 데이터를 수신하고, 이러한 데이터를 버스(102)에 로딩할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 전달하며, 프로세서(104)는 이로부터 명령들을 취출하고 실행한다. 메인 메모리(106)로부터 수신된 명령들은 프로세서(104)에 의한 실행 이전에 또는 그 이후에 선택적으로 스토리지 디바이스(110)에 저장될 수 있다.
컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 더 포함하는 것이 바람직하다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결된 네트워크 링크(120)로 양-방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하기 위한 종합 정보 통신망(integrated services digital network; ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(118)는 호환가능한 LAN에 데이터 통신 연결을 제공하기 위한 근거리 네트워크(LAN) 카드일 수 있다. 무선 링크가 구현될 수도 있다. 임의의 이러한 구현형태에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호를 전송하고 수신한다.
네트워크 링크(120)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124) 또는 인터넷 서비스 제공자(ISP)(126)에 의하여 작동되는 데이터 장비로 연결을 제공할 수 있다. 이제 ISP(126)는, 현재 일반적으로 "인터넷(128)"이라고 불리는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122)와 인터넷(128) 양자 모두는 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호를 사용한다. 컴퓨터 시스템(100)으로의 또는 그로부터의 디지털 데이터를 전달하는, 다양한 네트워크들을 통과하는 신호와 네트워크 링크(120)를 통과하고 통신 인터페이스(118)를 통과하는 신호는 정보를 수송하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해서, 메시지를 전송하고 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷의 예에서, 서버(130)는 애플리케이션 프로그램에 대한 요청된 코드를 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 송신할 수 있다. 하나의 이러한 다운로드된 애플리케이션은 예를 들어 실시예의 조명 최적화를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의하여 실행되고, 및/또는 추후에 실행되도록 스토리지 디바이스(110), 또는 다른 비-휘발성 스토리지에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 애플리케이션 코드를 반송파의 형태로 획득할 수 있다.
본 발명의 추가 실시예는 아래의 번호가 매겨진 실시예의 목록으로 제시된다:
1. 방법으로서,
패터닝 프로세스를 사용하여 생성되는 기판의 디바이스 패턴의 복수의 피처 중 각각의 특정 피처에 대하여, 상기 기판에 대한 측정 타겟과 상기 특정 피처 사이에 상기 패터닝 프로세스의 파라미터의 모델링되거나 시뮬레이션된 관계를 획득하는 단계; 및
계측 타겟으로부터 상기 파라미터의 측정된 값과 상기 관계에 기초하여, 각각의 피처에 대해 상기 기판의 적어도 일부에 걸쳐 상기 파라미터의 분포를 생성하는 단계를 포함하되, 상기 분포는 상기 패터닝 프로세스의 설계, 제어 또는 수정에 사용되기 위한 것인 방법.
2. 제1실시예에 있어서, 상기 분포를 생성하는 단계는 추가적으로 상기 피처 자체로부터 상기 파라미터의 측정된 값에 기초하는 것인 방법.
3. 제2실시예에 있어서, 상기 관계는 상기 피처 자체로부터 상기 파라미터의 측정된 값을 사용하여 상관되는 것인 방법.
4. 제1실시예 내지 제3실시예 중 어느 한 실시예에 있어서, 상기 복수의 피처 중 하나 이상의 피처를 크리티컬 피처로 식별하기 위해 패터닝 프로세스의 적어도 일부의 실제 또는 예상된 거동과 조합하여 상기 분포를 분석하는 단계를 더 포함하는 방법.
5. 제1실시예 내지 제4실시예 중 어느 한 실시예에 있어서, 상기 피처 중 하나의 피처의 분포를 상기 피처 중 다른 하나의 피처의 분포와 상이하게 가중하기 위한 하나 이상의 가중치를 생성하는 단계를 더 포함하는 방법.
6. 제1실시예 내지 제5실시예 중 어느 한 실시예에 있어서, 상기 분포는 상기 계측 타겟으로부터 상기 파라미터의 측정된 값보다 큰 파라미터 정보 밀도를 갖는 방법.
7. 제1실시예 내지 제6실시예 중 어느 한 실시예에 있어서, 각각의 상기 분포의 데이터는 상기 기판에 걸쳐 위치되는 복수의 필드/다이 각각에 대해 특정되는 방법.
8. 제1실시예 내지 제7실시예 중 어느 한 실시예에 있어서, 상기 파라미터의 복수의 분포에 기초하여 상기 패터닝 프로세스의 적어도 일부에 대한 정정을 생성하는 단계를 더 포함하는 방법.
9. 제1실시예 내지 제8실시예 중 어느 한 실시예에 있어서, 상기 파라미터의 분포 및 상이한 파라미터의 분포 중 적어도 하나에 기초하여 상기 패터닝 프로세스의 적어도 일부에 대한 정정을 생성하는 단계를 더 포함하는 방법.
10. 제1실시예 내지 제9실시예 중 어느 한 실시예에 있어서, 상기 패터닝 프로세스의 파라미터는 오버레이 및/또는 엣지 배치 오차를 포함하는 방법.
11. 제1실시예 내지 제9실시예 중 어느 한 실시예에 있어서, 상기 패터닝 프로세스의 파라미터는 포커스를 포함하고, 상기 측정 타겟은 포커스 타겟을 포함하며, 상기 관계는, 상기 측정 타겟과 상기 특정 피처 간의 포커스 차이의 맵을 결정하기 위해, 상기 측정 타겟 및 상기 특정 피처에 대한 포커스의 측정된 또는 시뮬레이션된 수차 감도를 상기 패터닝 프로세스의 측정된 또는 모델링된 수차와 조합함으로써 획득되는 것인 방법.
12. 방법으로서,
기판의 적어도 일부에 걸쳐, 상기 기판의 디바이스 패턴의 복수의 피처 중 각각의 특정 피처의 패터닝 프로세스의 파라미터의 분포를 획득하는 단계 - 상기 패터닝 프로세스는 상기 디바이스 패턴을 생성하는 데 사용됨 -; 및
상기 분포에 기초하여 상기 패터닝 프로세스를 설계, 제어 또는 수정하는 단계를 포함하는 방법.
13. 제12실시예에 있어서, 상기 파라미터의 복수의 분포의 조합에 기초하여 상기 패터닝 프로세스의 적어도 일부에 대한 정정을 생성하는 단계를 더 포함하는 방법.
14. 제12실시예 또는 제13실시예에 있어서, 상기 파라미터의 분포 및 상이한 파라미터의 분포 중 적어도 하나에 기초하여 상기 패터닝 프로세스의 적어도 일부에 대한 정정을 생성하는 단계를 더 포함하는 방법.
15. 제13실시예 또는 제14실시예에 있어서, 상기 정정은 패터닝 프로세스에 사용되는 리소그래피 장치의 제어 파라미터의 값인 방법.
16. 제12실시예 내지 제15실시예 중 어느 한 실시예에 있어서, 상기 패터닝 프로세스를 설계, 제어 또는 수정함에 있어서 상기 피처 중 하나의 피처의 분포를 상기 피처 중 다른 하나의 피처의 분포와 상이하게 가중하기 위한 하나 이상의 가중치를 이용하는 단계를 더 포함하는 방법.
17. 제12실시예 내지 제16실시예 중 어느 한 실시예에 있어서, 상기 분포는 패터닝 프로세스의 적어도 일부의 실제 또는 예상된 거동에 기초하여 크리티컬 피처로서 식별된 피처와 연관되는 방법.
18. 제12실시예 내지 제17실시예 중 어느 한 실시예에 있어서, 상기 분포는 상기 계측 타겟으로부터 상기 파라미터의 측정된 값보다 큰 파라미터 정보 밀도를 갖는 방법.
19. 제12실시예 내지 제18실시예 중 어느 한 실시예에 있어서, 각각의 상기 분포의 데이터는 상기 기판에 걸쳐 위치되는 복수의 필드/다이 각각에 대해 특정되는 방법.
20. 제12실시예 내지 제19실시예 중 어느 한 실시예에 있어서, 각각의 특정한 피처에 대하여, 기판에 대한 측정 타겟과 특정한 피처 사이에 파라미터의 모델링된 또는 시뮬레이션된 관계를 획득하는 단계, 및 계측 타겟으로부터 파라미터의 측정된 값과 상기 관계에 기초해, 각각의 피처에 대한 파라미터의 분포를 생성하는 단계를 더 포함하는 방법.
21. 제12실시예 내지 제20실시예 중 어느 한 실시예에 있어서, 상기 패터닝 프로세스의 파라미터는 오버레이 및/또는 엣지 배치 오차를 포함하는 방법.
22. 제20 실시예에 있어서, 상기 패터닝 프로세스의 파라미터는 포커스를 포함하고, 상기 측정 타겟은 포커스 타겟을 포함하며, 상기 관계는, 상기 측정 타겟과 상기 특정 피처 간의 포커스 차이의 맵을 결정하기 위해, 상기 측정 타겟 및 상기 특정 피처에 대한 포커스의 측정된 또는 시뮬레이션된 수차 감도를 상기 패터닝 프로세스의 측정된 또는 모델링된 수차와 조합함으로써 획득되는 것인 방법.
23. 명령이 기록된 비-일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 프로덕트로서, 명령은 계측 장치의 제어기에 의해 실행될 때, 제1실시예 내지 제22실시예 중 어느 한 실시예의 방법을 구현하는 컴퓨터 프로그램 프로덕트.
24. 제10 실시예에 있어서, 상기 피처 자체로부터 상기 파라미터의 측정된 값 및/또는 상기 측정 타겟으로부터 상기 파라미터의 측정된 값은 적어도 2개의 상이한 유형의 계측 툴에 의해 수행된 측정에 기초하는 것인 방법.
25. 제24 실시예에 있어서, 각각의 유형의 계측 툴은 상기 패터닝 프로세스의 상이한 파라미터를 측정하도록 구성되는 방법.
26. 제24 실시예에 있어서, 각각의 유형의 계측 툴은 상이한 공간적 스케일로 상기 패터닝 프로세스의 파라미터를 측정하도록 구성되는 방법.
본 명세서의 실시예는 하드웨어, 펌웨어, 소프트웨어 또는 이들의 임의의 조합으로 구현될 수 있다. 본 개시내용의 실시예는 또한 하나 이상의 프로세서에 의해 판독되고 실행될 수 있는 기계 판독가능한 매체 상에 저장된 명령으로서 구현될 수 있다. 기계 판독가능한 매체는 기계(예를 들어, 컴퓨팅 디바이스)에 의해 판독가능한 형태로 정보를 저장 또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계 판독가능한 매체는 판독 전용 메모리(ROM); 랜덤 액세스 메모리(RAM); 자기 디스크 저장 매체; 광 저장 매체; 플래시 메모리 디바이스; 전기, 광학, 음향 또는 기타 다른 형태의 전파 신호(예를 들어, 반송파, 적외선 신호, 디지털 신호 등) 등을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴, 명령이 특정 동작을 수행하는 것으로 본 명세서에서 설명될 수 있다. 그러나, 이러한 설명은 단지 편의를 위한 것이며, 그러한 동작은 실제로 컴퓨팅 디바이스, 프로세서, 제어기, 또는 펌웨어, 소프트웨어, 루틴, 명령 등을 실행하는 다른 디바이스로부터 기인한다는 점을 인식해야 할 것이다.
블록도에서, 예시된 컴포넌트들은 이산적인 기능 블록으로서 도시되지만, 실시예들은 본 명세서에서 설명된 기능이 도시된 바와 같이 조직화된 시스템으로 한정되지 않는다. 컴포넌트들 각각에 의해 제공되는 기능은 도면에 도시된 것과 달리 조직화된 소프트웨어 또는 하드웨어 모듈에 의하여 제공될 수 있으며, 예를 들어 이러한 소프트웨어 또는 하드웨어는 상호혼합, 공동결합, 복제, 분리, 분산(예를 들어 데이터 센터 내에서 또는 지리적으로), 또는 다른 식으로 조직화될 수 있다. 본 명세서에서 설명된 기능은 유형의(tangible) 비-일시적 기계 판독가능 매체에 저장된 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수 있다. 일부 경우에, 제3 자 콘텐츠 전달 네트워크가 네트워크들을 거쳐 전달되는 정보의 일부 또는 전부를 호스팅할 수 있는데, 이러한 경우에, 정보(예를 들어, 콘텐츠)가 공급되거나 다른 방식으로 제공된다고 언급되는 범위에서, 이러한 정보는 해당 정보를 콘텐츠 전달 네트워크로부터 취출하라는 명령을 전송함으로써 제공될 수 있다.
명백하게 달리 진술되지 않는 한, 본 명세서로부터 명백한 것처럼, 명세서 전체를 통해 "프로세싱" "컴퓨팅" "계산" "결정" 등과 같은 용어를 활용한 설명은 또는 전용 컴퓨터 또는 유사한 전용 전자 프로세싱/계산 디바이스와 같은 특정 장치의 동작 또는 프로세스를 가리키는 것이라는 점이 이해된다.
독자는 본 발명이 여러 가지의 발명을 기술한다는 것을 이해해야 한다. 그와 관련된 기술 요지가 출원 프로세스에서 경제성을 스스로 가질 수 있기 때문에, 그러한 발명들을 다수의 개별 특허 출원으로 분리하는 것보다, 출원인은 이러한 발명들을 단일 문서 내에 그룹화하였다. 하지만 이러한 발명들의 별개의 장점 및 양태들은 합쳐져서는 안된다. 일부 경우에, 실시예들은 본 명세서에 지적된 흠결들 모두를 해결하지만, 이러한 발명들이 독립적으로 유용하며, 일부 실시예는 이러한 문제점들의 부분 집합만을 해결하거나, 본 명세서를 검토한 당업자에게는 명백하게 이해될 언급되지 않은 다른 장점들을 제공한다는 것이 이해되어야 한다. 비용 제약으로 인해, 본 명세서에 개시된 일부 발명은 현재로서는 청구되지 않으며, 계속 출원과 같은 후속하는 출원에서 또는 현재의 청구항을 정정함으로써 청구될 수 있다. 이와 마찬가지로 공간 제약으로 인해, 본 명세서의 발명의 내용 섹션은 이러한 모든 발명 또는 이러한 발명의 모든 양태에 대한 광범위한 나열을 포함하고 있는 것으로 간주되어서는 안된다.
상세한 설명 및 도면은 본 발명을 개시된 특정한 형태로 한정시키려는 의도가 전혀 없으며, 그 반대로 본 발명은 첨부된 청구범위에 규정되는 바와 같은 본 발명의 사상 및 범위에 속하는 모든 변형예, 균등물, 및 대체예들을 커버하도록 의도된다는 점이 이해되어야 한다.
본 발명의 다양한 양태의 변형 및 대안적 실시예는 본 명세서를 참조하면 당업자들에게 명백하게 이해될 것이다. 따라서, 이러한 상세한 설명 및 도면은 오직 예를 들기 위한 것이고 당업자들에게 본 발명을 실시하는 일반적인 방식을 알려주기 위한 것으로 해석되어야 한다. 본 명세서에서 도시되고 설명되는 본 발명의 형태들이 실시예들의 예로서 간주되어야 한다는 것이 이해되어야 한다. 본 발명의 이러한 설명을 접한 당업자라면 명백히 알 수 있는 것처럼, 요소 및 재료는 본 명세서에서 예시되고 설명되는 것들을 대체할 수 있고, 부분들과 프로세스들은 뒤바뀌거나 순서가 바뀌거나 생략될 수 있으며, 특정 특징들은 독립적으로 활용될 수 있고, 실시예들 또는 실시예의 특징들은 결합될 수 있다. 후속하는 청구범위에서 기술되는 바와 같은 본 발명의 사상 및 범위에서 벗어나지 않으면서, 본 명세서에서 설명되는 요소는 변경될 수 있다. 본 명세서에서 주석은 조직화의 목적일 뿐 본 발명의 범위를 한정하도록 사용되려는 것이 아니다.
본 명세서 전체에서 사용될 때, "~ 수 있다(may)"는 단어는 강제적인 의미(즉, 해야함(must)을 의미)하는 것이 아니라 허용하는 의미(즉, 가능성이 있음을 의미)로 사용된다. 단어 "포함", "포함하는", 및 "포함한다" 등은, 포함하지만 그것으로 제한되는 것은 아니라는 것을 의미한다. 본 명세서 전체에서 사용될 때, 단수 형태인 "한" "하나" 및 "그" 등은 문맥이 그렇지 않다고 명백하게 표시하지 않으면 복수의 지시대상을 포함한다. 따라서, 예를 들어 "하나의(an)" 요소 또는 "한(a)" 요소에 대해 언급하는 것은, "하나 이상의"와 같이 하나 이상의 요소에 대해서 다른 용어 및 어구를 사용함에도 불구하고, 두 개 이상의 요소의 조합을 포함한다. 용어 "또는"은, 그렇지 않다고 표시되지 않으면, 비-배타적이고, 즉, "및" 과 "또는" 양자 모두를 망라한다. 조건 관계를 설명하는 용어, 예를 들어 "X에 응답하여 Y가", "X의 경우, Y가", "X면, Y가," "X일 경우, Y가" 등은, 선행조건이 결과의 필요 인과 조건이거나, 선행조건이 충분 인과 조건이거나, 또는 선행조건이 결과에 기여하는 인과 조건인 인과 관계들을 망라하는데, 예를 들어 "조건 Y가 달성되면 상태 X가 발생한다"는 "Y의 경우에만 X가 발생한다 " 및 "Y 및 Z의 경우 X가 발생한다"에 대한 통칭이다. 이러한 조건 관계는 선행조건이 달성되는 것에 바로 후속하는 결과로 한정되지 않는데 이것은 일부 결과가 지연될 수 있기 때문이고, 조건부 진술에서, 선행조건은 그 결과와 연결되며, 예를 들어 선행조건은 결과가 발생할 가능성과 관련된다. 복수 개의 속성 또는 기능이 복수 개의 대상물(예를 들어, 단계 A, B, C, 및 D를 수행하는 하나 이상의 프로세서)로 매핑된다는 진술은, 달리 표시되지 않는 한, 모든 이러한 속성 또는 기능이 이러한 모든 대상물로 매핑된다는 것 및 속성 또는 기능의 서브세트가 속성 또는 기능의 서브세트로 매핑된다는 것 양자 모두(예를 들어, 모든 프로세서가 각각 단계 A-D를 수행한다는 것, 및 프로세서 1 이 단계 A를 수행하고, 프로세서 2 가 단계 B 및 단계 C의 일부를 수행하며, 및 프로세서 3 이 단계 C의 일부와 단계 D를 수행하는 경우 양자 모두)를 망라한다. 더 나아가, 달리 표시되지 않는 한, 하나의 값 또는 동작이 다른 조건 또는 값에 "기초한다"는 진술은, 조건 또는 값이 유일한 인자인 경우 및 조건 또는 값이 여러 인자들 중 하나의 인자인 경우 양자 모두를 망라한다. 달리 표시되지 않는 한, 일부 집합 중 "각각의" 인스턴스가 일부 특성을 가진다는 진술은, 더 큰 집합의 일부의 또는 동일하거나 유사한 원소들이 그러한 특성을 가지지 않는 경우를 배제하는 것으로 해석되어서는 안 되고, 즉 각각이 반드시 각각 그리고 모두를 의미하는 것은 아니다.
특정 미국 특허, 미국 특허 출원, 또는 다른 문헌(예를 들어, 논문)이 원용되어 통합된다는 범위에 대해서, 이러한 미국 특허, 미국 특허 출원, 및 다른 문헌의 내용은 이러한 문헌과 본원에 언급된 진술 및 도면 사이에 상충이 존재하지 않는 범위에서 원용에 의해 본원에 통합된다. 이러한 상충이 있는 경우, 본 명세서에서 원용에 의해 통합되는 이러한 미국 특허, 미국 특허 출원, 및 다른 문헌 내의 임의의 이러한 상충되는 내용은 구체적으로 본 명세서에 원용에 의해 통합되지 않는다.
이상에서 발명의 특정 실시예들이 설명되었지만, 이러한 실시예는 설명된 것과 다르게 실시될 수도 있다는 것을 이해할 것이다.

Claims (15)

  1. 패터닝 프로세스를 사용하여 생성되는 기판의 디바이스 패턴의 복수의 피처 중 각각의 특정 피처에 대하여, 상기 기판에 대한 측정 타겟과 상기 특정 피처 사이에 상기 패터닝 프로세스의 파라미터의 모델링되거나 시뮬레이션된 관계를 획득하는 단계; 및
    계측 타겟으로부터 상기 파라미터의 측정된 값과 상기 관계에 기초하여, 각각의 피처에 대해 상기 기판의 적어도 일부에 걸쳐 상기 파라미터의 분포를 생성하는 단계를 포함하되, 상기 분포는 상기 패터닝 프로세스의 설계, 제어 또는 수정에 사용되기 위한 것인 방법.
  2. 제1항에 있어서, 상기 분포를 생성하는 단계는 추가적으로 상기 피처 자체로부터 상기 파라미터의 측정된 값에 기초하는 것인 방법.
  3. 제2항에 있어서, 상기 관계는 상기 피처 자체로부터 상기 파라미터의 측정된 값을 사용하여 상관되는 것인 방법.
  4. 제1항에 있어서, 상기 복수의 피처 중 하나 이상의 피처를 크리티컬 피처로 식별하기 위해 패터닝 프로세스의 적어도 일부의 실제 또는 예상된 거동과 조합하여 상기 분포를 분석하는 단계를 더 포함하는 방법.
  5. 제1항에 있어서, 상기 피처 중 하나의 피처의 분포를 상기 피처 중 다른 하나의 피처의 분포와 상이하게 가중하기 위한 하나 이상의 가중치를 생성하는 단계를 더 포함하는 방법.
  6. 제1항에 있어서, 상기 분포는 상기 계측 타겟으로부터 상기 파라미터의 측정된 값보다 큰 파라미터 정보 밀도를 갖는 방법.
  7. 제1항에 있어서, 각각의 상기 분포의 데이터는 상기 기판에 걸쳐 위치되는 복수의 필드/다이 각각에 대해 특정되는 방법.
  8. 제1항에 있어서, 상기 파라미터의 복수의 분포에 기초하여 상기 패터닝 프로세스의 적어도 일부에 대한 정정을 생성하는 단계를 더 포함하는 방법.
  9. 제1항에 있어서, 상기 파라미터의 분포 및 상이한 파라미터의 분포 중 적어도 하나에 기초하여 상기 패터닝 프로세스의 적어도 일부에 대한 정정을 생성하는 단계를 더 포함하는 방법.
  10. 제2항에 있어서, 상기 패터닝 프로세스의 파라미터는 오버레이 및/또는 엣지 배치 오차를 포함하는 방법.
  11. 제10항에 있어서, 상기 피처 자체로부터 상기 파라미터의 측정된 값 및/또는 상기 측정 타겟으로부터 상기 파라미터의 측정된 값은 적어도 2개의 상이한 유형의 계측 툴에 의해 수행된 측정에 기초하는 것인 방법.
  12. 제11항에 있어서, 각각의 유형의 계측 툴은 상기 패터닝 프로세스의 상이한 파라미터를 측정하도록 구성되는 방법.
  13. 제11항에 있어서, 각각의 유형의 계측 툴은 상이한 공간적 스케일로 상기 패터닝 프로세스의 파라미터를 측정하도록 구성되는 방법.
  14. 제1항에 있어서, 상기 패터닝 프로세스의 파라미터는 포커스를 포함하고, 상기 측정 타겟은 포커스 타겟을 포함하며, 상기 관계는, 상기 측정 타겟과 상기 특정 피처 간의 포커스 차이의 맵을 결정하기 위해, 상기 측정 타겟 및 상기 특정 피처에 대한 포커스의 측정된 또는 시뮬레이션된 수차 감도를 상기 패터닝 프로세스의 측정된 또는 모델링된 수차와 조합함으로써 획득되는 것인 방법.
  15. 명령이 기록된 비-일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 프로덕트로서, 명령은 컴퓨터에 의해 실행될 때, 제1항의 방법을 구현하는, 컴퓨터 프로그램 프로덕트.
KR1020207003088A 2017-08-07 2018-07-11 컴퓨테이션 계측법 KR102352673B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP17185116.5A EP3441819A1 (en) 2017-08-07 2017-08-07 Computational metrology
EP17185116.5 2017-08-07
EP18161406.6 2018-03-13
EP18161406 2018-03-13
PCT/EP2018/068786 WO2019029933A1 (en) 2017-08-07 2018-07-11 COMPUTER METROLOGY

Publications (2)

Publication Number Publication Date
KR20200019755A true KR20200019755A (ko) 2020-02-24
KR102352673B1 KR102352673B1 (ko) 2022-01-17

Family

ID=62846212

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207003088A KR102352673B1 (ko) 2017-08-07 2018-07-11 컴퓨테이션 계측법

Country Status (5)

Country Link
US (2) US11067902B2 (ko)
KR (1) KR102352673B1 (ko)
CN (1) CN110998449B (ko)
TW (1) TWI721298B (ko)
WO (1) WO2019029933A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3364247A1 (en) * 2017-02-17 2018-08-22 ASML Netherlands B.V. Methods & apparatus for monitoring a lithographic manufacturing process
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
WO2018202388A1 (en) 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
WO2019121491A1 (en) * 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
KR102454303B1 (ko) * 2018-01-24 2022-10-12 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법 기반 샘플링 스킴
US11061335B2 (en) * 2018-06-29 2021-07-13 Canon Kabushiki Kaisha Information processing apparatus, storage medium, lithography apparatus, lithography system, and article manufacturing method
JP7305430B2 (ja) * 2018-06-29 2023-07-10 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
KR102652685B1 (ko) 2018-12-31 2024-04-01 에이에스엠엘 네델란즈 비.브이. 패턴화 장치의 광학적 특성의 성분의 서브세트의 결정
US11733615B2 (en) * 2019-01-03 2023-08-22 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11480868B2 (en) 2019-03-22 2022-10-25 International Business Machines Corporation Determination of optical roughness in EUV structures
CN113678063A (zh) * 2019-04-04 2021-11-19 Asml荷兰有限公司 光刻工艺的子场控制和相关设备
CN111497483B (zh) * 2020-05-06 2023-01-24 莆田市睿光信息技术有限公司 一种印花刺绣工艺
US11333616B2 (en) * 2020-07-30 2022-05-17 Kla Corporation Adaptive focusing system for a scanning metrology tool

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006515958A (ja) * 2003-01-17 2006-06-08 ケーエルエー−テンカー テクノロジィース コーポレイション 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法
KR20060093059A (ko) * 2005-02-18 2006-08-23 타쿠미 테크놀로지 코포레이션 집적 회로 설계 시스템 및 방법
JP2012155201A (ja) * 2011-01-27 2012-08-16 Renesas Electronics Corp リソグラフィ検証装置およびリソグラフィシミュレーションプログラム
KR20160011654A (ko) * 2013-05-21 2016-02-01 케이엘에이-텐코 코포레이션 파라미터 추적을 위한 계측 시스템 최적화

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7457736B2 (en) * 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
EP1496397A1 (en) * 2003-07-11 2005-01-12 ASML Netherlands B.V. Method and system for feedforward overlay correction of pattern induced distortion and displacement, and lithographic projection apparatus using such a method and system
US7403264B2 (en) * 2004-07-08 2008-07-22 Asml Netherlands B.V. Lithographic projection apparatus and a device manufacturing method using such lithographic projection apparatus
WO2006024908A2 (en) * 2004-08-10 2006-03-09 Asml Netherlands B.V. Imprint lithographic apparatus, device manufacturing method and device manufactured thereby
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7566517B1 (en) * 2005-07-12 2009-07-28 Kla-Tencor Technologies Corp. Feature printability optimization by optical tool
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN101305320B (zh) 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7925486B2 (en) * 2006-03-14 2011-04-12 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US7873504B1 (en) * 2007-05-07 2011-01-18 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US7589845B1 (en) * 2008-03-27 2009-09-15 Tokyo Electron Limited Process control using an optical metrology system optimized with signal criteria
WO2010020331A1 (en) * 2008-08-19 2010-02-25 Asml Netherlands B.V. A method of measuring overlay error and a device manufacturing method
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US8289527B2 (en) * 2010-04-01 2012-10-16 Tokyo Electron Limited Optimization of ray tracing and beam propagation parameters
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US20120154773A1 (en) * 2010-12-17 2012-06-21 Carl Zeiss Sms Gmbh Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
US9330985B2 (en) * 2012-03-13 2016-05-03 GlobalFoundries, Inc. Automated hybrid metrology for semiconductor device fabrication
KR101831383B1 (ko) * 2013-05-21 2018-02-22 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 검사 방법 및 장치에서 사용되는 기판, 및 디바이스 제조 방법
KR101826651B1 (ko) * 2013-06-12 2018-02-07 에이에스엠엘 네델란즈 비.브이. 임계 치수 관련 특성을 결정하는 방법, 검사 장치, 및 디바이스 제조 방법
KR20150031662A (ko) * 2013-09-16 2015-03-25 주식회사 팬택 동영상 장치 및 그것의 동영상 생성 및 재생 방법
KR101898087B1 (ko) * 2013-12-30 2018-09-12 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 장치 및 방법
KR101860038B1 (ko) * 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
KR101906289B1 (ko) * 2014-02-21 2018-10-10 에이에스엠엘 네델란즈 비.브이. 리소그래피를 수반하는 제조 공정을 위한 공정 파라미터의 측정
WO2015125127A1 (en) * 2014-02-23 2015-08-27 Global Foundries Hybrid metrology technique
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
KR102202517B1 (ko) * 2014-07-13 2021-01-13 케이엘에이 코포레이션 오버레이 및 수율 임계 패턴을 이용한 계측
US10133191B2 (en) * 2014-07-21 2018-11-20 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
WO2016030255A2 (en) * 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate
WO2016034428A2 (en) * 2014-09-01 2016-03-10 Asml Netherlands B.V. Method of measuring a property of a target structure, inspection apparatus, lithographic system and device manufacturing method
KR102109059B1 (ko) * 2014-11-26 2020-05-12 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
WO2016096365A1 (en) * 2014-12-17 2016-06-23 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase
WO2016123552A1 (en) * 2015-01-30 2016-08-04 Kla-Tencor Corporation Device metrology targets and methods
US9971478B2 (en) * 2015-04-10 2018-05-15 Asml Netherlands B.V. Method and apparatus for inspection and metrology
KR20230110835A (ko) * 2015-04-21 2023-07-25 케이엘에이 코포레이션 기울어진 디바이스 설계를 위한 계측 타겟 설계
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
CN108292107B (zh) * 2015-09-28 2020-12-08 Asml荷兰有限公司 二维或三维形状的分层式表示
WO2017055086A1 (en) * 2015-09-30 2017-04-06 Asml Netherlands B.V. Metrology method for process window definition
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
WO2017063827A1 (en) * 2015-10-12 2017-04-20 Asml Netherlands B.V. Indirect determination of a processing parameter
US10915689B2 (en) * 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US20180314149A1 (en) * 2015-10-19 2018-11-01 Aslm Netherlands B.V. Method and apparatus to correct for patterning process error
US11036146B2 (en) * 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
WO2017067752A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017108411A1 (en) * 2015-12-23 2017-06-29 Asml Netherlands B.V. Metrology method and apparatus
US10546790B2 (en) * 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10571248B2 (en) * 2017-01-09 2020-02-25 Kla-Tencor Corporation Transparent film error correction pattern in wafer geometry system
US20180239851A1 (en) * 2017-02-21 2018-08-23 Asml Netherlands B.V. Apparatus and method for inferring parameters of a model of a measurement structure for a patterning process
KR102370339B1 (ko) 2017-02-22 2022-03-04 에이에스엠엘 네델란즈 비.브이. 전산 계측
US10656535B2 (en) * 2017-03-31 2020-05-19 Imec Vzw Metrology method for a semiconductor manufacturing process
EP3422105A1 (en) * 2017-06-30 2019-01-02 ASML Netherlands B.V. Metrology parameter determination and metrology recipe selection
WO2019081211A1 (en) * 2017-10-26 2019-05-02 Asml Netherlands B.V. METHOD FOR DETERMINING A VALUE OF A PARAMETER OF INTEREST, METHOD FOR CLEANING A SIGNAL CONTAINING INFORMATION REGARDING THIS PARAMETER OF INTEREST
KR102454303B1 (ko) * 2018-01-24 2022-10-12 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법 기반 샘플링 스킴
KR102481755B1 (ko) * 2018-02-23 2022-12-29 에이에스엠엘 네델란즈 비.브이. 가이드 패터닝 디바이스 검사
US20230333485A1 (en) * 2020-09-28 2023-10-19 Asml Netherlands B.V. Target structure and associated methods and apparatus
US20230324809A1 (en) * 2022-04-07 2023-10-12 Kla Corporation Extra tall target metrology

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006515958A (ja) * 2003-01-17 2006-06-08 ケーエルエー−テンカー テクノロジィース コーポレイション 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法
KR20060093059A (ko) * 2005-02-18 2006-08-23 타쿠미 테크놀로지 코포레이션 집적 회로 설계 시스템 및 방법
JP2012155201A (ja) * 2011-01-27 2012-08-16 Renesas Electronics Corp リソグラフィ検証装置およびリソグラフィシミュレーションプログラム
KR20160011654A (ko) * 2013-05-21 2016-02-01 케이엘에이-텐코 코포레이션 파라미터 추적을 위한 계측 시스템 최적화

Also Published As

Publication number Publication date
WO2019029933A1 (en) 2019-02-14
KR102352673B1 (ko) 2022-01-17
US20200249576A1 (en) 2020-08-06
US20210349395A1 (en) 2021-11-11
CN110998449A (zh) 2020-04-10
TWI721298B (zh) 2021-03-11
TW201921095A (zh) 2019-06-01
CN110998449B (zh) 2022-03-01
US11067902B2 (en) 2021-07-20

Similar Documents

Publication Publication Date Title
TWI700547B (zh) 用於計算度量衡之方法及電腦程式產品
KR102352673B1 (ko) 컴퓨테이션 계측법
JP7443431B2 (ja) 計算メトロロジに基づく補正および制御
KR102454303B1 (ko) 컴퓨테이션 계측법 기반 샘플링 스킴
US11143971B2 (en) Control based on probability density function of parameter
KR102481755B1 (ko) 가이드 패터닝 디바이스 검사
EP3441819A1 (en) Computational metrology

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant