KR20160011654A - 파라미터 추적을 위한 계측 시스템 최적화 - Google Patents

파라미터 추적을 위한 계측 시스템 최적화 Download PDF

Info

Publication number
KR20160011654A
KR20160011654A KR1020157035873A KR20157035873A KR20160011654A KR 20160011654 A KR20160011654 A KR 20160011654A KR 1020157035873 A KR1020157035873 A KR 1020157035873A KR 20157035873 A KR20157035873 A KR 20157035873A KR 20160011654 A KR20160011654 A KR 20160011654A
Authority
KR
South Korea
Prior art keywords
metrology
measurement
parameters
interest
metrology system
Prior art date
Application number
KR1020157035873A
Other languages
English (en)
Other versions
KR102013483B1 (ko
Inventor
앤드레이 벨드맨
안드레이 브이 쉬쉐그로프
그레고리 브래디
새디어스 제라드 지우라
스틸리안 판데프
알랙산더 쿠즈네초프
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20160011654A publication Critical patent/KR20160011654A/ko
Application granted granted Critical
Publication of KR102013483B1 publication Critical patent/KR102013483B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Engineering & Computer Science (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

주어진 프로세스 윈도우를 통하여 측정 파라미터를 추적하는 측정 시스템의 능력을 평가하는 방법 및 시스템이 여기에서 제시된다. 성능 평가는 다른 무엇보다도 특히 모델 오차, 계측 시스템 불완전성 및 교정 오차의 영향을 효과적으로 특징화하기 위해 무작위 섭동 또는 계통적 섭동 또는 둘 다를 포함한다. 일부 예에 있어서, 계측 타겟 파라미터는 실험 계획법(DOE)의 일부로서 미리 결정된다. 계측 타겟 파라미터의 추정치가 특수 측정의 추적 능력을 결정하기 위해 공지의 DOE 파라미터 값과 비교된다. 일부 예에 있어서, 측정 모델은 측정 모델의 자유도 수를 감소시키기 위해 주성분에 의해 파라미터화된다. 또한, 처리 변동을 받기 쉬운 계측 응용에 대한 반도체 계측 시스템의 측정 능력을 최적화하는 예시적인 방법 및 시스템이 제시된다.

Description

파라미터 추적을 위한 계측 시스템 최적화{METROLOGY SYSTEM OPTIMIZATION FOR PARAMETER TRACKING}
관련 출원에 대한 교차 참조
본 출원은 "계통적 및 무작위 섭동이 있을 때 계측 시스템의 측정 성능 및 파라미터 추적의 특징화 및 최적화를 위한 방법 및 장치"의 명칭으로 2013년 5월 21일자 출원한 미국 가특허 출원 일련 번호 제61/825,814호로부터 35 U.S.C. §119 하의 우선권을 주장하며, 상기 우선권 출원의 주제는 인용에 의해 그 전체가 본원에 통합된다.
기술 분야
본 발명은 계측 시스템 및 방법에 관한 것으로, 특히 개선된 파라미터 측정을 위한 방법 및 시스템에 관한 것이다.
논리 소자 및 메모리 소자와 같은 반도체 소자는 전형적으로 일련의 처리 단계를 시료(specimen)에 적용함으로써 제조된다. 반도체 소자의 각종 특징 및 복수의 구조적 레벨이 이러한 처리 단계에 의해 형성된다. 예를 들면, 다른 무엇보다도 특히 리소그래피는 반도체 웨이퍼에 패턴을 형성하는 단계를 수반하는 하나의 반도체 제조 공정이다. 반도체 제조 공정의 추가적인 예는, 비제한적인 예를 들자면, 화학-기계 연마, 에칭, 증착 및 이온 주입을 포함한다. 복수의 반도체 소자가 단일 반도체 웨이퍼에서 제조되고, 그 다음에 개별 반도체 소자로 분리될 수 있다.
계측 처리는 웨이퍼에서의 결함을 검출하여 고수율을 촉진하기 위해 반도체 제조 공정 중의 각종 단계에서 사용된다. 광학 계측 기술은 샘플 파괴의 위험 없이 높은 스루풋의 잠재성을 제공한다. 산란율 측정 및 반사율 측정 구현을 포함한 다수의 광학 계측 기반 기술 및 관련된 분석 알고리즘이 임계 치수, 막 두께, 나노스케일 구조의 조성 및 다른 파라미터를 특징화하기 위해 일반적으로 사용된다.
전통적으로, 박막 및/또는 반복되는 주기적 구조로 이루어진 타겟에 대하여 광학 계측이 수행된다. 소자 제조 중에, 상기 막 및 주기적 구조는 전형적으로 실제 소자 지오메트리 및 물질 구조 또는 중간 설계를 나타낸다. 소자(예를 들면, 논리 소자 및 메모리 소자)가 더 작은 나노미터 규모 치수쪽으로 이동함에 따라 특징화는 더 어려워진다. 복잡한 3차원 지오메트리 및 다양한 물리적 속성을 가진 물질을 포함한 소자들은 특징화 곤란성에 기여한다.
예를 들면, 현대의 메모리 구조는 종종 광학 복사선이 바닥층을 침투하기 곤란하게 하는 높은 종횡비의 3차원 구조이다. 또한, 복잡한 구조물(예를 들면, FinFET)을 특징화하는데 필요한 파라미터 수의 증가는 파라미터 상관성을 증가시킨다. 그 결과, 타겟을 특징화하는 측정 모델 파라미터가 종종 신뢰성있게 디커플링될 수 없다.
이러한 난제에 응답하여, 더 복잡한 광학 도구 및 신호 처리 컴퓨터 알고리즘이 개발되었다. 측정은 몇 가지 기계 파라미터(예를 들면, 파장, 방위각 및 입사각 등)의 큰 범위에 걸쳐서 가끔은 동시에 수행된다. 그 결과, 측정 비법(measurement recipe)을 포함한 신뢰성 있는 결과를 도출하기 위한 측정 시간, 연산 시간 및 전체 시간이 크게 증가한다.
일반적으로, 반도체 구조에 적용 가능한 광학 계측 기술은 계측 타겟의 물리적 속성을 측정하는 간접적 방법이다. 대부분의 경우에, 측정된 신호는 관심 있는 물리적 속성을 직접 결정하는데 사용될 수 없다. 전통적으로, 측정 처리는 특정 계측 시스템과 측정 타겟의 상호작용 모델에 기초하여 피측정 신호를 예측하는 계측 기반 타겟 모델을 공식화하는 것으로 이루어진다. 상기 계측 기반 타겟 모델은 관심 있는 측정 타겟의 물리적 속성(예를 들면, 막 두께, 임계 치수, 굴절률, 격자 피치 등)과 관련한 구조의 파라미터화를 포함한다. 또한, 상기 계측 기반 타겟 모델은 측정 도구 자체(예를 들면, 파장, 입사각, 편광각 등)의 파라미터화를 포함한다.
시스템 파라미터는 계측 도구 자체를 특징화하기 위해 사용되는 파라미터이다. 예시적인 시스템 파라미터는 입사각(AOI), 분석자 각(Ao), 편광자 각(Po), 조명 파장, 개구수(NA) 등을 포함한다. 타겟 파라미터는 계측 타겟의 지오메트리 및 물질 속성을 특징화하기 위해 사용되는 파라미터이다. 박막 시료의 경우에, 예시적인 타겟 파라미터는 굴절률(또는 유전 함수 텐서(dielectric function tensor)), 모든 층의 명목상 층 두께, 층 순서 등을 포함한다.
전통적으로, 계측 타겟은 반도체 소자 제조자에 의해 제공된다. 계측 기반 타겟 모델은 계측 타겟의 지오메트리 및 재료, 및 하나 이상의 계측 시스템 또는 서브시스템과 계측 타겟의 상호작용을 시뮬레이트하도록 구성된다. 측정 비법은 계측 타겟과 후보 계측 시스템 또는 서브시스템(예를 들면, 분광 타원편광 분석계(spectroscopic ellipsometer) 등) 간의 상호작용을 각각 나타내는 하나 이상의 계측 기반 타겟 모델로부터 도출된 시뮬레이트 측정 신호의 분석에 기초하여 개발된다.
전통적으로, 측정 비법의 공식화는 시뮬레이트 측정 신호의 감도 분석에 의해 안내된다. 일부 예는 관심 있는 타겟 파라미터와 관련된 시뮬레이트 측정 신호(예를 들면, 반사율 등의 광학 신호)의 도함수의 분석, 파라미터 상관성 분석, 무작위 시간 노이즈가 있을 때 측정 정밀도의 예측을 포함한다. 계측 시스템을 평가하고 최적화하는 가장 일반적인 접근법은 1차 섭동(perturbation) 접근법에 기초를 둔다. 이 접근법에서, 피측정 신호에 영향을 주는 정상적으로 분포된 랜덤 노이즈는 계측 시스템에 의해 측정된 파라미터의 불확실성으로 바꿔말할 수 있다. 랜덤 노이즈(즉, 측정 파라미터 정밀도)로부터 야기하는 추정된 파라미터 불확실성은 전형적으로 계측 시스템 성능 및 비법 최적화를 위한 주요 성능 지수로서 사용된다. 측정 시스템 정밀도의 이러한 추정은 전형적으로 3 시그마 값(즉, 파라미터 값의 추정 분포의 표준 편차의 3배인 값)으로서 표현된다. 측정 비법의 최적화 및 개발은 전형적으로 기대되는 측정 정밀도를 개선하는 쪽으로 목표 정해진다. 그 일부 예는 J. Ferns 등이 "최적으로 파라미터화된 산란율 측정 모델의 자동화 결정 방법"의 명칭으로 출원한 미국 특허 공개 제2012/0022836호에 개시되어 있고, 이 특허 문헌은 인용에 의해 그 전체가 본원에 통합된다. 다른 예는 R. Silver 등이 2007년 SPIE의 회보, 제6518권, 65180U에서 공개한 "광학 임계 치수 계측의 기초적 한계: 시뮬레이션 연구"의 논문에 개시되어 있고, 이 논문은 인용에 의해 그 전체가 본원에 통합된다.
그러나, 최적화를 위한 주요 성능 지수로서 측정 정밀도를 강조하면 결과적인 측정 비법의 효과가 제한된다. 광원, 검출기, 및 계측 컴포넌트의 안정성의 최근 개선은 고수준의 정밀도 측정을 가능하게 하지만(즉, 낮은 3 시그마 값), 프로세스 윈도우(process window)를 통한 피측정 파라미터의 변동을 추적하는 능력은 여전히 회피적(elusive)이다.
무작위 시간 노이즈 섭동(예를 들면, 1차까지의 다차원 테일러 계열 팽창)의 1차 분석의 신뢰는 측정 신호 섭동(무작위 또는 계통적 섭동)이 제조 공정에 의해 유도된 피측정 파라미터의 변화에 기인하는 측정 신호 변동에 비하여 작은 측정 시나리오에서 상당히 정확한 예측을 야기한다. 그러나, 만일 실제 측정 시나리오가 이러한 가정과 일치하지 않으면, 1차 섭동 분석은 잘못된 성능 예측을 생성할 수 있다. 이것은 예를 들면 낮은 감도 및 큰 섭동이 있는 측정 시나리오에서, 또는 복수의 섭동이 시스템에 동시에 영향을 줄 때 발생할 수 있다. 그 결과, 1차 섭동 분석에 기초한 정밀도에 대하여 최적화된 측정 비법은 외관상 만족스러운 정밀도로 부정확한 결과를 보고하는 계측 도구를 유도할 수 있다. 이것은 종종 투과 전자 현미경(transmission electron microscope, TEM)과 같은 신뢰형 기준 측정 시스템으로부터의 측정 결과와 모델 기반 광학 측정의 결과를 비교함으로써 입증된다.
미래의 계측 응용은 점점 작아지는 해상도 필요조건, 다중 파라미터 상관성, 점점 복잡해지는 지오메트릭 구조, 및 불투명 물질의 사용 증가에 기인하여 계측에 대한 난제(challenge)들을 제공한다. CD 또는 막 두께 변동과 같은 공정 유도형 파라미터 변동을 추적하는 것이 더 중요해졌고, 파라미터 추적 능력의 부족은 심각한 난제이다. 따라서, 개선된 측정을 위한 방법 및 시스템이 요구된다.
주어진 프로세스 윈도우를 통하여 측정 파라미터를 추적하는 측정 시스템의 능력을 평가하는 방법 및 시스템이 여기에서 설명된다. 또한, 처리 변동을 받기 쉬운 임계 치수, 막 두께, 및 조성물 계측 응용에 대한 반도체 계측 시스템의 측정 능력을 최적화하는 예시적인 방법 및 시스템이 제시된다.
일 양태에 있어서, 관심 있는 측정 모델 파라미터의 정밀도, 정확도 및 프로세스 추적의 시뮬레이션 및 분석은 계통 오차의 존재하에 수행된다. 그러한 오차는 다른 무엇보다도 특히 모델 오차, 계측 시스템 불완전성 및 교정 오차를 포함한다. 이 방식으로, 특정 계측 타겟을 측정하는 특수 측정 시스템의 실세계 능력이 평가된다. 성능 평가는 적어도 하나의 계통적 또는 무작위 섭동을 포함하고, 일부 예에서는 무작위 및 계통적 섭동을 포함한 복수의 동시 섭동을 포함한다.
일부 예에 있어서, 특정 계측 타겟 파라미터의 값이 시뮬레이트 실험 계획법(design of experiment, DOE)의 일부로서 미리 결정된다. 모델 기반 계측 측정이 시뮬레이트되고, 특정 계측 타겟 파라미터의 추정치가 특수 측정의 추적 능력을 결정하기 위해 공지의 DOE 파라미터 값과 비교된다.
일부 예에 있어서, 하나 이상의 임계 치수, 박막 두께, 광학 속성, 물질 조성, 오버레이, 리소그래피 포커스 및 선량(dose) 등을 측정하기 위한 계측 시스템이 여기에서 설명하는 방법에 따라 평가된다.
추가의 양태에 있어서, 측정 능력이 분석에 기초하여 최적화된다. 이 방식으로, 측정 능력이 훨씬 더 정확한 독립적인 기준 측정 기술 없이 리소그래피의 포커스 및 노출, 에칭 시간 및 기타의 관련 프로세스 파라미터와 같은 프로세스 파라미터를 추적하기 위해 평가, 최적화 및 확인될 수 있다.
일부 예에 있어서, 파라미터 추적 성능 및 정밀도를 표시하는 하나 이상의 메트릭이 측정 모델, 측정 타겟, 계측 시스템 또는 계측 시스템들의 조합에 대한 변화가 파라미터 추적 성능을 개선하기 위해 필요한지를 결정하기 위해 평가된다.
다른 추가의 양태에 있어서, 측정 모델은 처리 기반 주성분 분석(principal component analysis, PCA) 파라미터화에 의해 파라미터화된다. 일부 예에서, PCA 파라미터화는 모델 파라미터가 측정 정보의 과도한 손실 없이 측정 데이터로부터 효과적으로 분석될 수 있도록 측정 모델의 자유도 수를 효과적으로 감소시킨다.
다른 추가의 양태에 있어서, 여기에서 설명하는 주어진 프로세스 윈도우를 통하여 측정 파라미터를 추적하기 위해 측정 시스템의 능력을 평가하는 방법 및 시스템이 관심 있는 프로세스 파라미터를 추적하기 위해 또한 적용된다.
전술한 내용은 요약이고, 따라서 당연히 단순화, 일반화 및 세부의 생략을 포함한다. 당업자라면 전술한 요약은 단지 예시하는 것이고 어떻게든 제한하는 의도가 없다는 것을 이해할 것이다. 여기에서 설명하는 장치 및/또는 프로세스의 다른 양태, 발명적 특징 및 장점은 여기에서 개시되는 비제한적인 상세한 설명으로부터 명백하게 될 것이다.
도 1은 본 발명의 예시적인 방법에 따라 주어진 프로세스 윈도우를 통하여 측정 파라미터를 추적하기 위해 측정 시스템의 능력을 평가 및 최적화하는 시스템(100)을 보인 도이다.
도 2는 본 발명의 계측 시스템(100)에 의한 구현에 적합한 예시적인 방법(200)을 보인 흐름도이다.
도 3은 프로세스 윈도우에 동시에 걸치도록 선택된, 계측 타겟의 관심 있는 3개의 파라미터에 대응하는, 의사 랜덤 값의 3개의 집합을 보인 선도(210)이다.
도 4a는 비교적 낮은 섭동 레벨에 대하여 프로세스 윈도우에서 중간 임계 치수(MCD)의 추정치의 선형 적합도를 보인 도이다.
도 4b는 비교적 중간인 섭동 레벨에 대하여 프로세스 윈도우에서 중간 임계 치수(MCD)의 추정치의 선형 적합도를 보인 도이다.
도 4c는 비교적 높은 섭동 레벨에 대하여 프로세스 윈도우에서 중간 임계 치수(MCD)의 추정치의 선형 적합도를 보인 도이다.
도 5는 관심 있는 파라미터의 추정 오차에 대한 일련의 확률분포의 선도(180)이다.
도 6은 2차원 빔 윤곽 반사율계(2-D BPR) 시스템에 의해 측정되는 산화물층 내 홀의 단순화한 계측 모델을 보인 도(240)이다.
도 7은 2-D BPR 측정과 관련된 높이(H), 임계 치수(CD) 및 측벽각(SWA) 간의 상관성을 보인 표(245)이다.
도 8은 2-D BPR 측정과 관련된 포커스와 노출 간의 상관성을 보인 표(250)이다.
도 9a 및 도 9b는 각각 2개의 섭동 레벨에서 포커스 및 노출의 2-D BPR 측정과 관련된 추적 성능을 표시하는 선도(255, 260)이다.
도 10은 필드 강화 요소로서 포토레지스트 사다리꼴 구조 및 라이너 층을 포함하는 반도체 구조를 보인 도이다.
도 11은 상이한 라이너 층 두께에 있어서 관심 있는 3개의 상이한 파라미터에 대하여 달성된 측정 정밀도를 보인 선도이다.
도 12a 및 도 12b는 각각 라이너 층이 없는 경우와 라이너 층이 있는 경우에 프로세스 윈도우에서 중간 임계 치수(MCD)의 추정치의 선도이다.
도 13a 및 도 13b는 각각 라이너 층이 없는 경우와 라이너 층이 있는 경우에 프로세스 윈도우에서 측벽각(SWA)의 추정치의 선도이다.
도 14a 및 도 14b는 각각 라이너 층이 없는 경우와 라이너 층이 있는 경우에 프로세스 윈도우에서 높이(HT)의 추정치의 선도이다.
도 15는 본 발명의 계측 시스템(100)에 의한 구현에 적합한 예시적인 방법(300)을 보인 흐름도이다.
이제, 첨부 도면에 도시된 본 발명의 배경 예 및 일부 실시형태에 대하여 상세히 설명한다.
주어진 프로세스 윈도우를 통하여 측정 파라미터를 추적하는 측정 시스템의 능력을 평가하는 방법 및 시스템이 여기에서 설명된다. 또한, 처리 변동을 받기 쉬운 임계 치수, 막 두께, 및 조성물 계측 응용에 대한 반도체 계측 시스템의 측정 능력을 최적화하는 예시적인 방법 및 시스템이 제시된다.
일 양태에 있어서, 관심 있는 측정 모델 파라미터의 정밀도, 정확도 및 프로세스 추적의 시뮬레이션 및 분석은 계통 오차의 존재하에 수행된다. 그러한 오차는 다른 무엇보다도 특히 모델 오차, 계측 시스템 불완전성 및 교정 오차를 포함한다. 이 방식으로, 특정 계측 타겟을 측정하는 특수 측정 시스템의 실세계 능력이 평가된다.
성능 평가는 적어도 하나의 계통적 또는 무작위 섭동을 포함하고, 일부 예에서는 무작위 및 계통적 섭동을 둘 다 포함한 복수의 동시 섭동을 포함한다. 또한, 일부 실시형태에 있어서, 특정 계측 타겟 파라미터의 값이 시뮬레이트 실험 계획법(design of experiment, DOE)의 일부로서 미리 결정된다. 모델 기반 계측 측정이 시뮬레이트되고, 특정 계측 타겟 파라미터의 추정치가 특수 측정의 추적 능력을 결정하기 위해 공지의 DOE 파라미터 값과 비교된다.
일부 예에 있어서, 하나 이상의 임계 치수, 박막 두께, 광학 속성, 물질 조성, 오버레이, 리소그래피 포커스 및 선량 등을 측정 및 추적하기 위한 계측 시스템이 여기에서 설명하는 방법에 따라 평가된다.
추가의 양태에 있어서, 측정 능력이 분석에 기초하여 최적화된다. 이 방식으로, 측정 능력이 훨씬 더 정확한 독립적인 기준 측정 기술 없이 리소그래피의 포커스 및 노출, 에칭 시간 및 기타의 관련 프로세스 파라미터와 같은 프로세스 파라미터를 추적하기 위해 평가, 최적화 및 확인될 수 있다.
일부 예에 있어서, 파라미터 추적 성능 및 정밀도를 표시하는 하나 이상의 메트릭이 측정 모델, 측정 타겟, 계측 시스템 또는 계측 시스템들의 조합에 대한 변화가 파라미터 추적 성능을 개선하기 위해 필요한지를 결정하기 위해 평가된다.
도 1은 본 발명의 예시적인 방법에 따라 시료의 특성을 측정하는 시스템(100)을 보인 도이다. 도 1에 도시된 바와 같이, 시스템(100)은 시료(101)의 하나 이상 구조의 분광 타원편광 측정을 수행하기 위해 사용될 수 있다. 이 양태에 있어서, 시스템(100)은 조명기(102) 및 분광계(104)를 구비한 분광 타원편광 분석계를 포함할 수 있다. 시스템(100)의 조명기(102)는 선택된 파장 범위(예를 들면, 150-850nm)의 조명을 생성하여 시료(101)의 표면에 배치된 구조물에 지향시키도록 구성된다. 상기 분광계(104)는 상기 시료(101)의 표면으로부터 반사된 조명을 수신하도록 구성된다. 조명기(102)로부터 나온 광은 편광 조명 빔(106)을 생성하기 위해 편광 상태 발생기(107)를 이용하여 편광된다는 점에 또한 주목한다. 상기 시료(101) 위에 배치된 구조물에 의해 반사된 복사선은 편광 상태 분석기(109)를 통과하여 분광계(104)로 지향된다. 수집 빔(108)에서 분광계(104)에 의해 수신된 복사선은 편광 상태와 관련하여 분석되고, 분석기에 의해 통과된 복사선의 분광계에 의한 스펙트럼 분석을 가능하게 한다. 이러한 스펙트라(111)는 구조물의 분석을 위해 컴퓨팅 시스템(130)에 전달된다.
도 1에 도시된 바와 같이, 시스템(100)은 단일 측정 기술(즉, SE)을 포함한다. 그러나, 일반적으로, 시스템(100)은 임의 수의 다른 측정 기술을 포함할 수 있다. 비제한적인 예로서, 시스템(100)은 분광 타원편광 분석계(뮬러 매트릭스 타원편광 분석계를 포함함), 분광 반사율계, 분광 산란율계, 오버레이 산란율계, 각도 분석형 빔 윤곽 반사율계, 편광 분석형 빔 윤곽 반사율계, 빔 윤곽 반사율계, 빔 윤곽 타원편광 분석계, 임의의 단일 또는 다중 파장 타원편광 분석계, 또는 이들의 임의 조합으로서 구성될 수 있다. 또한, 일반적으로, 다른 측정 기술에 의해 수집되고 여기에서 설명하는 방법에 따라 분석된 측정 데이터는 복수의 기술을 통합한 하나의 도구보다는 복수의 도구로부터 수집될 수 있다.
다른 실시형태에 있어서, 시스템(100)은 여기에서 설명하는 방법에 따라 통합 측정 모델에 기초하여 측정을 수행하기 위해 사용되는 하나 이상의 컴퓨팅 시스템(130)을 포함할 수 있다. 상기 하나 이상의 컴퓨팅 시스템(130)은 분광계(104)에 통신적으로 결합될 수 있다. 일 양태에 있어서, 상기 하나 이상의 컴퓨팅 시스템(130)은 시료(101)의 구조물의 측정과 관련된 측정 데이터(111)를 수신하도록 구성된다.
다른 실시형태에 있어서, 상기 하나 이상의 컴퓨팅 시스템(130)은 실시간 임계 치수화(Real Time Critical Dimensioning, RTCD)를 이용하여 실시간으로 모델 파라미터에 접근하도록 구성되고, 또는 상기 하나 이상의 컴퓨팅 시스템(130)은 여기에서 설명하는 방법에 따라 측정 모델을 결정하기 위해 사전 연산 모델의 라이브러리에 접근할 수 있다.
본 명세서 전반에 걸쳐 설명되는 각종 단계는 단일 컴퓨팅 시스템(130)에 의해서, 또는 대안적으로 복수의 컴퓨팅 시스템(130)에 의해서 실행될 수 있다는 점을 이해하여야 한다. 더욱이, 분광 타원편광 분석계(104)와 같은 시스템(100)의 다른 서브시스템들은 여기에서 설명하는 단계들 중 적어도 일부를 실행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 그러므로, 전술한 설명은 본 발명을 제한하는 것이 아니라 단지 설명하는 것으로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(130)은 여기에서 설명하는 임의의 방법 실시형태의 임의의 다른 단계를 수행하도록 구성될 수 있다.
또한, 컴퓨팅 시스템(130)은 업계에 공지된 임의의 방식으로 분광계(104)에 통신적으로 결합될 수 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(130)은 분광계(104)와 연관된 컴퓨팅 시스템에 결합될 수 있다. 다른 예로서, 분광계(104)는 컴퓨팅 시스템(103)에 결합된 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
계측 시스템(100)의 컴퓨팅 시스템(130)은 유선 및/또는 무선 부분을 포함하는 전송 매체에 의해 시스템의 서브시스템(예를 들면, 분광계(104) 등)으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수 있다. 이 방식으로, 전송 매체는 시스템(100)의 컴퓨팅 시스템(130)과 다른 서브시스템 간의 데이터 링크로서 소용될 수 있다.
통합형 계측 시스템(100)의 컴퓨팅 시스템(130)은 유선 및/또는 무선 부분을 포함하는 전송 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과 등)를 수신 및/또는 획득하도록 구성될 수 있다. 이 방식으로, 전송 매체는 컴퓨팅 시스템(130)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템(100), 외부 메모리, 기준 측정 소스(120) 또는 다른 외부 시스템) 간의 데이터 링크로서 소용될 수 있다. 예를 들면, 컴퓨팅 시스템(130)은 기억 매체(즉, 메모리(132) 또는 외부 메모리)로부터 데이터 링크를 통해 측정 데이터를 수신하도록 구성될 수 있다. 예를 들면, 분광계(104)를 이용하여 획득된 스펙트럼 결과는 영속적 또는 반영속적 메모리 장치(예를 들면, 메모리(132) 또는 외부 메모리)에 저장될 수 있다. 이와 관련하여, 상기 스펙트럼 결과는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 유입될 수 있다. 더욱이, 컴퓨팅 시스템(130)은 데이터를 전송 매체를 통해 다른 시스템에 보낼 수 있다. 예를 들면, 컴퓨팅 시스템(130)에 의해 결정된 측정 모델 또는 시료 파라미터(140)는 외부 메모리에 전송되어 저장될 수 있다. 이와 관련하여 측정 결과는 다른 시스템에 유출될 수 있다.
컴퓨팅 시스템(130)은, 비제한적인 예를 들자면, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 업계에 공지된 임의의 다른 장치를 포함할 수 있다. 일반적으로, 용어 "컴퓨팅 시스템"은 기억 매체로부터의 명령어를 실행하는, 컴퓨터 클러스터 및/또는 그래픽 처리 유닛(GPU)을 내포한 컴퓨터를 비롯한, 하나 이상의 프로세서를 구비한 임의의 장치를 포괄하도록 넓게 규정된다.
여기에서 설명하는 것과 같은 방법들을 구현하는 프로그램 명령어(134)는 와이어, 케이블 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 메모리(132)에 저장된 프로그램 명령어(134)는 버스(133)를 통해 프로세서(131)에 전송된다. 프로그램 명령어(134)는 컴퓨터 판독가능 매체(예를 들면, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독가능 매체는 읽기 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
도 2는 본 발명의 계측 시스템(100)에 의한 구현에 적합한 방법(200)을 보인 흐름도이다. 일 양태에 있어서, 방법(200)의 데이터 처리 블록들은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그램된 알고리즘을 통해 실행될 수 있는 것으로 이해된다. 비록 이하의 설명이 계측 시스템(100)과 관련하여 제시되지만, 계측 시스템(100)의 특수한 구조적 양태는 제한하는 것이 아니고 단지 설명하는 것으로 해석되어야 한다.
블록 201에서, 계측 타겟의 측정치에 대한 계측 시스템의 응답을 특징화하는 측정 모델이 수신된다. 비제한적인 예로서, 측정 모델은 메모리(132)에 저장되고 버스(133)를 통해 프로세서(131)에 의해 수신될 수 있다. 다른 예로서, 측정 모델은 외부 메모리(도시 생략됨)에 저장되고, 컴퓨팅 시스템(130)에 의해 유입될 수 있다. 측정 모델은 계측 타겟을 특징화하는 관심 있는 하나 이상의 파라미터, 및 계측 시스템을 특징화하는 하나 이상의 시스템 파라미터를 포함한다. 측정 모델은 계측 시스템에 의해 측정할 구조물의 파라미터화한 기능적 설명을 제공한다. 일반적으로, 상기 구조물은 관심 있는 N개의 측정 파라미터(예를 들면, CD, 막 두께, 오버레이, 광학 상수, 포커스 및 선량 등)를 포함한 모델에 의해 표시되고, 여기에서 N은 임의의 정수 값일 수 있다. 그러나, 전형적인 계측 응용에서는 N이 전형적으로 10 미만이고, 많은 경우에 5 미만이다. 측정 모델은, 비제한적인 예를 들자면, 파장, 편광, 입사각 등과 같은 시스템 파라미터에 의해 특징화되는 계측 시스템의 기능적 설명을 또한 제공한다.
측정 모델은 가끔 "직접" 또는 "순방향"(forward) 문제로서 인용되는 것을 해결하는데 사용된다. 다시 말해서, 측정 모델은 계산에서 사용되는 측정 모델 파라미터의 선택에 의해 특정된 타겟을 측정하는 측정 시스템에 의해 생성되는 미가공(raw) 측정 데이터(예를 들면, 측정 스펙트라, 스페클 패턴 등)의 추정치를 연산하기 위해 사용될 수 있다. 이 문제의 해법은 모델이 추정 측정 데이터를 반복 없이 피선택 파라미터 값으로부터 직접 연산할 수 있기 때문에 종종 "직접"이라고 부른다. 피선택 파라미터 값의 함수로서 기대 측정 데이터를 해결하는 문제는 종종 동일한 이유로 "순방향" 문제로서 설명된다. 측정 모델은 순방향 연산에 의해 추정 측정 데이터를 직접 해결하도록 구성된다.
블록 202에서, 관심 있는 하나 이상의 파라미터 중 임의의 파라미터와 연관된 공지 값들의 집합이 결정된다. 공지 값들의 각 집합은 계측 타겟과 연관된 바람직한 프로세스 윈도우에 걸치도록 선택된다.
공지 값들의 각 집합은 파라미터 추적이 요구되는 바람직한 프로세스 윈도우에 걸쳐야 한다. 실험 계획(DOE) 시나리오의 경우에, 프로세스 윈도우는 비교적 클 수 있다(예를 들면, 포커스-노출 매트릭스(Focus-Exposure Matrix, FEM)). 전형적인 생산 시나리오(가끔은 "CD 균일성"이라고 부름)의 경우에, 프로세스 윈도우는 비교적 작을 수 있다.
관심 있는 파라미터의 N차원 공간에서 공지 파라미터 값의 분포는 전체 프로세스 윈도우를 여전히 커버하면서 테스트 대상 조합의 수를 최소화하기 위해 무작위 또는 준무작위일 수 있다. 도 3은 관심 있는 3개의 파라미터에 대응하는 3개의 값 집합을 보인 선도(210)이다. 3개의 값 집합은 관심 있는 3개의 파라미터의 프로세스 윈도우에 동시에 걸치도록 선택된다. 이 예에서, 관심 있는 3개의 파라미터는 특정 계측 타겟의 중간 임계 치수(MCD), 측벽각(SWA) 및 높이(H)이다. 이 예에서, 관심 있는 3개의 파라미터 각각이 외관상 갭이 없는 각 파라미터의 완전한 기대 범위를 균일하게 커버하고 분포가 여전히 무작위로 보이도록 각각의 파라미터 값 집합을 생성하기 위해 반데르 코풋 시퀀스(van der corput sequence)가 사용된다. 이 예는 큰 추가 연산 비용 없이 임의 수의 치수(즉, 임의 수의 관심 있는 파라미터)로 쉽게 일반화될 수 있다. 비록 반데르 코풋 시퀀스를 설명하지만, 프로세스 윈도우에 걸치는 공지 파라미터 값의 집합을 생성하기 위한 많은 다른 방법들이 사용될 수 있다. 예를 들면, 준균일 분포보다는 준정규 분포가 사용될 수 있다. 다른 예로서, 파라미터 값의 데카르트 격자를 사용할 수 있다. 그러나, 이러한 격자는 훨씬 더 큰 연산 비용을 발생할 수 있다.
블록 203에서, 관심 있는 하나 이상 파라미터 중 임의의 파라미터의 공지 값 집합에 대하여 계측 타겟의 측정치 집합을 특징화하는 측정 모델의 시뮬레이션 집합 및 적어도 하나의 섭동 신호에 기초하여 섭동이 있는 합성 측정 신호의 집합이 결정된다. 이 방식으로, 바람직한 프로세스 윈도우에 걸치는 시뮬레이트 측정 신호의 집합이 생성된다. 합성 측정 신호가 시뮬레이트되기 때문에, 이들은 관심 있는 측정 파라미터의 공지 값과 연관된다. 예를 들면, 관심 있는 피측정 파라미터의 N차원 공간의 각 점에서, 계측 타겟의 실제 측정 중에 계측 시스템에 의해 생성된 미가공 측정 신호를 표시하는 합성 신호가 발생된다. 양호한 실시형태에 있어서, 상기 합성 신호는 블록 201과 관련하여 설명한 측정 모델을 시뮬레이트함으로써 발생된다. 다시 말해서, 시뮬레이트된 신호는 현실적 결과를 생성하기 위해 계측 시스템 자체에 의해 사용된 동일한 솔버(solver)를 이용하여 발생된다. 그러나, 일부 다른 실시형태에서는 솔버 자체에 의해 도입된 계통 오차의 효과에 대한 통찰(insight)을 제공하기 위해 다른 솔버가 사용된다.
추가의 양태에 있어서, 섭동이 있는 합성 측정 신호는 공지된 섭동(즉, 계통적 또는 무작위, 또는 둘 다)의 효과를 포함한다. 섭동은 계통적 섭동(예를 들면, 정적 스페클 등) 또는 무작위(예를 들면, 시간 노이즈 등)일 수 있다. 섭동은 계측 타겟 모델 파라미터 및 시스템과 같은 측정 모델 파라미터에 적용할 수 있다. 섭동은 또한 피측정 신호에 적용할 수 있다(예를 들면, 측정 노이즈 모델에 기초해서).
일부 예에서, 섭동은 별도 시뮬레이션의 결과이다. 예를 들면, 스페클의 시뮬레이션은 섭동이 있는 합성 측정 신호를 발생하기 위해 시뮬레이트 합성 측정 신호에 추가될 수 있는 계통적 섭동(즉, 유도된 스페클)의 추정을 제공한다. 다른 예로서, 랜덤 노이즈 섭동은 노이즈 모델에 기초하여 수치적으로 발생되고, 이어서 섭동이 있는 합성 측정 신호를 발생하기 위해 시뮬레이트 합성 측정 신호에 추가될 수 있다. 일부 다른 예에서, 시뮬레이트된 계통적 섭동은 합성 신호 발생의 고유 부분이다(예를 들면, 시뮬레이션 중의 광학 상수 또는 지오메트릭 파라미터의 섭동).
일부 다른 예에서, 계통적 섭동은 경험적으로 측정된 데이터로부터 추출된다. 그러한 일 예에 있어서, 실제 측정과 연관된 회귀(regression)의 끝에서 유지되는 잔여 오차가 추출되고, 그 다음에 합성적으로 발생된 측정 신호에 주입되어 섭동이 있는 합성 측정 신호를 발생한다. 다른 예에 있어서, 이상적으로 대칭이어야 하는 실제 피측정 신호의 비대칭 부분이 추출되고, 그 다음에 합성적으로 발생된 측정 신호에 주입되어 섭동이 있는 합성 측정 신호를 발생한다.
일부 다른 예에 있어서, 무작위 섭동이 경험적으로 측정된 데이터로부터 추출되고, 그 다음에 합성적으로 발생된 측정 신호에 추가되어 섭동이 있는 합성 측정 신호를 발생한다.
일부 다른 예에서, 섭동은 2개 이상의 계측 도구로부터 경험적으로 도출된 측정 신호들 간의 차에 기초하여 결정된다. 이러한 차는 합성적으로 발생된 측정 신호에 추가되어 섭동이 있는 합성 측정 신호를 발생한다. 이 예에서, 섭동이 있는 합성 측정 신호의 집합은 도구 대 도구 매칭 성능의 효과를 포함할 것이다.
블록 204에서, 관심 있는 하나 이상 파라미터 각각에 연관된 추정치가 섭동이 있는 합성 측정 신호의 집합에 기초하여 결정된다. 일부 실시형태에 있어서, 섭동이 있는 합성 신호는 측정 신호로서 취급되고, 계측 시스템은 그 정규 연산 절차를 작동시키고 관심 있는 파라미터의 추정치를 보고한다.
실제 측정 데이터 또는 섭동이 있는 합성 측정 신호에 기초하여 파라미터 값을 추정하는 문제는 가끔 "역"(inverse) 문제, 또는 측정 모델에 의해 해결된 문제의 "반대"(reverse)로서 설명된다. 다시 말해서, 측정 모델의 "역"은 섭동이 있는 합성 측정 데이터(예를 들면, 합성적으로 발생된 측정 스펙트라, 스페클 패턴 등)에 기초하여 관심 있는 파라미터의 값들의 추정치를 연산하기 위해 사용될 수 있다. 측정 모델의 역에 대한 분석적 해법에 도달하는 것이 가끔은 가능하지 않기 때문에, 재귀(recursion) 등의 "간접" 방법이 섭동이 있는 합성 측정 신호에 기초하여 파라미터 값에 대한 해법에 도달하기 위해 가끔 사용된다. 일부 실시형태에 있어서, 상기 해법 절차는 하부의 측정 모델에서 비선형 회귀를 수반한다.
비록 전형적으로 상기 측정 절차가 하부의 측정 모델에서 비선형 회귀를 수반하지만, 작은 계통적 섭동이 있는 특수한 경우에는 1차 섭동 접근법이 연산 부담을 줄이기 위해 사용될 수 있다.
블록 203에서 추가된 추가적인 섭동 효과에 기인하여, 관심 있는 파라미터의 추정된 측정치는 블록 202에서 생성된 관심 있는 파라미터의 공지된 값과 다를 것이다. 이러한 차는 프로세스 윈도우를 통한 파라미터 추적의 기초이다.
블록 205에서, 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭이 결정된다. 메트릭은 관심 있는 하나 이상 파라미터 각각에 관련된 공지의 추정치에 기초하여 결정된다. 일부 예에서, 파라미터 추적 성능은 관심 있는 파라미터의 추정치를 블록 202에서 규정된 관심 있는 파라미터의 미리 규정된 공지의 값과 비교함으로써 평가된다. 이 비교에 기초해서, 프로세스 유도 변동에 기인하는 계측 타겟의 변화를 추적하는 측정 시스템의 능력이 계통적 및 무작위 섭동의 효과를 포함해서 평가된다.
비제한적인 예로서, 선형 회귀에 대한 피어슨 상관 계수의 제곱(R2), 선형 적합도의 기울기, 및 관심 있는 파라미터의 추정치와 관심 있는 파라미터의 공지 값 간의 선형 적합도의 평균 오프셋이 파라미터 추적 성능을 특징화하기 위해 사용된다. 도 4a 내지 도 4c는 각각 3개의 다른 섭동 레벨에 대하여 프로세스 윈도우에서 관심 있는 파라미터(MCD)의 추정치의 선형 적합도를 보인 것이다. 수평축은 관심 있는 각 파라미터의 공지 값을 포함한다. 수직축은 섭동이 있는 관심 있는 파라미터의 추정치를 포함한다. 프로세스 윈도우를 통해 파라미터 값 추적이 얼마나 잘 추정되는지를 특징화하기 위해, 추정 파라미터 값 대 공지의 시뮬레이트된 파라미터 값의 선도에 직선이 맞추어진다.
도 4a는 비교적 작은 섭동 레벨에 대하여 MCD의 추정치의 선형 적합도(151)를 보인 선도(150)이다. 예를 들면, 선(152)은 공지의 파라미터 값에 대한 완전한 적합도를 나타낸다. 도 4b는 도 4a에 도시된 것보다 더 큰 섭동 레벨에 대하여 MCD의 추정치의 선형 적합도(161)를 보인 선도(160)이다. 예를 들면, 선(162)은 공지의 파라미터 값에 대한 완전한 적합도를 나타낸다. 도 4c는 도 4b에 도시된 것보다 더 큰 섭동 레벨에 대하여 MCD의 추정치의 선형 적합도(171)를 보인 선도(170)이다. 예를 들면, 선(172)은 공지의 파라미터 값에 대한 완전한 적합도를 나타낸다. 도 4a와 도 4b에 도시된 바와 같이, 섭동 레벨이 증가함에 따라서, 프로세스 윈도우에서 관심 있는 파라미터의 값을 추적하는 측정 능력은 감소한다.
추가의 양태에 있어서, 성능 메트릭은 측정이 프로세스 윈도우에서 충분히 정확한지를 결정하기 위해 주어진 사양과 비교될 수 있다. 다시 말하면, 어느 지점에서, 측정 모델, 계측 타겟, 측정 시스템, 또는 계측 시스템들의 조합 중 임의의 것의 변화가 측정 능력을 사양 내로 가져오는 것으로 예측될 만큼 측정 능력이 감퇴한다.
도 4a 내지 도 4c에 도시된 예에 있어서, 상관 계수(R2), 기울기 및 평균 오프셋은 파라미터 추적의 우수성(goodness)에 대한 양적 메트릭으로서 사용된다. 그러나, 이러한 메트릭은 비제한적인 예로서 제공된다. 많은 다른 양적 성능 메트릭 또는 성능 메트릭의 조합이 예측될 수 있다. 일 예에 있어서, 랜덤 노이즈의 존재하에 3 시그마 정밀도가 성능 메트릭으로서 사용될 수 있다. 다른 예에 있어서, 하부층 두께 및/또는 광학 속성과 관련한 피측정 파라미터의 도함수가 하부층에 대한 측정 감도를 표현하기 위해 사용될 수 있다. 또 다른 예에 있어서, 피측정 파라미터 값의 평균 도구 대 도구 매칭이 성능 메트릭으로서 사용될 수 있다. 일반적으로, 임의의 적당한 양적 메트릭이 예측될 수 있다.
블록 206에서, 계측 시스템의 파라미터 추적 성능을 나타내는 메트릭이 메모리(예를 들면, 컴퓨팅 시스템(130)의 메모리(132))에 저장된다.
다른 추가의 양태에 있어서, 측정 모델은 프로세스 기반 주성분 분석(PCA) 파라미터화에 의해 파라미터화된다. 그러한 파라미터화의 몇 가지 예가 Stilian Pandev에 의한 미국 특허 공개 제2013/0110477호에 개시되어 있고, 이 특허 문헌은 인용에 의해 본원에 통합된다. 프로세스 기반 PCA 파라미터화는 모델 파라미터가 측정 정보의 과도한 손실 없이 측정 데이터로부터 효과적으로 분석될 수 있도록 측정 모델의 자유도의 수를 효과적으로 감소시킨다.
전형적으로, 모델 기반 측정 분석에 있어서, 어떤 파라미터가 유통(float)되고 어떤 파라미터가 속박(예를 들면, 특정 값으로 고정, 또는 특정 함수 또는 값들의 범위에 의해 속박 등)되는지에 관한 결정이 이루어져야 한다. PCA는 어떤 주성분 파라미터가 속박되는지에 관한 명확한 안내를 제공한다. 발명자들은 일반적으로 유통된 주성분 파라미터의 수가 증가함에 따라 정밀도가 나빠지지만 R2와 같은 추적 성능 파라미터는 일반적으로 특정 수의 유통된 주성분 파라미터에서 최적치에 도달한다는 것을 발견하였다. 예를 들면, 도 5는 관심 있는 파라미터 중의 하나에 대한 추정 오차의 일련의 확률 분포를 보인 선도(180)이다. 각각의 분포는 상이한 수의 유통된 주성분에 대응한다. 도 5에 도시된 바와 같이, 최적치는 19 또는 20개의 유통 주성분 부근에서 도달된다. 만일 더 적거나 더 큰 수의 주성분이 유통되면, 추적 오차 분포는 더 나빠진다. 이 예에서, 유통 주성분의 최적 수는 피측정 데이터의 랜덤 및 계통 노이즈에 의해 결정된다. 여기에서 설명하는 방법 및 시스템에 관한 PCA를 이용하면 특정 모델에 대하여 더 많은 파라미터들이 유통될 수 있고, 어떤 파라미터를 유통할 것인지에 관한 명확한 안내를 제공한다. 이것은 유통하기에 최상인 파라미터들이 정확히 식별되기 때문에 안정되고 정확한 결과에 기여한다.
다른 추가의 양태에 있어서, 여기에서 설명하는 바와 같이 주어진 프로세스 윈도우를 통해 측정 파라미터를 추적하기 위한 측정 시스템의 능력을 평가하는 방법 및 시스템은 관심 있는 프로세스 파라미터를 추적하는데 또한 적용된다.
도 6은 2차원 빔 윤곽 반사율계(2-D BPR) 시스템에 의해 측정되는 산화물층 내 홀의 단순화한 계측 모델을 보인 도(240)이다. 실험 계획법(DOE) 시뮬레이션 결과는 도 7의 표(245)에 나타낸 바와 같이 CD와 SWA 간에 높은 수준의 상관성을 보여준다. 따라서, 2-D BPR 측정 시스템은 2개의 계측 파라미터를 구별하는데 효과적이지 않은 것으로 기대된다. 그러나, 일 예로서, 리소그래피 프로세스 파라미터, 포커스 설정 및 노출량(exposure dosage)이 측정 모델에 추가되었고, 여기에서 설명하는 방법 및 시스템에 따라 추적된다.
도 9a 및 도 9b는 각각 측정 모델이 포커스 및 노출에 의해 파라미터화된 경우에 포커스 및 노출의 2-D BPR 측정과 관련된 추적 성능을 보인 것이다. 도 9a는 포커스 및 노출에 의해 파라미터화된 측정 모델을 이용하여 포커스의 2-D BPR 측정의 추적 성능을 표시하는 선도(255)이다. 선(256)은 추정 파라미터 값이 실제 파라미터 값과 동일한 경우의 완전한 추적을 표시한다. 선(257)은 도시된 데이터 점들 중의 최상의 적합도를 나타내는 선이다. 도 9a에 도시된 바와 같이, 포커스에 대한 추적 성능은 매우 우수하다. 유사하게, 도 9b는 포커스 및 노출에 의해 파라미터화된 측정 모델을 이용하여 노출의 2-D BPR 측정의 추적 성능을 표시하는 선도(260)이다. 선(261)은 추정 파라미터 값이 실제 파라미터 값과 동일한 경우의 완전한 추적을 표시한다. 선(262)은 도시된 데이터 점들 중의 최상의 적합도를 나타내는 선이다. 도 9b에 도시된 바와 같이, 노출에 대한 추적 성능은 매우 우수하다. 도 8의 표(250)로 나타낸 바와 같이, 포커스와 노출 간의 상관성은 SWA와 CD 간의 상관성보다 크게 더 낮다. 따라서, 2-D BPR 측정은 포커스 및 노출을 CD 및 SWA보다 더 큰 성공도로 분석할 수 있다.
이 방식으로, 측정 모델은 관심 있는 프로세스 파라미터(예를 들면, 포커스 설정, 노출, 에칭 시간, 증착 시간 등)를 정확히 추적하기 위해 사용된다.
다른 추가의 양태에 있어서, 측정 모델, 측정 타겟, 계측 시스템, 또는 계측 시스템들의 조합에 대한 변화가 파라미터 추적 성능을 개선하기 위해 필요한지를 결정하기 위해 파라미터 추적 성능 또는 정밀도를 표시하는 하나 이상의 메트릭이 평가된다.
도 15는 본 발명의 계측 시스템(100)에 의한 구현에 적합한 방법(300)을 보인 흐름도이다. 일 양태에 있어서, 방법(300)의 데이터 처리 블록들은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그램된 알고리즘을 통해 실행될 수 있는 것으로 인식된다. 비록 이하의 설명이 계측 시스템(100)과 관련하여 제시되지만, 계측 시스템(100)의 특수한 구조적 양태는 제한을 나타내는 것이 아니고 단지 설명하는 것으로 해석되어야 한다.
블록 301에서, 계측 시스템에 의한 계측 타겟의 측정의 파라미터 추적 성능을 표시하는 메트릭이 수신된다. 일 예에 있어서, 방법(200)의 블록(206)에서 저장된 하나 이상의 성능 메트릭이 메모리(예를 들면, 메모리(132))로부터 검색된다.
블록 302에서, 파라미터 추적 성능이 특정의 필요조건에 부합하는지에 대한 결정이 이루어진다. 만일 부합하면, 블록 304에 설명된 것처럼 계측 타겟의 실제 측정이 계측 시스템에 의해 수행된다. 일부 예에서, 이러한 측정은 반도체 생산 환경에서 수행된다. 만일 부합하지 않으면, 컴퓨팅 시스템(130)은 블록 303을 실행한다.
블록 303에서, 도 2와 관련하여 설명한 방법(200)의 요소들이 1) 측정 모델, 2) 계측 타겟 구조, 3) 계측 시스템 하드웨어, 및 4) 복수의 측정 양식을 포함한 계측 시스템 구조 중의 임의의 것에 대한 하나 이상의 개정과 함께 실행된다.
위에서 설명한 것처럼, 측정 모델은 계측 타겟의 측정에 대한 계측 시스템의 응답을 특징화한다. 상기 측정 모델은 계측 타겟을 특징화하는 관심 있는 하나 이상의 파라미터 및 계측 시스템을 특징화하는 하나 이상의 시스템 파라미터를 포함한다.
일부 예에 있어서, 측정 모델은 계측 타겟을 특징화하는 관심 있는 하나 이상의 파라미터를 변경함으로써 수정된다. 이것은 여기에서 설명하는 분석에 의해 통지될 수 있다. 예를 들어서, 만일 분석에 의해 하나 이상의 파라미터가 파라미터 변동과 직면하여 잘 추적되지 않는 것으로 나타나면, 그러한 파라미터의 변경은 추적 성능을 개선할 수 있다. 다른 예로서, 회귀 분석에서 유통되는 관심 있는 파라미터의 변경이 파라미터 추적을 개선하기 위해 이루어진다.
다른 예에 있어서, 측정 모델은 계측 시스템을 특징화하는 관심 있는 하나 이상의 파라미터를 변경함으로써 수정된다. 일부 예에 있어서, 특수한 계측 도구 운용 구성(예를 들면, 측정 비법)은 조명 파장, 편광, 입사각 등과 같은 특정 범위의 값을 포함한다. 만일 도 2의 방법(200)과 관련하여 설명한 분석에 의해 하나 이상의 파라미터가 파라미터 변동과 직면하여 잘 추적되지 않는 것으로 나타나면, 시스템 파라미터 값들의 변경은 추적 성능을 개선할 수 있다. 예를 들면, 추적은 더 많은 측정 정보(예를 들면, 더 많은 조명 파장, 더 많은 편광(또는, 뮬러 매트릭스 요소), 더 많은 입사각, 더 많은 퓨리에 모드, BPR 시스템의 더 많은 이미지 화소 등)를 추가함으로써 개선할 수 있다. 이 방식으로, 계측 도구 운용 구성은 여기에서 설명하는 분석에 기초하여 최적화될 수 있다. 이러한 예에 있어서, 특수한 계측 시스템 구조가 추정되고, 특정 범위의 운용 파라미터가 최적의 측정 능력을 실현하도록 선택된다.
또 다른 예에 있어서, 측정이 수행되는 하나 이상 계측 타겟의 구조는 도 2의 방법(200)과 관련하여 설명한 분석에 기초하여 최적화된다. 일부 예에 있어서, 1차 계측 타겟과 2차 계측 타겟은 모두 다중 타겟 계측 구현으로 최적화된다. 일부 실시형태에 있어서, 현장 강화 요소(field enhancement element, FEE)를 가진 특수한 계측 타겟은 측정될 필요가 있는 파라미터에 대한 감도를 향상시키도록 및/또는 다른 파라미터와의 상관성을 감소시키도록 설계될 수 있다. 일부 예는 "현장 강화 요소를 가진 타겟을 이용한 광학적 계측"의 명칭으로 Jonathan M. Madsen 등이 출원한 미국 특허 공개 제2013/0222795호에 개시되어 있고, 이 특허 문헌은 인용에 의해 그 전체가 본원에 통합된다.
도 10은 실리콘 기저층(191), 레지스트 트랩 구조(192), 및 상기 실리콘 기저층(191)과 레지스트 트랩 구조(192) 위에 증착된 라이너 층(193)을 포함한 반도체 구조(190)를 보인 도이다. 이 실시형태에 있어서, 라이너 층(193)은 FEE로서 사용된다.
일 예에 있어서, 라이너 층(193)의 두께는 레지스트 트랩 구조의 측정 파라미터의 측정 정밀도를 개선하도록 최적화된다. 도 11은 상이한 라이너 두께에 있어서 관심 있는 3개의 상이한 파라미터(MCD, SWA, HT)에 대하여 달성된 측정 정밀도를 보인 선도(195)이다. 선(196)은 상이한 라이너 두께에 대한 MCD의 측정에서 달성된 측정 정밀도를 나타낸다. 선(197)은 상이한 라이너 두께에 대한 SWA의 측정에서 달성된 측정 정밀도를 나타낸다. 선(198)은 상이한 라이너 두께에 대한 HT의 측정에서 달성된 측정 정밀도를 나타낸다. 도 11에 도시된 바와 같이, SWA의 측정 정밀도는 라이너 두께의 증가에 따라 감퇴한다. 다시 말하면, SWA만을 측정하기 위해서는 라이너를 도입하지 않는 것이 바람직하다. 그러나, HT의 측정 정밀도는 라이너 두께가 증가할수록 개선되고, 따라서 HT만을 측정하기 위해서는 두꺼운 라이너를 갖는 것이 바람직하다. MCD의 측정 정밀도는 약 10 나노미터의 라이너 두께까지 초기에 개선되지만, 라이너 두께가 더욱 증가하면 감퇴한다. 여기에서 설명하는 방법을 이용한 측정 정밀도의 분석에 기초해서, 라이너(192)와 같은 FEE에 의해 도입된 트레이드오프는 명확해진다. 예를 들면, 레지스트 구조(193)의 MCD, SWA 및 HT에 대한 최적의 측정 정밀도를 제공하기 위한 절충안으로서 약 20 나노미터의 라이너 두께를 선택할 수 있다.
다른 예에 있어서, 라이너 층(193)의 두께는 레지스트 트랩 구조의 측정 파라미터의 추적 능력을 개선하도록 최적화된다.
도 12a는 라이너 층(193)이 없는 레지스트 구조(192)의 경우에 프로세스 윈도우에서 MCD의 추정 파라미터 값의 최상 적합 선(212) 및 동일한 프로세스 윈도우에서 MCD의 공지된 파라미터 값의 선(211)을 포함한 선도(210)이다. 도 12b는 38 나노미터의 두께를 가진 라이너 층(193)이 있는 경우에 프로세스 윈도우에서 MCD의 추정 파라미터 값의 최상 적합 선(217) 및 동일한 프로세스 윈도우에서 MCD의 공지된 파라미터 값의 선(216)을 포함한 선도(215)이다.
도 13a는 라이너 층(193)이 없는 레지스트 구조(192)의 경우에 프로세스 윈도우에서 SWA의 추정 파라미터 값의 최상 적합 선(222) 및 동일한 프로세스 윈도우에서 SWA의 공지된 파라미터 값의 선(221)을 포함한 선도(220)이다. 도 13b는 38 나노미터의 두께를 가진 라이너 층(193)이 있는 경우에 프로세스 윈도우에서 SWA의 추정 파라미터 값의 최상 적합 선(227) 및 동일한 프로세스 윈도우에서 SWA의 공지된 파라미터 값의 선(226)을 포함한 선도(225)이다.
도 14a는 라이너 층(193)이 없는 레지스트 구조(192)의 경우에 프로세스 윈도우에서 HT의 추정 파라미터 값의 최상 적합 선(232) 및 동일한 프로세스 윈도우에서 HT의 공지된 파라미터 값의 선(231)을 포함한 선도(230)이다. 도 14b는 38 나노미터의 두께를 가진 라이너 층(193)이 있는 경우에 프로세스 윈도우에서 HT의 추정 파라미터 값의 최상 적합 선(237) 및 동일한 프로세스 윈도우에서 HT의 공지된 파라미터 값의 선(236)을 포함한 선도(235)이다.
도 12a, 도 12b, 도 13a, 도 13b, 도 14a, 및 도 14b에 도시된 바와 같이, 측정 시스템의 파라미터 추적 능력은 38mm 두께의 라이너 층의 도입으로 MCD 및 SWA 에 대하여 약간 감퇴된다. 그러나, HT에 대한 측정 시스템의 파라미터 추적 능력은 38mm 두께의 라이너 층의 도입으로 크게 개선된다.
또 다른 예에 있어서, 계측 도구(예를 들면, 계측 시스템 하드웨어, 측정 기술 등)의 구성 또는 설계는 분석에 기초하여 최적화된다. 이것은 여기에서 설명하는 분석에 의해 통지될 수 있다. 예를 들어서 만일 분석의 결과 하나 이상의 파라미터가 파라미터 변동에 직면하여 잘 추적되지 않는 것으로 나타나면, 계측 시스템 구조 또는 설계의 변화는 추적 성능을 개선할 수 있다. 상기 분석은 파라미터 추적 능력이 개선되고 사양에 부합하는지를 확인하기 위해 상기 변경된 시스템 구조 또는 설계로 다시 실행될 수 있다.
또 다른 예에 있어서, 계측 시스템은 복수의 측정 양식을 포함한다. 다시 말해서, 계측 시스템은 2개 이상의 측정 기술, 예를 들면, 엑스레이 반사율 및 분광 타원편광 분석 등을 이용한다. 일부 예에서, 상이한 측정 양식의 수 및 유형은 여기에서 설명하는 분석에 기초하여 최적화된다. 예를 들어서 만일 분석의 결과 하나 이상의 파라미터가 파라미터 변동에 직면하여 잘 추적되지 않는 것으로 나타나면, 측정 양식의 수 및/또는 유형의 변화는 추적 성능을 개선할 수 있다. 상기 분석은 파라미터 추적 능력이 개선되고 사양에 부합하는지를 확인하기 위해 계측 서브시스템의 상기 수정된 선택으로 다시 실행될 수 있다. 더욱이, 상이한 계측 서브시스템 또는 측정 양식의 상대적인 가중치는 메리트 함수의 최상의 값을 얻도록 최적화될 수 있다. 임의의 단일 측정 기술만이 최적으로 되지 않을 수 있지만, 상기와 같은 2개의 기술이 최상의 결과를 달성하기 위해 동일한 기여도를 가져야 할 이유는 없다.
일반적으로, 최적화는 2개 이상의 측정 요소에 대한 변화를 포함할 수 있다. 측정 모델, 계측 타겟 구조, 계측 시스템 하드웨어, 및 복수의 측정 양식을 포함한 계측 시스템 구조에 대한 변화의 임의 조합이 예측될 수 있고, 이러한 변화에 기인하는 파라미터 추적의 영향은 여기에서 설명하는 방법 및 시스템에 따라 평가될 수 있다.
도 15에 도시된 바와 같이, 요소(301, 302, 303)들은 파라미터 추적 성능이 사양에 도달할 때까지 반복될 수 있다. 일부 예에서, 측정 모델 파라미터, 타겟 설계 파라미터, 계측 시스템 유형 또는 계측 시스템들의 조합의 스페이스가 샘플링되고, 시각화 또는 데이터 분석을 위해 사용되는 추적 메트릭을 계산하기 위해 각각의 다른 시스템 구성에 대하여 방법(200)이 반복적으로 실행된다. 이 프로세스는 계측 시스템 및 측정 비법의 최적 설계를 산출하기 위해 연속적인 시스템 파라미터에서 자동 최적화(예를 들면, 비선형 회귀)의 일부로서 실행될 수 있다. 복수의 불연속 선택(예를 들면, 복수의 가능한 계측 서브시스템 중에서의 선택)의 경우에, 철저한 조사가 모든 가능한 구성 중에서 수행될 수 있다. 최적의 구성은 자동으로 선택될 수 있다. 시뮬레이트화 어닐링, 진화 알고리즘 등을 포함한 많은 다른 글로벌 최적화 알고리즘은 측정 모델, 계측 타겟 구조, 계측 시스템 하드웨어, 및 측정 목적에 부합하도록 복수의 측정 양식을 포함한 계측 시스템 구조의 만족스런 조합에 도달하게끔 최적화 처리의 전부 또는 일부를 자동화하는 것으로 예측될 수 있다.
일반적으로, 여기에서 설명하는 시스템 및 방법은 오프라인 또는 온툴(on-tool) 측정을 위한 측정 모델 및 계측 시스템을 준비하는 과정의 일부로서 구현될 수 있다. 또한, 측정 모델과 임의의 재파라미터화 측정 모델은 둘 다 하나 이상의 타겟 구조 및 측정 장소를 묘사할 수 있다.
일부 예에 있어서, 여기에서 설명하는 방법은 미국 캘리포니아주 밀피타스에 소재하는 KLA 텐코 코포레이션으로부터 입수 가능한 스펙트라세입(SpectraShape?) 광학 임계 치수 계측 시스템의 요소로서 구현된다. 이 방식으로, 측정 모델 및 시스템 구성이 생성되고 즉시 사용할 준비가 된다.
일부 다른 예에서, 여기에서 설명하는 방법은 오프라인, 예를 들면, 미국 캘리포니아주 밀피타스에 소재하는 KLA 텐코 코포레이션으로부터 입수 가능한 아큐세입(AcuShape?) 소프트웨어를 구현하는 컴퓨팅 시스템에 의해 구현된다. 결과적인 측정 모델 및 시스템 구성은 측정을 수행하는 계측 시스템에 의해 접근 가능한 아큐세입 라이브러리의 요소로서 통합될 수 있다.
일반적으로, 임의의 측정 기술(즉, 측정 양식), 또는 2개 이상의 측정 기술의 조합은 이 특허 문서의 범위 내에 있는 것으로 예측될 수 있다. 예시적인 측정 기술은, 비제한적인 예를 들자면, 뮬러 매트릭스 타원편광 측정을 포함한 분광 타원편광 측정, 분광 반사율 측정, 분광 산란율 측정, 산란율 측정 오버레이, 빔 윤곽 반사율 측정, 각도 분석 및 편광 분석, 빔 윤곽 타원편광 측정, 단일 또는 다중 이산 파장 타원편광 측정, 전송 소각(small angle) 엑스레이 산란율계(TSAXS), 소각 엑스레이 산란(SAXS), 스침각 입사 소각 엑스레이 산란(GISAXS), 광각 엑스레이 산란(WAXS), 엑스레이 반사율(XRR), 엑스레이 회절(XRD), 스침각 입사 엑스레이 회절(GIXRD), 고해상도 엑스레이 회절(HRXRD), 엑스레이 광전 분광(XPS), 엑스레이 형광(XRF), 스침각 입사 엑스레이 형광(GIXRF), 저에너지 전자 유도형 엑스레이 방사 산란율계(LEXES), 엑스레이 단층촬영, 및 엑스레이 타원편광 측정을 포함한다. 일반적으로, 이미지 기반 예측 기술을 포함한, 반도체 구조의 특징화에 적용할 수 있는 임의의 계측 기술이 예측될 수 있다. 추가적인 센서 옵션은 장치를 바이어스하고 결과적인 바이어스를 광학 센서(또는 그 역) 또는 보조 광학 기술, 예컨대 XRD, XRF, XPS, LEXES, SAXS 및 펌프 프로브 기술로 검출하는 비접촉 용량/전압 또는 전류/전압 센서와 같은 전기 센서를 포함한다. 일 실시형태에 있어서, 2차원 빔 윤곽 반사율계(동공 이미저)를 이용하여 작은 스폿 사이즈에서 각도 분석형 및/또는 다중 스펙트럼 데이터를 둘 다 수집할 수 있다. UV 리니크 간섭계가 또한 뮬러 매트릭스 스펙트럼 동공 이미저로서 사용될 수 있다.
비록 지금까지 몇 가지 예시적인 프로세스 파라미터를 리소그래피 및 관련 포커스 및 노출 계측과 관련하여 설명하였지만, 여기에서 설명한 방법 및 시스템은 다른 처리 모델(예를 들면, 에칭 또는 증착 처리), 및 다른 계측(예를 들면, 에칭 및 증착 계측)을 수반할 수 있다. 여기에서 설명한 방법 및 시스템은 또한 다른 기준 계측 기술(예를 들면, SEM, TEM, AFM, X-레이)을 수반할 수 있다. 더욱이, 여기에서 설명한 방법 및 시스템은 광학 계측 시스템(예를 들면, 분광 타원편광 분석계, 반사율계, BPR 시스템 등)과 관련하여 설명하였지만, 다른 모델 기반 계측(예를 들면, 오버레이, CD-SAXS, XRR 등)에도 또한 적용할 수 있다.
또 다른 양태에 있어서, 여기에서 설명한 측정 분석은 처리 도구(예를 들면, 리소그래피 도구, 에칭 도구, 증착 도구 등)에 활성 피드백을 제공하기 위해 사용될 수 있다. 예를 들면, 여기에서 설명한 방법을 이용하여 결정된 깊이 및 포커스 파라미터의 값들은 원하는 출력을 달성하도록 리소그래피 시스템을 조정하기 위해 리소그래피 도구에 전달될 수 있다. 유사한 방법으로, 에칭 파라미터(예를 들면, 에칭 시간, 확산율 등) 또는 증착 파라미터(예를 들면, 시간, 농도 등)가 에칭 도구 또는 증착 도구에 활성 피드백을 제공하기 위해 통합형 측정 모델에 각각 포함될 수 있다.
여기에서 설명한 바와 같이, 용어 "임계 치수"는 임의의 구조 임계 치수(예를 들면, 최하부 임계 치수, 중간 임계 치수, 최상부 임계 치수, 측벽각, 격자 높이 등), 임의의 2개 이상 구조물 간의 임계 치수(예를 들면, 2개의 구조물 간의 거리), 및 2개 이상 구조물 간의 변위(예를 들면, 오버레이 격자 구조물 간의 오버레이 변위 등)를 포함한다. 구조물은 3차원 구조물, 패턴화 구조물, 오버레이 구조물 등을 포함할 수 있다.
여기에서 설명한 바와 같이, 용어 "임계 치수 응용" 또는 "임계 치수 측정 응용"은 임의의 임계 치수 측정을 포함한다.
여기에서 설명한 바와 같이, 용어 "계측 시스템"은 적어도 부분적으로 임계 치수 계측, 오버레이 계측, 포커스/노출량 계측 및 조성물 계측과 같은 측정 응용을 포함한 임의 양태의 시료를 특징화하기 위해 사용되는 임의의 시스템을 포함한다. 그러나, 이러한 기술 용어는 여기에서 설명하는 용어 "계측 시스템"의 범위를 제한하지 않는다. 또한, 계측 시스템(100)은 패턴화 웨이퍼 및/또는 비패턴화 웨이퍼의 측정용으로 구성될 수 있다. 계측 시스템은 LED 검사 도구, 테두리 검사 도구, 배면 검사 도구, 매크로 검사 도구, 또는 다중 모드 검사 도구(하나 이상 플랫폼으로부터의 데이터를 동시에 수반함), 및 임계 치수 데이터에 기초하여 시스템 파라미터의 교정으로부터 이익을 취하는 임의의 다른 계측 또는 검사 도구로서 구성될 수 있다.
시료를 처리하는데 사용할 수 있는 반도체 처리 시스템(예를 들면, 검사 시스템 또는 리소그래피 시스템)의 각종 실시형태가 여기에서 설명된다. 용어 "시료"는 공지의 수단에 의해 처리(예를 들면, 프린트 또는 결함 검사)될 수 있는 웨이퍼, 레티클 또는 임의의 다른 샘플을 인용하는 것으로 여기에서 사용된다.
여기에서 사용되는 용어 "웨이퍼"는 일반적으로 반도체 또는 비반도체 물질로 형성된 기판을 말한다. 그 비제한적인 예로는 단결정 실리콘, 비화갈륨 및 인화인듐을 포함한다. 이러한 기판은 통상적으로 반도체 제조 설비에서 발견 및/또는 처리될 수 있다. 일부 경우에, 웨이퍼는 기판(즉, 베어 기판)만을 포함할 수 있다. 대안적으로, 웨이퍼는 기판 위에 형성된 하나 이상의 다른 물질층을 포함할 수 있다. 웨이퍼 위에 형성된 하나 이상의 층은 "패턴화" 또는 "비패턴화"될 수 있다. 예를 들면, 웨이퍼는 반복성 패턴 특징을 가진 복수의 다이를 포함할 수 있다.
"레티클"은 레티클 제조 공정 중 임의 단계에서의 레티클, 또는 반도체 제조 설비에서 사용하기 위해 방출되었거나 방출되지 않은 완성된 레티클일 수 있다. 레티클 또는 "마스크"는 일반적으로 실질적으로 불투명한 영역이 그 위에 형성되고 소정 패턴으로 구성된 실질적으로 투명한 기판으로서 규정된다. 기판은 예를 들면 비정질 SiO2와 같은 유리 물질을 포함할 수 있다. 레티클은 레티클 위의 패턴이 레지스트에 전사될 수 있도록 리소그래피 공정의 노광 단계 중에 레지스트 피복형 웨이퍼 위에 배치될 수 있다.
웨이퍼 위에 형성된 하나 이상의 층은 패턴화 또는 비패턴화될 수 있다. 예를 들면, 웨이퍼는 반복성 패턴 특징을 각각 가진 복수의 다이를 포함할 수 있다. 그러한 물질층의 형성 및 처리는 궁극적으로 완성된 소자를 만들 수 있다. 많은 상이한 유형의 소자들이 웨이퍼 위에 형성될 수 있고, 여기에서 사용하는 용어 "웨이퍼"는 업계에 공지된 임의 유형의 소자가 제조된 웨이퍼를 포함하는 것으로 의도된다.
하나 이상의 예시적인 실시형태에 있어서, 설명된 기능들은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의 조합으로 구현될 수 있다. 소프트웨어로 구현되는 경우, 기능들은 컴퓨터 판독가능 매체에 하나 이상의 명령어 또는 코드로서 저장되거나 하나 이상의 명령어 또는 코드로서 전송될 수 있다. 컴퓨터 판독가능 매체는 컴퓨터 스토리지 매체, 및 컴퓨터 프로그램을 하나의 장소에서 다른 장소로 전달할 수 있는 임의의 매체를 포함한 통신 매체를 포함한다. 스토리지 매체는 범용 또는 특수 용도 컴퓨터에 의해 접근될 수 있는 임의의 가용 매체일 수 있다. 비제한적인 예로서, 그러한 컴퓨터 판독가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 장치, 또는 원하는 프로그램 코드 수단을 명령어 또는 데이터 구조의 형태로 운반 또는 저장하기 위해 사용되고 범용 또는 특수 용도 컴퓨터, 또는 범용 또는 특수 용도 프로세서에 의해 접근될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속을 컴퓨터 판독가능 매체라고 적절히 부른다. 예를 들어서, 만일 소프트웨어가 웹사이트, 서버 또는 다른 원격 소스로부터 동축 케이블, 광섬유 케이블, 트위스트 페어, 디지털 가입자 선로(DSL), 또는 적외선, 라디오파 및 마이크로파와 같은 무선 기술을 이용하여 전송되면, 상기 동축 케이블, 광섬유 케이블, 트위스트 페어, DSL, 또는 적외선, 라디오파 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 여기에서 사용하는 용어 디스크(disk) 및 디스크(disc)는 콤팩트 디스크(disc)(CD), 레이저 디스크(disc), 광 디스크(disc), 디지털 다기능 디스크(disc)(DVD), 플로피 디스크(disk) 및 블루레이 디스크(disc)를 포함하고, 여기에서 디스크(disk)는 일반적으로 데이터를 자기적으로 재생하고 디스크(disc)는 데이터를 레이저에 의해 광학적으로 재생한다. 전술한 것들의 조합이 또한 컴퓨터 판독가능 매체의 범위에 포함된다.
비록 일부 구체적인 실시형태가 소개 목적으로 위에서 설명되었지만, 이 특허 문서의 교시는 일반적인 응용성을 가지며 전술한 구체적인 실시형태로 제한되지 않는다. 따라서, 전술한 실시형태의 각종 특징의 각종 수정, 개작 및 조합이 특허 청구범위에서 규정하는 본 발명의 범위로부터 벗어나지 않고 실시될 수 있다.

Claims (20)

  1. 계측 타겟의 측정치에 대한 계측 시스템의 응답을 특징화하는 측정 모델 - 이 측정 모델은 계측 타겟을 특징화하는 관심 있는 하나 이상의 파라미터 및 계측 시스템을 특징화하는 하나 이상의 시스템 파라미터를 포함하는 것임 - 을 수신하는 단계와;
    상기 계측 타겟과 연관된 바람직한 프로세스 윈도우우에 걸쳐 있는 관심 있는 하나 이상의 파라미터 중 임의의 파라미터와 연관된 공지 값들의 집합을 결정하는 단계와;
    상기 관심 있는 하나 이상의 파라미터 중 임의의 파라미터의 공지 값들의 각각의 집합 및 적어도 하나의 섭동(perturbed) 신호에 대하여 계측 타겟의 측정치 집합을 특징화하는 상기 측정 모델의 시뮬레이션 집합에 기초하여 섭동이 있는 합성 측정 신호의 집합을 결정하는 단계와;
    상기 섭동이 있는 합성 측정 신호의 집합에 기초하여 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치를 결정하는 단계와;
    상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치 및 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 공지 값에 기초하여 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭을 결정하는 단계와;
    상기 메트릭을 메모리에 저장하는 단계를 포함한 방법.
  2. 제1항에 있어서, 상기 적어도 하나의 섭동 신호는 상기 계측 시스템의 계통적 섭동을 표시하는 것인 방법.
  3. 제1항에 있어서, 상기 적어도 하나의 섭동 신호는 상기 계측 시스템의 무작위 섭동을 표시하는 것인 방법.
  4. 제1항에 있어서, 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭의 값에 기초하여 상기 측정 모델의 파라미터화를 변경하는 단계를 더 포함한 방법.
  5. 제1항에 있어서, 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭의 값에 기초하여 계측 타겟 구조를 변경하는 단계를 더 포함한 방법.
  6. 제1항에 있어서, 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭의 값에 기초하여 상기 계측 시스템의 구조를 변경하는 단계를 더 포함한 방법.
  7. 제1항에 있어서, 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭의 값에 기초하여 상기 계측 시스템의 측정 양식의 조합을 변경하는 단계를 더 포함한 방법.
  8. 제1항에 있어서, 상기 합성 측정 신호의 집합에 기초하여 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치를 결정하는 단계는 주성분 집합에 대하여 상기 측정 모델 파라미터화를 변환하는 단계를 포함한 것인 방법.
  9. 제1항에 있어서, 상기 관심 있는 파라미터 중 적어도 하나의 파라미터는 프로세스 파라미터인 것인 방법.
  10. 제1항에 있어서, 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치를 결정하는 단계는 상기 측정 모델의 비선형 회귀를 포함한 것인 방법.
  11. 계측 시스템에 있어서,
    조명원과;
    검출기와;
    컴퓨팅 시스템을 포함하고, 상기 컴퓨팅 시스템은,
    계측 타겟의 측정치에 대한 계측 시스템의 응답을 특징화하는 측정 모델 - 이 측정 모델은 계측 타겟을 특징화하는 관심 있는 하나 이상의 파라미터 및 계측 시스템을 특징화하는 하나 이상의 시스템 파라미터를 포함하는 것임 - 을 수신하고;
    상기 계측 타겟과 연관된 바람직한 프로세스 윈도우우에 걸쳐 있는 관심 있는 하나 이상의 파라미터 중 임의의 파라미터와 연관된 공지 값들의 집합을 결정하고;
    상기 관심 있는 하나 이상의 파라미터 중 임의의 파라미터의 공지 값들의 각 집합 및 적어도 하나의 섭동 신호에 대하여 계측 타겟의 측정치 집합을 특징화하는 상기 측정 모델의 시뮬레이션 집합에 기초하여 섭동이 있는 합성 측정 신호의 집합을 결정하고;
    상기 섭동이 있는 합성 측정 신호의 집합에 기초하여 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치를 결정하고;
    상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치 및 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 공지 값에 기초하여 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭을 결정하고;
    상기 메트릭을 메모리에 저장하도록 구성된 것인 계측 시스템.
  12. 제11항에 있어서, 상기 컴퓨팅 시스템은 또한 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭의 값에 기초하여 상기 측정 모델의 파라미터화를 변경하도록 구성된 것인 계측 시스템.
  13. 제11항에 있어서, 상기 컴퓨팅 시스템은 또한 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭의 값에 기초하여 계측 타겟 구조를 변경하도록 구성된 것인 계측 시스템.
  14. 제11항에 있어서, 상기 컴퓨팅 시스템은 또한 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭의 값에 기초하여 상기 계측 시스템의 구조를 변경하도록 구성된 것인 계측 시스템.
  15. 제11항에 있어서, 상기 컴퓨팅 시스템은 또한 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭의 값에 기초하여 상기 계측 시스템의 측정 양식의 조합을 변경하도록 구성된 것인 계측 시스템.
  16. 제11항에 있어서, 상기 합성 측정 신호의 집합에 기초하여 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치를 결정하는 것은 주성분 집합에 대하여 상기 측정 모델 파라미터화를 변환하는 것을 포함한 것인 계측 시스템.
  17. 계측 시스템에 있어서,
    컴퓨팅 시스템과;
    코드를 포함한 비일시적 컴퓨터 판독가능 매체
    를 포함하고, 상기 코드는, 상기 컴퓨팅 시스템으로 하여금,
    계측 타겟의 측정치에 대한 계측 시스템의 응답을 특징화하는 측정 모델 - 이 측정 모델은 계측 타겟을 특징화하는 관심 있는 하나 이상의 파라미터 및 계측 시스템을 특징화하는 하나 이상의 시스템 파라미터를 포함하는 것임 - 을 수신하고;
    상기 계측 타겟과 연관된 바람직한 프로세스 윈도우우에 걸쳐 있는 관심 있는 하나 이상의 파라미터 중 임의의 파라미터와 연관된 공지 값들의 집합을 결정하고;
    상기 관심 있는 하나 이상의 파라미터 중 임의의 파라미터의 공지 값들의 각 집합 및 적어도 하나의 섭동 신호에 대하여 계측 타겟의 측정치 집합을 특징화하는 상기 측정 모델의 시뮬레이션 집합에 기초하여 섭동이 있는 합성 측정 신호의 집합을 결정하고;
    상기 섭동이 있는 합성 측정 신호의 집합에 기초하여 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치를 결정하고;
    상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치 및 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 공지 값에 기초하여 상기 계측 시스템의 파라미터 추적 성능을 표시하는 메트릭을 결정하고;
    상기 메트릭을 메모리에 저장하게 하는 것인 계측 시스템.
  18. 제17항에 있어서, 상기 합성 측정 신호의 집합에 기초하여 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치를 결정하는 것은 주성분 집합에 대하여 상기 측정 모델 파라미터화를 변환하는 것을 포함한 것인 계측 시스템.
  19. 제17항에 있어서, 상기 관심 있는 파라미터 중 적어도 하나의 파라미터는 프로세스 파라미터인 것인 계측 시스템.
  20. 제17항에 있어서, 상기 관심 있는 하나 이상의 파라미터 각각에 연관된 추정치를 결정하는 것은 상기 측정 모델의 비선형 회귀를 포함한 것인 계측 시스템.
KR1020157035873A 2013-05-21 2014-05-19 파라미터 추적을 위한 계측 시스템 최적화 KR102013483B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361825814P 2013-05-21 2013-05-21
US61/825,814 2013-05-21
US14/278,224 2014-05-15
US14/278,224 US9255877B2 (en) 2013-05-21 2014-05-15 Metrology system optimization for parameter tracking
PCT/US2014/038643 WO2014189853A1 (en) 2013-05-21 2014-05-19 Metrology system optimization for parameter tracking

Publications (2)

Publication Number Publication Date
KR20160011654A true KR20160011654A (ko) 2016-02-01
KR102013483B1 KR102013483B1 (ko) 2019-08-22

Family

ID=51934021

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157035873A KR102013483B1 (ko) 2013-05-21 2014-05-19 파라미터 추적을 위한 계측 시스템 최적화

Country Status (5)

Country Link
US (1) US9255877B2 (ko)
KR (1) KR102013483B1 (ko)
CN (1) CN105324839B (ko)
TW (1) TWI609283B (ko)
WO (1) WO2014189853A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170128997A (ko) * 2016-05-16 2017-11-24 삼성전자주식회사 계측 설비의 스펙트럼 보정방법, 및 그 스펙트럼 보정방법을 기반으로 하는 소자의 계측방법과 제조방법
KR20170129923A (ko) * 2015-03-24 2017-11-27 케이엘에이-텐코 코포레이션 모델 기반 단일 파라미터 측정
KR20200019755A (ko) * 2017-08-07 2020-02-24 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102020021B1 (ko) 2013-03-04 2019-09-10 케이엘에이 코포레이션 계측 타겟의 식별, 디자인 및 검증
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
US10312161B2 (en) 2015-03-23 2019-06-04 Applied Materials Israel Ltd. Process window analysis
US10718606B2 (en) * 2015-04-17 2020-07-21 Nikon Corporation Determination of customized components for fitting wafer profile
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
US10345721B1 (en) 2015-06-16 2019-07-09 Kla-Tencor Corporation Measurement library optimization in semiconductor metrology
US10502692B2 (en) * 2015-07-24 2019-12-10 Kla-Tencor Corporation Automated metrology system selection
KR102525873B1 (ko) * 2015-10-16 2023-04-27 삼성전자주식회사 반도체 공정 시뮬레이션 장치 및 그것의 시뮬레이션 방법
TWI647431B (zh) * 2015-11-09 2019-01-11 耐諾股份有限公司 光學計量裝置及方法
US10139358B2 (en) * 2016-01-11 2018-11-27 International Business Machines Corporation Method for characterization of a layered structure
US10281263B2 (en) * 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10145674B2 (en) * 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10732515B2 (en) * 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
EP3531205A1 (en) * 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
CN112689802B (zh) 2018-08-28 2024-03-29 Asml荷兰有限公司 最佳量测指导的系统和方法
JP7329597B2 (ja) * 2018-11-21 2023-08-18 ケーエルエー コーポレイション 実験計画法および応答曲面モデルを使用するプロセス最適化
US20220335290A1 (en) * 2019-09-06 2022-10-20 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
CN111598084B (zh) * 2020-05-11 2023-06-02 北京阿丘机器人科技有限公司 缺陷分割网络训练方法、装置、设备及可读存储介质
US11530913B2 (en) * 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20240159656A1 (en) * 2022-11-11 2024-05-16 Onto Innovation Inc. Combined modeling and machine learning in optical metrology
CN117033860B (zh) * 2023-08-31 2024-02-06 清华大学 驱动轴跟踪误差的参数敏感性分析方法及装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070225851A1 (en) * 2004-07-08 2007-09-27 Timbre Technologies, Inc. Optical metrology model optimization for process control
US20110246141A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited Method of optical metrology optimization using ray tracing
US20120022836A1 (en) * 2010-07-22 2012-01-26 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US20120116733A1 (en) * 2010-06-30 2012-05-10 Kla-Tencor Corporation Data Perturbation for Wafer Inspection or Metrology Setup

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US9037280B2 (en) * 2005-06-06 2015-05-19 Kla-Tencor Technologies Corp. Computer-implemented methods for performing one or more defect-related functions
JP4908934B2 (ja) * 2006-06-08 2012-04-04 株式会社日立ハイテクノロジーズ 半導体ウェーハ検査装置および半導体ウェーハ検査方法
US9256900B2 (en) * 2010-11-15 2016-02-09 International Business Machines Corporation Managing service demand load relative to infrastructure capacity in a networked computing environment
US9207735B2 (en) * 2011-08-02 2015-12-08 Gram Power, Inc. Power management device and system
US8879073B2 (en) * 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10101670B2 (en) * 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) * 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070225851A1 (en) * 2004-07-08 2007-09-27 Timbre Technologies, Inc. Optical metrology model optimization for process control
US20110246141A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited Method of optical metrology optimization using ray tracing
US20120116733A1 (en) * 2010-06-30 2012-05-10 Kla-Tencor Corporation Data Perturbation for Wafer Inspection or Metrology Setup
US20120022836A1 (en) * 2010-07-22 2012-01-26 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170129923A (ko) * 2015-03-24 2017-11-27 케이엘에이-텐코 코포레이션 모델 기반 단일 파라미터 측정
KR20170128997A (ko) * 2016-05-16 2017-11-24 삼성전자주식회사 계측 설비의 스펙트럼 보정방법, 및 그 스펙트럼 보정방법을 기반으로 하는 소자의 계측방법과 제조방법
KR20200019755A (ko) * 2017-08-07 2020-02-24 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
CN110998449A (zh) * 2017-08-07 2020-04-10 Asml荷兰有限公司 计算量测
US11067902B2 (en) * 2017-08-07 2021-07-20 Asml Netherlands B.V. Computational metrology

Also Published As

Publication number Publication date
TWI609283B (zh) 2017-12-21
TW201502829A (zh) 2015-01-16
US9255877B2 (en) 2016-02-09
CN105324839B (zh) 2018-01-16
KR102013483B1 (ko) 2019-08-22
US20140347666A1 (en) 2014-11-27
WO2014189853A1 (en) 2014-11-27
CN105324839A (zh) 2016-02-10

Similar Documents

Publication Publication Date Title
KR102013483B1 (ko) 파라미터 추적을 위한 계측 시스템 최적화
CN107408519B (zh) 基于模型的单个参数测量的系统和方法
KR102382490B1 (ko) 모델 기반의 핫 스팟 모니터링
KR102415145B1 (ko) 다중 패턴화 파라미터의 측정
KR102196370B1 (ko) 프록시 구조의 측정에 기초한 신호 응답 계측
US9875946B2 (en) On-device metrology
KR102245695B1 (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
KR102035376B1 (ko) 통계적 모델 기반 계측
TWI659483B (zh) 多重圖案化處理之度量
TW201626476A (zh) 用於以影像為基礎之量測及以散射術為基礎之重疊量測之信號回應度量
KR20150018535A (ko) 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화
KR102644768B1 (ko) 확률 도메인 지식에 기초하는 측정 레시피 최적화 및 물리적 실현
JP2023544534A (ja) 半導体測定の品質を決定するための方法およびシステム
US20230092729A1 (en) Semiconductor Profile Measurement Based On A Scanning Conditional Model
KR20240003440A (ko) 훈련된 파라미터 조건부 측정 모델에 기초한 고해상도 프로파일 측정

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right