KR20150018535A - 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화 - Google Patents

웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화 Download PDF

Info

Publication number
KR20150018535A
KR20150018535A KR1020147034010A KR20147034010A KR20150018535A KR 20150018535 A KR20150018535 A KR 20150018535A KR 1020147034010 A KR1020147034010 A KR 1020147034010A KR 20147034010 A KR20147034010 A KR 20147034010A KR 20150018535 A KR20150018535 A KR 20150018535A
Authority
KR
South Korea
Prior art keywords
model
wafer
measurement
cross
parameters
Prior art date
Application number
KR1020147034010A
Other languages
English (en)
Other versions
KR102220435B1 (ko
Inventor
스틸리안 이바노프 판데프
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20150018535A publication Critical patent/KR20150018535A/ko
Application granted granted Critical
Publication of KR102220435B1 publication Critical patent/KR102220435B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

최적화된 측정 모델이 반도체 웨이퍼에 걸친 파라미터 변동들의 모델에 기초하여 결정된다. 글로벌의 크로스-웨이퍼 모델은 웨이퍼 상의 위치의 함수로서 구조적인 파라미터를 특성화한다. 측정 모델은 프로세스 변동들의 크로스-웨이퍼 모델로 측정 모델을 제약함으로써 최적화된다. 몇몇 예들에서, 크로스-웨이퍼 모델은 그 자체로 파라미터화된 모델이다. 그러나 크로스-웨이퍼 모델은 각각의 위치에서 미지인 것으로서 구조적인 파라미터를 취급하는 측정 모델보다 훨씬 더 적은 수의 파라미터들로 웨이퍼 상의 임의의 위치에서의 구조적인 파라미터의 값들을 특성화한다. 몇몇 예들에서, 크로스-웨이퍼 모델은 웨이퍼 상의 위치에 기초하여 미지의 구조적인 파라미터들 값들 간에 제약들을 생기게 한다. 일 예에서, 크로스-웨이퍼 모델은 웨이퍼 상의 위치에 기초하여 측정 사이트들의 그룹들과 연관되는 구조적인 파라미터들의 값들에 관련된다.

Description

웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화{MEASUREMENT MODEL OPTIMIZATION BASED ON PARAMETER VARIATIONS ACROSS A WAFER}
관련 출원들에 대한 상호참조
본 특허 출원은 2012년 5월 8일 출원되고, 발명의 명칭이 "Process Based Cross Wafer Model Optimization"인 미국 가특허 출원 번호 제61/644,030호로부터 35 U.S.C.§119 하에서 우선권을 주장하며, 상기 가특허의 청구 대상은 그 전체가 인용에 의해 본원에 포함된다.
설명되는 실시예들은 계측(metrology) 시스템들 및 방법들에 관한 것으로서, 보다 구체적으로는, 구조적 파라미터들의 개선된 측정을 위한 방법들 및 시스템들에 관한 것이다.
로직 및 메모리 디바이스들과 같은 반도체 디바이스들은 통상적으로 견본(specimen)에 적용되는 프로세싱 단계들의 시퀀스에 의해 제조된다. 반도체 디바이스들의 다양한 피처들 및 다수의 구조적 레벨들이 이들 프로세싱 단계들에 의해 형성된다. 예를 들어, 다른 것들 중에서도, 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 포함하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 부가적인 예들은 화학-기계적 폴리싱, 에칭, 증착 및 이온 주입을 포함(그러나 이들로 제한되지 않음)한다. 다수의 반도체 디바이스들은 단일 반도체 웨이퍼 상에서 제조되고 이어서 개별 반도체 디바이스들로 분리된다.
계측 프로세스들은 더 높은 수율을 조장하기 위해 웨이퍼들 상에서 결함들을 검출하도록 반도체 제조 프로세스 동안 다양한 단계들에서 이용된다. 광학 계측 기법들은 샘플 파손의 위험 없이 높은 쓰루풋에 대한 잠재성을 제공한다. 산란 측정(scatterometry) 및 반사 측정(reflectometry) 구현들 및 연관된 분석 알고리즘들을 포함하는 다수의 광학 계측 기반 기법들은 임계 치수들, 막 두께들, 합성 및 나노스케일 구조물들의 다른 파라미터들을 특성화하기 위해 흔히 이용된다.
종래에, 광학 계측은 박막들 및/또는 반복되는 주기적인 구조물들로 구성된 타겟들(targets) 상에서 수행된다. 디바이스 제조 동안, 이들 막들 및 주기적인 구조물들은 통상적으로 실제 디바이스 지오메트리 및 물질 구조 또는 중간 설계를 표현한다. 디바이스들(예를 들어, 로직 및 메모리 디바이스들)이 더 작은 나노미터-스케일 치수들로 이동함에 따라, 특성화는 더 어려워지고 있다. 복합 3-차원 지오메트리 및 다양한 물리적 특성들을 갖는 물질들을 포함하는 디바이스들은 특성화 어려움에 기여한다.
예를 들어, 현대의 메모리 구조물들은 종종, 광학 방사선이 하부 층들까지 침투하기 어렵게 하는 높은 종횡비의 3-차원 구조물들이다. 또한, 복합 구조물들(예를 들어, FinFET들)을 특성화하는데 요구되는 증가하는 수의 파라미터들은 증가하는 파라미터 상관을 야기한다. 그 결과, 타겟을 특성화하는 측정 모델 파라미터는 종종 신뢰 가능하게 디커플링(decouple)되지 않을 수 있다.
이들 과제들에 응답하여, 보다 복합적인 광학 툴들이 개발되었다. 측정들은 넓은 범위의 몇 개의 머신 파라미터들(예를 들어, 파장, 방위각 및 입사각 등) 상에서 그리고 종종 동시에 수행된다. 그 결과, 측정 레시피들을 비롯해서, 측정 시간, 계산 시간 및 신뢰 가능한 결과들을 생성하기 위한 전반적인 시간은 상당히 증가한다. 또한, 큰 파장 범위들 상에서 광 세기의 확산은 임의의 특정한 파장의 조명 세기를 감소시키고 그 파장에서 수행되는 측정들의 신호 불확실성을 증가시킨다.
미래의 계측 애플리케이션들은 점점 작아지는 분해능 요건들, 다중-파라미터 상관, 점점 복잡해지는 지오메트리 구조물들 및 불투명한 물질들의 증가하는 사용으로 인해 계측에 대한 과제들을 제시한다. 따라서, 개선된 측정들을 위한 방법들 및 시스템들이 요구된다.
상이한 반도체 제조 프로세스들과 연관된 구조적 및 물질 특성들을 측정하도록 구성된 계측 시스템들에 대한 최적화된 측정 모델들을 생성하기 위한 방법들 및 시스템들이 제시된다.
일 양상에서, 최적화된 측정 모델은 반도체 웨이퍼에 걸친 파라미터 변동들의 모델링에 기초하여 결정된다. 하나 이상의 견본 파라미터들의 변동들은 글로벌적으로(즉, 웨이퍼의 표면에 걸쳐서) 모델링된다. 측정 모델 정의는 프로세스 변동들의 크로스-웨이퍼(cross-wafer) 모델로 측정 모델을 제약함으로써 개선된다.
다수의 실시예들에서, 프로세스 유도 변동들은 웨이퍼에 걸쳐서 공간적 패턴들(예를 들어, U 또는 W 형상들을 갖는 방사상 대칭적인 박막 두께 패턴)로서 나타난다. 이들 패턴들은 일반적으로 웨이퍼에 걸친 스무스(smooth)하고 연속적인 파라미터 변화들을 초래한다. 크로스-웨이퍼 모델은 웨이퍼 상의 위치의 함수로서 견본 파라미터 값을 특성화한다.
몇몇 예들에서, 크로스-웨이퍼 모델은 그 자체로 파라미터화된 모델이지만, 각각의 위치에서 상이한 미지의 것으로서 견본 파라미터를 취급하는 측정 모델보다 훨씬 적은 수의 파라미터들을 갖는다.
몇몇 예들에서, 크로스-웨이퍼 모델은 웨이퍼 상의 위치에 기초하여 미지의 견본 파라미터들 간의 관계들에 관한 제약들을 생기게 한다. 이러한 방식으로, 크로스-웨이퍼 모델은 웨이퍼 상의 위치에 기초하여 측정 사이트들의 그룹과 연관된 견본 파라미터들 간의 기능적 관계들을 생기게 한다.
측정 모델은 파라미터 변동들의 크로스-웨이퍼 모델에 의해 제약을 받는다. 이러한 방식으로, 측정 솔루션에 도달하도록 피팅(fitting)되어야 하는 파라미터들의 세트가 감소된다. 이는 파라미터들 간의 상관을 더 적게 하고 측정 결과들을 더 정확하게 하며, 라이브러리 생성, 피팅 및 분석에 대한 계산 시간을 더 적게 한다. 예를 들어, 층들의 두께와 층들 위에 제조되는 격자 구조물 간의 상관이 제거되거나 상당히 감소된다. 모델링된 파라미터들이 몇몇 공칭 값들로 고정되는 대신 웨이퍼에 걸쳐서 플로팅되고 제약을 받기 때문에 정확도가 개선된다. 몇몇 예들에서, 최적화된 측정 모델은 만족스런 측정 결과들을 달성하기 위해 감소된 범위의 조명 파장들 및 더 적은 수의 측정 기술들을 통한 측정들을 가능케 한다. 몇몇 예들에서, 제한된 파장 범위들 내의 높은 세기 광 소스들을 이용하는 측정 시스템들은 최적화된 측정 모델에 의해 가능하게 된다.
위의 내용은 요약이며, 따라서, 필요에 의해, 단순화들, 일반화들 및 세부사항들의 생략들을 포함하고; 결과적으로, 당업자들은 이 요약이 단지 예시적이며, 어떠한 방식으로도 제한적인 것이 아니란 것을 인지할 것이다. 본 명세서에서 설명되는 디바이스들 및/또는 프로세스들의 다른 양상들, 신규한 피처들 및 이점들은 본 명세서에서 제시되는 비-제한적인 상세한 설명에서 자명하게 될 것이다.
도 1은 본 명세서에서 설명되는 방법들에 따라 프로세스 변동의 크로스-웨이퍼 모델(cross-wafer model)에 기초하여 측정 모델을 최적화하도록 구성된 계측 시스템(100)을 예시하는 도면이다.
도 2는 프로세스 변동의 크로스-웨이퍼 모델에 기초하여 측정 모델을 최적화하는 예시적인 방법(200)을 예시하는 흐름도이다.
도 3은 웨이퍼 상의 상이한 방사상 위치들에 대한 막 두께의 측정들을 도시하는 플롯(150)이다.
도 4는 웨이퍼(101) 상에 동일한 방사상 위치를 갖는 측정 사이트들의 그룹들을 예시하는 도면이다.
본 발명의 배경 예들 및 몇몇 실시예들에 대한 참조가 이제 상세히 이루어질 것이며, 이들의 예들은 첨부 도면들에서 예시된다. 반도체 웨이퍼에 걸친 파라미터 변동들의 모델링에 기초하여 측정 모델들을 최적화하기 위한 방법들 및 시스템들이 제시된다. 최적화된 측정 모델들을 이용하는 시스템들은 상이한 반도체 제조 프로세스들과 연관되는 구조적 및 물질 특성들(예를 들어, 물질 합성, 구조물들 및 막들의 치수 특성들 등)을 측정하는데 이용된다.
일반적으로, 본 명세서에서 논의되는 광학 계측 기법들은 검사 중인 견본의 물리적 특성들을 측정하는 간접적인 방법들이다. 대부분의 경우들에서, 측정된 값들은 견본의 물리적 성질들을 직접 결정하는데 이용될 수 없다. 공칭 측정 프로세스(nominal measurement process)는 구조물(예를 들어, 막 두께, 임계 치수들 등) 및 머신(machine)(예를 들어, 파장, 입사각, 편광각 등)의 파라미터화로 구성된다. 측정된 값들을 예측하도록 시도하는 측정 모델이 생성된다. 모델은 머신(Pmachine) 및 견본(Pspecimen)과 연관된 파라미터들을 포함한다.
머신 파라미터들은 계측 툴 그 자체를 특성화하는데 이용되는 파라미터들이다. 예시적인 머신 파라미터들은 입사각(angle of incidence; AOI), 분석기 각도(A0), 편광자 각도(P0), 조명 파장, 개구수(NA) 등을 포함한다. 견본 파라미터들은 견본을 특성화하는데 이용되는 파라미터들이다. 박막 견본에 대해, 예시적인 견본 파라미터들은 굴절률, 유전 함수 텐서(dielectric function tensor), 모든 층들의 공칭 층 두께, 층 시퀀스 등을 포함한다. 측정 목적들을 위해, 머신 파라미터들은 알려진 고정된 파라미터로서 취급되고, 견본 파라미터들, 또는 견본 파라미터들의 서브세트는 미지(unknown)의 플로팅 파라미터들로서 취급된다. 플로팅 파라미터들은 이론적 예측들과 실험적 데이터 간의 최상의 피팅(best fit)을 생성하는 피팅 프로세스(fitting process)(예를 들어, 회귀(regression), 라이브러리 매칭 등)에 의해 해결된다. 미지의 견본 파라미터들(Pspecimen)은 변동되고, 모델 출력 값들은, 모델 출력 값들과 실험적으로 측정된 값들 간의 근접 매치를 발생시키는 견본 파라미터 값들의 세트가 결정될 때까지 계산된다.
다수의 경우들에서, 견본 파라미터들은 강하게(highly) 상관된다. 이는 모델 불안정(model instability)을 야기할 수 있다. 몇몇 경우들에서, 이는 특정한 견본 파라미터들을 고침(fixing)으로써 해결된다. 그러나 이는 종종 잔여 파라미터들의 추정에 있어 상당한 에러들을 초래한다. 예를 들어, 아래 놓이는 층들(예를 들어, 반도체 웨이퍼 상의 반도체 물질 스택의 산화물 베이스 층들)은 웨이퍼 표면 상에서 균일한 두께로 이루어지지 않는다. 그러나 파라미터 상관을 감소시키기 위해, 웨이퍼 상의 표면 위에서 고정된 두께를 갖는 것으로서 이들 층들을 취급하는 측정 모델들이 구성된다. 불행히, 이는 다른 파라미터들의 추정에 있어 상당한 에러들을 야기할 수 있다.
파라미터 상관들은 또한 단일 타겟 또는 아주 근접한 다수의 타겟들을 특성화하는 부가적인 지오메트리 정보를 포함함으로써 감소될 수 있지만, 이 지오메트리 정보는 위치 특정적이며, 각각의 위치에 대해 별개로 포함되어야 한다. 웨이퍼 상의 다수의 위치들로부터 수집되는 프로세스 변동 정보는 또한 파라미터 상관들을 감소시키기 위해 측정 모델에 통합될 수 있다. 그러나 유사하게, 이 프로세스 변동 정보는 위치 특징적이며, 각각의 위치에 대해 별개로 포함되어야 한다.
일 양상에서, 최적화된 측정 모델은 반도체 웨이퍼에 걸친 파라미터 변동들의 모델링에 기초하여 결정된다. 하나 이상의 견본 파라미터들의 변동들은 글로벌적으로(즉, 웨이퍼의 표면에 걸쳐서) 모델링된다. 그러므로, 반도체 웨이퍼에 걸친 파라미터 변동들의 모델은 크로스-웨이퍼 모델(cross-wafer model)이다. 측정 모델 정의는 프로세스 변동들의 크로스-웨이퍼 모델로 측정 모델을 제한함으로써 개선된다.
다수의 실시예들에서, 프로세스 유도 변동들은 웨이퍼에 걸쳐서 공간적인 패턴들(예를 들어, U 또는 W 형상을 갖는 방사상 대칭적 박막 두께 패턴)로서 나타난다. 이들 패턴들을 일반적으로 크로스-웨이퍼 모델에 의해 쉽게 포착되는, 웨이퍼에 걸친 스무스(smooth)하고 연속적인 파라미터 변화들로부터 발생한다. 크로스-웨이퍼 모델은 웨이퍼 상의 위치의 함수로서 견본 파라미터 값을 특성화한다. 몇몇 예들에서, 크로스-웨이퍼 모델은 그 자체로 파라미터화된 모델이지만, 각각의 위치에서 견본 파라미터를 상이한 미지의 것으로서 취급하는 측정 모델보다 훨씬 더 적은 수의 파라미터들을 갖는다. 몇몇 예들에서, 크로스-웨이퍼 모델은 웨이퍼 상의 위치에 기초하여 미지의 견본 파라미터들 간의 관계들에 대한 제약을 생기게 한다. 이러한 방식으로, 크로스-웨이퍼 모델은 웨이퍼 상의 위치에 기초하여 측정 사이트들의 그룹들과 연관되는 견본 파라미터들 간의 기능적 관계들을 생기게 한다.
측정 모델은 파라미터 변동들의 크로스-웨이퍼 모델에 의해 제약을 받는다. 이러한 방식으로, 측정 솔루션에서 도달하도록 피팅되어야 하는 파라미터들의 세트가 감소된다. 이는 파라미터들 간의 상관을 더 적게 하고 측정 결과들을 더 정확하게 하며, 라이브러리 생성, 피팅 및 분석에 대한 계산 시간을 더 적게 한다. 예를 들어, 층들의 두께와 층들 위에 제조되는 격자 구조물 간의 상관이 제거되거나 상당히 감소된다. 모델링된 파라미터들이 몇몇 공칭 값들로 고정되는 대신 웨이퍼에 걸쳐서 플로팅되고 제약을 받기 때문에 정확도가 개선된다. 몇몇 예들에서, 최적화된 측정 모델은 만족스런 측정 결과들을 달성하기 위해 감소된 범위의 조명 파장들 및 더 적은 수의 측정 기술들을 통한 측정들을 가능케 한다. 몇몇 예들에서, 제한된 파장 범위들 내의 높은 세기 광 소스들을 이용하는 측정 시스템들은 최적화된 측정 모델에 의해 가능하게 된다.
도 1은 본 명세서에서 제시되는 예시적인 방법들에 따라 견본의 특성들을 측정하기 위한 시스템(100)을 예시한다. 도 1에서 도시된 바와 같이, 시스템(100)은 견본(101)의 하나 이상의 구조물들의 분광 타원해석 측정들(spectroscopic ellipsometry measurements)을 수행하는데 이용될 수 있다. 이 양상에서, 시스템(100)은 조명기(102) 및 분광계(104)를 장착한 분광 타원계를 포함할 수 있다. 시스템(100)의 조명기(102)는 선택된 파장 범위(예를 들어, 150-850nm)의 조명을 생성하고 견본(101)의 표면 상에 배치되는 구조물에 지향하도록 구성된다. 결국, 분광계(104)는 견본(101)의 표면으로부터 반사되는 조명을 수신하도록 구성된다. 조명기(102)로부터 나오는 광은 편광 조명 빔(106)을 생성하기 위해 편광 상태 생성기(107)를 이용하여 편광된다는 것이 또한 주의된다. 견본(101) 상에 배치된 구조물에 의해 반사된 방사선은 편광 상태 분석기(109)를 통하고 분광계(104)에 전달된다. 수집 빔(108)에서 분광계(104)에 의해 수신되는 방사선은 편광 상태에 관해 분석되어, 분석기에 의해 전달되는 방사선의 분광계에 의한 스펙트럼 분석을 허용한다. 이들 스펙트럼들(111)은 구조물의 분석을 위해 컴퓨팅 시스템(130)에 전달된다.
도 1에서 도시된 바와 같이, 시스템(100)은 단일 측정 기술(즉, SE)을 포함한다. 그러나 일반적으로, 시스템(100)은 임의의 수의 상이한 특정 기술들을 포함할 수 있다. 비-제한적인 예로서, 시스템(100)은 (뮐러 행렬 타원해석을 포함하는) 분광 타원계, 분광 반사계, 분광 산란계, 오버레이 산란계, 각도 용해된 빔 프로파일 반사계, 편광 용해된 빔 프로파일 반사계, 빔 프로파일 반사계, 빔 프로파일 타원계, 임의의 단일 또는 다수의 파장 타원계, 또는 이들의 임의의 결합으로서 구성될 수 있다. 또한, 일반적으로, 상이한 측정 기술에 의해 수집되고 본 명세서에서 설명되는 방법들에 따라 분석되는 측정 데이터는 다수의 기술들을 통합하는 1개의 툴 보단 오히려, 다수의 툴들로부터 수집될 수 있다.
추가의 실시예들에서, 시스템(100)은, 본 명세서에서 설명된 방법들에 따라 파라미터 변동들의 크로스-웨이퍼 모델에 의해 도입되는 제약들에 기초하여, 최적화된 측정 모델을 생성하는데 이용되는 하나 이상의 컴퓨팅 시스템들(130)을 포함할 수 있다. 하나 이상의 컴퓨팅 시스템들(130)은 분광계(104)에 통신 가능하게 커플링될 수 있다. 일 양상에서, 하나 이상의 컴퓨팅 시스템들(130)은 견본(101)의 구조물의 측정들과 연관되는 측정 데이터(111)를 수신하도록 구성된다.
또한, 몇몇 실시예들에서, 하나 이상의 컴퓨팅 시스템들(130)은 또한 기준 측정 소스(120)에 의한 견본(101)의 측정과 연관되는 기준 측정 데이터(121)의 세트를 수신하도록 구성된다. 몇몇 예들에서, 기준 측정 데이터(121)는 메모리(즉, 메모리(132))에 저장되고 컴퓨팅 시스템(130)에 의해 검색된다.
추가의 실시예에서, 하나 이상의 컴퓨팅 시스템들(130)은 실시간 임계 디멘셔닝(Real Time Critical Dimensioning; RTCD)을 이용하여 실시간으로 모델 파라미터들에 액세스하도록 구성되거나, 또는 이것은 본 명세서에서 설명된 방법들에 따라 최적화된 측정 모델을 결정하기 위해 미리-계산된 모델들의 라이브러리들에 액세스할 수 있다.
본 개시 전체에 걸쳐서 설명되는 다양한 단계들은 단일 컴퓨터 시스템(130) 또는 대안적으로 다수의 컴퓨터 시스템(130)에 의해 수행될 수 있다는 것이 인지되어야 한다. 또한, 분광 타원계(104)와 같은 시스템(100)의 상이한 서브시스템들은 본 명세서에서 설명된 단계들의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 그러므로 상술된 설명은 본 발명에 관한 제한으로서 해석되는 것이 아니라 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템들(130)은 본 명세서에서 설명된 방법 실시예들 중 임의의 것의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
또한, 컴퓨터 시스템(130)은 당 분야에 알려진 임의의 방식으로 분광계(104)에 통신 가능하게 커플링될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템들(130)은 분광계(104)에 연관된 컴퓨팅 시스템들에 커플링될 수 있다. 다른 예에서, 분광계(104)는 컴퓨터 시스템(130)에 커플링되는 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 시스템의 서브시스템(예를 들어, 분광계(104), 기준 측정 소스(120) 등)로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템들 간의 데이터 링크로서 역할할 수 있다.
통합된 계측 시스템(100)의 컴퓨터 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예를 들어, 측정 결과들, 모델링 입력들, 모델링 결과들 등)를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 다른 시스템들(예를 들어, 메모리 온-보드 계측 시스템(100), 외부 메모리, 기준 측정 소스(120) 또는 다른 외부 시스템들) 간의 데이터 링크로서 역할할 수 있다. 예를 들어, 컴퓨팅 시스템들(130)은 데이터 링크를 통해 저장 매체(예를 들어, 메모리(132) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수 있다. 예를 들어, 분광계(104)를 이용하여 획득된 스펙트럼 결과들은 영구 또는 반-영구 메모리 디바이스(예를 들어, 메모리(132) 또는 외부 메모리)에 저장될 수 있다. 이것에 관하여, 스펙트럼 결과들은 온-보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(import)될 수 있다. 또한, 컴퓨터 시스템(130)은 전송 매체를 통해 다른 시스템들로 데이터를 송신할 수 있다. 예를 들어, 컴퓨터 시스템(130)에 의해 결정된 최적화된 측정 모델 또는 견본 파라미터(140)는 전달되고 외부 메모리에 저장될 수 있다. 이것에 관하여, 측정 결과들은 다른 시스템에 익스포트(export)될 수 있다.
컴퓨팅 시스템들(130)은 개인용 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당 분야에 알려진 임의의 다른 디바이스를 포함(그러나 이들로 제한되지 않음)할 수 있다. 일반적으로, 용어 "컴퓨팅 시스템"은 메모리 매체로부터의 명령들을 실행하는 하나 이상의 프로세서들을 갖는 임의의 디바이스를 포함하도록 넓게 정의될 수 있다.
본 명세서에서 설명되는 것과 같은 방법들을 구현하는 프로그램 명령들(134)은 유선, 케이블 또는 무선 전송 링크와 같은 전송 매체 상에서 전송될 수 있다. 예를 들어, 도 1에서 예시된 바와 같이, 메모리(132)에 저장된 프로그램 명령들(134)은 버스(133) 상에서 프로세서(131)에 전송된다. 프로그램 명령들(134)은 컴퓨터 판독 가능한 매체(예를 들어, 메모리(132))에 저장된다. 예시적인 컴퓨터-판독 가능한 매체들은 판독-전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크 또는 자기 테이프를 포함한다.
도 2는 본 발명의 계측 시스템(100)에 의해 구현하기에 적합한 방법(200)을 예시한다. 일 양상에서, 방법(200)의 데이터 프로세싱 블록들은 컴퓨팅 시스템들(130)의 하나 이상의 프로세서들에 의해 실행되는 미리-프로그래밍된 알고리즘을 통해 수행될 수 있다. 다음의 설명이 계측 시스템(100)의 맥락에서 제시되지만, 계측 시스템(100)의 특정한 구조적 양상들은 제한들을 표현하는 것이 아니고, 단지 예시적인 것으로만 해석되어야 한다는 것이 여기서 인지된다.
블록(201)에서, 반도체 웨이퍼 상에 위치되는 타겟 구조물의 제 1 측정 모델이 결정된다. 제 1 측정 모델은 제 1 세트의 파라미터들을 포함한다. 파라미터들은 지오메트리 파라미터들, 물질 파라미터들 및 다른 파라미터들을 포함할 수 있다. 이러한 측정 모델들은, 캘리포니아, 산 호세 소재의 KLA-Tencor, Corp로부터 입수 가능한 AcuShapeTM과 같은 모델링 및 라이브러리-생성 패키지를 이용하여 컴퓨팅 시스템들(130)에 의해 생성될 수 있다.
블록(202)에서, 반도체 웨이퍼 상의 임의의 위치에서 제 1 세트의 파라미터들 중의 적어도 하나의 파라미터의 프로세스 변동을 특성화하는 크로스-웨이퍼 모델이 결정된다. 크로스-웨이퍼 모델은 측정되고 있는 구조물을 설명하는데 이용되는 적어도 하나의 프로세스의 프로세스 변동을 특성화한다. 파라미터는 반도체 웨이퍼 상의 타겟 구조물의 위치에 의존하며, 크로스-웨이퍼 모델은 이러한 위치의 의존성을 설명한다.
크로스-웨이퍼 모델은 다양한 소스들로부터 생성되는 프로세스 변동 데이터로부터 결정될 수 있다. 몇몇 실시예들에서, 프로세스 변동 데이터는 물리적 프로세스 흐름에서 물리적으로 측정된 데이터(예를 들어, 반도체 웨이퍼 제조 프로세스 흐름 내의 임의의 지점에서 행해진 측정들)와 같은 실제 프로세스 데이터로부터 생성된다. 예를 들어, 프로세스 변동 데이터는 기준 측정 소스(120)에 의해 수행되는 측정들에 기초하여 생성될 수 있다. 몇몇 실시예들에서, 기준 측정 소스(120)는 타겟 구조물의 몹시 정확한 측정들이 가능한 다른 계측 툴(예를 들어, TEM, SEM, X-Ray 산란계 등)이다. 몇몇 다른 예들에서, 프로세스 변동 데이터는 측정 기술들 및 상이한 세트의 머신 파라미터 값들을 이용하여 수집된 측정 데이터에 대해 피팅되는 제 1 측정 모델을 이용하여 시스템(100)에 의해 수행된 측정들에 기초하여 생성될 수 있다.
몇몇 다른 실시예들에서, 프로세스 변동 데이터는 모델링 기반이다. 예를 들어, 프로세스 시뮬레이터(예를 들어, 캘리포니아, 산 호세 소재의 KLA-Tencor, Corp로부터 이용 가능한 PROLITHTM 시뮬레이터)는 프로세스 변동 데이터를 생성하기 위해 프로세스 윈도우 내의 변동들에 기초하여 타겟 구조물의 변화들을 시뮬레이팅하는데 이용된다.
몇몇 다른 예들에서, 프로세스 변동 데이터는 사용자에 의해 정의된다. 예를 들어, 프로세스 변동 데이터는 파라미터들 간의 관계들을 정의하는 수학식들에 의해 설명될 수 있다. 다른 예에서, 프로세스 변동 데이터는 파라미터들 간의 관계를 정의하는 하나 이상의 상관 매트릭스들에 의해 설명될 수 있다. 다른 예에서, 프로세스 변동 데이터는 예상된 프로파일들의 세트를 선택함으로써 정의될 수 있다.
프로세스 변동 데이터는 제 1 측정 모델의 파라미터들을 제약하는 크로스-웨이퍼 모델을 정의하거나, 또는 정의하는데 이용된다. 예시적인 파라미터들은 최저 임계 치수(bottom critical dimension; BCD), 최상 임계 치수(top critical dimension; TCD), 중간 임계 치수(middle critical dimension; MCD), 측벽 각도(sidewall angle; SWA), 막 두께 등을 포함한다.
앞서 본 명세서에서 논의된 바와 같이, 다수의 실시예들에서, 프로세스 유도 변동들은 웨이퍼에 걸쳐 공간적인 패턴들로서 나타난다. 도 3은 웨이퍼 상의 다수의 상이한 방사상 위치들에서의 막 두께의 측정을 예시하는 플롯(150)이다. 도 3에서 예시된 바와 같이, 막 두께는 특유의 공간 패턴으로 웨이퍼에 걸쳐서 상당히 변동된다. 웨이퍼 상의 위치의 함수로서 막 두께를 설명하는 크로스-웨이퍼 모델이 개발된다. 도시된 예에서, 수학식 1에 의해 설명되는 다항식 함수는 웨이퍼 상의 방사상 위치의 함수로서 막 두께를 설명하는 크로스-웨이퍼 모델이며, 여기서 R은 웨이퍼의 중앙으로부터의 거리이고, H는 막 두께이고, B0, B1 및 B2는 다항식 계수들(polynomial coefficients)이다.
Figure pct00001
몇몇 예들에서, 크로스-웨이퍼 모델은 웨이퍼 상의 위치의 함수로서 막 두께를 특성화하는 크로스-웨이퍼 모델에 도달하도록 막 두께 측정 데이터에 대해 피팅된다. 이 예에서, 크로스-웨이퍼 모델은 방사상 위치(R)의 함수로서 막 두께를 정의한다. 예시적인 모델 피팅은 도 3의 플롯 라인(151)에 의해 예시된다.
몇몇 예들에서, 크로스-웨이퍼 모델의 피팅은 기준 측정 데이터(예를 들어, 기준 측정 소스(120)로부터 수신된 측정 데이터(121), 계측 시스템(100)에 의해 생성되는 측정 데이터(111) 또는 미리 정의된 프로세스 측정 모델들에 의해 생성되는 데이터)에 기초하여 수행된다. 크로스-웨이퍼 모델의 파라미터들(예를 들어, B0, B1 및 B2)을 피팅한 이후, 막 두께 값이 특정한 위치에 대해 계산되고, 그 특정한 위치에서 수집된 측정 데이터를 포함하는 후속 측정 분석에서 상수로서 취급된다.
몇몇 다른 예들에서, 크로스-웨이퍼 모델에 의해 생성된 특정 값들에 의해 측정 모델을 제약하기 보단 오히려, 파라미터화된 크로스-웨이퍼 모델 그 자체가 측정 모델을 제약하는데 이용된다. 예를 들어, 수학식 1이 측정 모델을 제약하는데 이용될 수 있다. 이러한 방식으로, 측정 모델에 의한 막 두께의 특성화는 웨이퍼 상의 각각의 위치에 대해 파라미터를 플로팅하기 보단 오히려, 단지 3개의 파라미터들(B0, B1, 및 B2)에만 의존한다. 이들 예들에서, 크로스-웨이퍼 모델 그 자체가 파라미터화된 모델이지만, 각각의 위치에서 상이한 미지의 것으로서 견본 파라미터를 취급하는 측정 모델보다 훨씬 더 적은 수의 파라미터들을 갖는다. 이들 예들에서, 크로스-웨이퍼 모델의 파라미터들(예를 들어, B0, B1, 및 B2)은 후속 측정 분석에서 플로팅된다. 다수의 경우들에서, 견본 파라미터의 값들(예를 들어, 막 두께)이 웨이퍼 마다 변동된다. 크로스-웨이퍼 모델의 파라미터들을 플로팅하는 측정 모델은, 각각의 웨이퍼의 후속 분석을 위해 명시적인 피드포워드(feedforward) 값을 생성해야 하기 보단 오히려, 각각의 웨이퍼와 연관된 측정 분석에 의해 직접 견본 파라미터(예를 들어, 막 두께)의 웨이퍼간 변동들을 수용할 수 있다.
몇몇 예들에서, 크로스-웨이퍼 모델은 웨이퍼 상의 위치에 기초하여 미지의 견본 파라미터들 간의 관계에 관한 제약들을 생기게 한다. 이러한 방식으로, 크로스-웨이퍼 모델은 웨이퍼 상의 위치에 기초하여 측정 사이트들의 그룹들과 연관된 견본 파라미터들 간의 기능적 관계들을 생기게 한다.
일 예에서, 막 두께 파라미터를 특성화하는 크로스-웨이퍼 모델은 수학식 1에 의해 설명된다. 크로스-웨이퍼 모델은 반도체 웨이퍼 상의 임의의 위치에서의 막 두께의 변동을 정의한다. 이 예에서, 크로스-웨이퍼 모델은 방사상 위치에 의존하지만, 각 위치(angular position)에 독립적이다. 크로스-웨이퍼 프로세스 모델에 기초하여, 막 두께의 값들은 웨이퍼의 중앙으로부터 동일한 거리에 위치되는 사이트들의 임의의 결합의 측정들에 대해 함께 링크되고 플로팅될 수 있다. 예를 들어, 도 4에서 예시된 바와 같이, 웨이퍼(101)는 웨이퍼(101)의 중앙으로부터 거리(R1)에 있는 특정 사이트들의 그룹(141A, 141B, 141C, 및 141D)을 포함한다. 이들 사이트들의 측정들은 이들 측정들 각각에 대한 막 두께의 값을 링크하는 측정 모델에 기초하여 동시에 분석될 수 있다. 앞서 논의된 바와 같이, 몇몇 예들에서, 값이 플로팅될 수 있다. 몇몇 다른 예들에서, 값은 웨이퍼의 중앙으로부터 고정된 거리에 위치되는 측정 사이트들의 각각의 그룹에 대한 크로스-웨이퍼 모델로의 측정 데이터의 피팅에 의해 결정될 수 있다. 이러한 방식으로, 사이트들(141A, 141B, 141C, 및 141D)에서의 측정들과 연관되는 막 두께는 웨이퍼(101)의 중앙으로부터의 거리(R2)에 위치되는 사이트들(140A, 140B, 140C, 및 140D)에서의 측정들과 상이하다는 것이 예상된다.
블록(203)에서, 측정 데이터의 양이 수신된다. 몇몇 예들에서, 측정 데이터의 양은 계측 툴에 의한 타겟 구조물의 측정들과 연관된다. 일 예에서, 측정 데이터의 양은 도 1에서 예시된 SE 계측 서브시스템에 의해 편광각 및 파장들의 범위에 걸쳐서 측정된 측정 데이터(111)를 포함한다. 다른 예에서, 측정 데이터는 라이브러리에 저장된 데이터일 수 있다.
블록(204)에서, 타겟 구조물을 특성화하는 제 2 세트의 파라미터 값들이 결정된다. 제 2 세트의 파라미터 값들은 크로스-웨이퍼 모델에 의해 제약을 받는 타겟 구조물의 제 2 측정 모델에 대한 측정 데이터의 피팅에 기초하여 결정된다. 몇몇 예들에서, 제 1 측정 모델은 제 2 세트의 파라미터들을 포함하는 구조물의 제 2 측정 모델로 변형된다. 제 2 모델로의 제 1 모델의 변형은 크로스-웨이퍼 모델에 의해 제약을 받는다. 또한 제 2 세트의 파라미터들은 파라미터들의 제 1 세트의 파라미터들과는 상이하다. 또한, 제 2 세트의 파라미터들은 제 1 세트의 파라미터들보다 더 적은 수의 파라미터들을 포함한다. 파라미터들의 수의 감소 및 제 1 개수의 파라미터들에 대해 제 2 세트의 파라미터들 간의 감소된 상관은 증가된 모델 안정성 및 계산 속도에 기여한다.
크로스-웨이퍼 모델은 변형에 의해 파라미터 상관을 최소화하는 새로운 파라미터화(즉, 제 2 세트의 파라미터들)를 결정하는데 이용된다. 일반적으로, 이들 동작들은 상이한 머신 파라미터들(예를 들어, 파장, 편광각 코히어런스의 상태(state of coherence) 등)의 함수로서 측정되는 신호들과 함께 작동하는 것을 포함한다. 몇몇 예들에서, 주요 컴포넌트 분석(principal components analysis; PCA)이 제 2 모델을 생성하는데 이용된다.
모델 변형을 위한 예시적인 방법들 및 시스템들은 미국 특허 공개 번호 2013/0110477 A1 하에서, 2013년 5월 2일 공개되고 KLA-Tencor Corporation에게 양도된 미국 특허 출원 번호 제13/286,079호에서 설명되며, 상기 특허 전체는 인용에 의해 본원에 포함된다.
블록(205)에서, 제 2 세트의 파라미터 값들이 메모리에 저장된다. 제 2 세트의 파라미터 값들은 온-보드 측정 시스템(100)에, 예를 들어, 메모리(132)에 저장될 수 있거나, 외부 메모리 디바이스로 전달(예를 들어, 출력 신호(140)를 통해)될 수 있다.
일반적으로, 본 명세서에서 설명되는 시스템들 및 방법들은 오프-라인 또는 온-툴 측정을 위한 최적화된 측정 모델을 준비하는 프로세스의 부분으로서 구현될 수 있다. 또한, 양자의 측정 모델들 및 임의의 재파라미터화된 측정 모델은 하나 이상의 타겟 구조물들 및 측정 사이트들을 설명할 수 있다.
본 명세서에서 설명된 바와 같이, 용어 "임계 치수"는 구조물의 임의의 임계 치수(예를 들어, 최저 임계 치수, 중간 임계 치수, 최상 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2개 이상의 구조물들 간의 임계 치수(예를 들어, 2개의 구조물들 간의 거리) 및 2개 이상의 구조물들 간의 변위(예를 들어, 오버레이하는 격자 구조물들 간의 오버레이 변위(overlay displacement) 등)를 포함할 수 있다. 구조물들은 3차원 구조물들, 패터닝된 구조물들, 오버레이 구조물들 등을 포함할 수 있다.
본 명세서에서 설명된 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본 명세서에서 설명된 바와 같이, 용어 "계측 시스템"은, 임계 치수 계측, 오버레이 계측, 포커스/정량 계측, 및 합성 계측과 같은 측정 애플리케이션들을 비롯해서, 임의의 양상에서 견본을 특성화하기 위해 적어도 부분적으로 이용되는 임의의 시스템을 포함한다. 그러나 당 분야의 이러한 용어들은 본 명세서에서 설명된 바와 같은 용어 "계측 시스템"의 범위를 제한하지 않는다. 또한, 계측 시스템(100)은 패터닝된 웨이퍼 및/또는 패터닝되지 않은 웨이퍼의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 후방측 검사 출, 매크로-검사(macro-inspection) 툴, 또는 다중모드 검사 툴(하나 이상의 플랫폼들로부터의 데이터를 동시에 포함함), 및 임계 치수 데이터에 기초하여 시스템 파라미터들의 교정으로부터 혜택을 받는 임의의 다른 계측 또는 검사 툴로서 구성될 수 있다.
다양한 실시예들이 견본을 프로세싱하는데 이용될 수 있는 반도체 프로세싱 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)을 위해 본 명세서에서 설명된다. 용어 "견본"은 당 분야에 알려진 수단에 의해 프로세싱(예를 들어, 결함들을 위해 검사되거나 인쇄됨)될 수 있는 웨이퍼, 레티클, 또는 임의의 다른 샘플을 지칭하도록 본 명세서에서 이용된다.
본 명세서에서 이용된 바와 같이, 용어 "웨이퍼"는 일반적으로 반도체 또는 비-반도체 물질로 형성되는 기판들을 지칭한다. 예들은 나노결정질 실리콘, 갈륨 비화물, 및 인듐 인화물을 포함하지만 이들로 제한되지 않는다. 이러한 기판들은 반도체 제조 설비에서 흔히 발견되고 및/또는 프로세싱될 수 있다. 몇몇 경우들에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 물질들의 하나 이상의 층들을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 층들은 "패터닝"되거나 "패터닝되지 않을" 수 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 피처들을 갖는 복수의 다이들을 포함할 수 있다.
"레티클"은 레티클 제조 프로세스의 임의의 단계의 레티클 또는 반도체 제조 설비에서 이용하기 위해 릴리즈되거나 릴리즈되지 않을 수 있는 완료된 레티클일 수 있다. 레티클 또는 "마스크"는 일반적으로 실질적으로 불투명한 영역들이 형성되어 있고 패턴으로 구성되는 실질적으로 투명한 기판으로서 정의된다. 기판은 예를 들어, 비정질 SiO2와 같은 유리 물질을 포함할 수 있다. 레티클은, 레티클 상의 패턴이 레지스트에 전사될 수 있도록 리소그래피 프로세스의 노출 단계 동안 레지스트-커버 웨이퍼 위에 배치될 수 있다.
웨이퍼 상에 형성된 하나 이상의 층들은 패터닝되거나 패터닝되지 않을 수 있다. 예를 들어, 웨이퍼는 각각이 반복 가능한 패턴 피처들을 갖는 복수의 다이들을 포함할 수 있다. 이러한 물질층들의 형성 및 프로세싱은 궁극적으로 디바이스들이 완료되게 할 수 있다. 다수의 상이한 타입들의 디바이스들이 웨이퍼 상에 형성될 수 있고, 본 명세서에서 이용된 바와 같은 웨이퍼란 용어는 당 분야에 알려진 임의의 타입의 디바이스가 제조되고 있는 웨이퍼를 포함하도록 의도된다.
하나 이상의 예시적인 실시예들에서, 설명된 기능들은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 결합으로 구현될 수 있다. 소프트웨어로 구현되는 경우, 기능들은 컴퓨터-판독 가능한 매체 상에 하나 이상의 명령들 또는 코드로서 저장되거나 이를 통해 전송될 수 있다. 컴퓨터-판독 가능한 매체들은 한 장소에서 다른 장소로 컴퓨터 프로그램의 이전을 용이하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체들 및 통신 매체 둘 다를 포함한다. 저장 매체들은 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체들일 수 있다. 제한이 아닌 예로서, 이러한 컴퓨터-판독 가능한 매체들은 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장소, 자기 디스크 저장 또는 다른 자기 저장 디바이스들, 또는 범용 또는 특수-목적 컴퓨터, 또는 범용 또는 특수 목적 프로세서에 의해 액세스될 수 있고 명령들 또는 데이터 구조들의 형태로 원하는 프로그램 코드 수단을 전달 또는 저장하는데 이용될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 연결이 컴퓨터-판독 가능한 매체로 적절히 불린다. 예를 들어, 소프트웨어가 동축 케이블, 광섬유 케이블, 꼬임쌍선, 디지털 가입자 라인(DSL), 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술을 이용하여 웹 사이트, 서버 또는 다른 원격 소스로부터 전송되는 경우, 동축 케이블, 광섬유 케이블, 꼬임쌍선, 디지털 가입자 라인(DSL), 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술이 매체의 정의에 포함된다. 본 명세서에서 이용되는 바와 같은 디스크(disk) 및 디스크(disc)는 컴팩트 디스크(disc)(CD), 레이저 디스크(disc), 광학 디스크(disc), 디지털 다용도 디스크(disc)(DVD), 플로피 디스크(disk) 및 블루-레이 디스크(disk)를 포함하며, 여기서 디스크(disk)들은 보통 자기적으로 데이터를 재생성하는 반면에, 디스크(disc)들은 레이저들을 이용하여 광학적으로 데이터를 재생성한다. 위의 것들의 결합들이 컴퓨터-판독 가능한 매체들의 범위 내에 또한 포함되어야 한다.
특정한 특정 실시예들이 교육 목적들을 위해 위에서 설명되었지만, 본 특허 문서의 교시들은 일반적은 응용성을 가지며, 위에서 설명된 특정한 실시예들로 제한되지 않는다. 이에 따라, 설명된 실시예들의 다양한 피처들의 다양한 변형들, 적응들 및 결합들은 청구항들에서 제시되는 바와 같은 본 발명의 범위로부터 벗어남 없이 실시될 수 있다.

Claims (20)

  1. 방법으로서,
    반도체 웨이퍼 상에 위치되는 타겟 구조물의 제 1 측정 모델을 결정하는 단계로서, 상기 제 1 측정 모델은 제 1 세트의 파라미터들을 포함하는 것인, 상기 제 1 측정 모델을 결정하는 단계;
    상기 반도체 웨이퍼 상의 임의의 위치에서의 상기 제 1 세트의 파라미터들 중의 적어도 하나의 파라미터의 프로세스 변동을 특성화하는 크로스-웨이퍼 모델(cross-wafer model)을 결정하는 단계;
    계측 툴에 의해 상기 타겟 구조물의 측정들과 연관되는 제 1 양의 측정 데이터를 수신하는 단계;
    상기 크로스-웨이퍼 모델에 의해 제약을 받는 상기 타겟 구조물의 제 2 측정 모델에 대한 상기 제 1 양의 측정 데이터의 피팅(fitting)에 기초하여 상기 타겟 구조물을 특성화하는 제 2 세트의 파라미터 값들을 결정하는 단계; 및
    상기 제 2 세트의 파라미터 값들을 메모리에 저장하는 단계
    를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 크로스-웨이퍼 모델을 결정하는 단계는 상기 반도체 웨이퍼 상의 위치의 함수로서 상기 적어도 하나의 제 1 파라미터의 값의 파라미터화된 모델을 생성하는 단계를 포함하는 것인, 방법.
  3. 제 1 항에 있어서,
    상기 크로스-웨이퍼 모델을 결정하는 단계는 상기 반도체 웨이퍼 상의 위치의 함수로서 상기 적어도 하나의 제 1 파라미터 값의 값들을 링크(link)하는 단계를 포함하는 것인, 방법.
  4. 제 1 항에 있어서,
    상기 반도체 웨이퍼 상의 임의의 사이트(site)에서의 상기 제 1 세트의 파라미터들 중의 적어도 하나의 파라미터의 프로세스 변동을 나타내는 제 2 양의 측정 데이터를 수신하는 단계
    를 더 포함하고,
    상기 크로스-웨이퍼 모델을 결정하는 단계는 상기 수신된 제 2 양의 측정 데이터에 적어도 부분적으로 기초하는 것인, 방법.
  5. 제 4 항에 있어서,
    상기 제 1 양의 측정 데이터 및 상기 제 2 양의 측정 데이터는 동일한 것인, 방법.
  6. 제 1 항에 있어서,
    상기 크로스-웨이퍼 모델에 의해 제약을 받는 상기 제 1 측정 모델의 변형(transformation)에 기초하여 상기 타겟 구조물의 상기 제 2 측정 모델을 결정하는 단계
    를 더 포함하고,
    상기 제 2 측정 모델은 상기 제 1 세트의 파라미터들보다 더 적은 수의 파라미터들을 포함하는 것인, 방법.
  7. 제 1 항에 있어서,
    상기 제 1 세트의 파라미터들 중의 적어도 하나의 파라미터의 값은 상기 반도체 웨이퍼 상의 타겟 구조물의 위치에 의존하는 것인, 방법.
  8. 제 7 항에 있어서,
    상기 적어도 하나의 파라미터의 프로세스 변동을 특성화하는 상기 크로스-웨이퍼 모델은 상기 반도체 웨이퍼 상의 상기 타겟 구조물의 위치에 의존하는 것인, 방법.
  9. 최적화된 측정 모델을 생성하기 위한 시스템으로서,
    조명 소스, 및 머신 파라미터 값들(machine parameter values) 및 측정 기술들의 세트를 이용하여 타겟 구조물의 측정들을 수행하도록 구성된 검출기를 포함하는 광학 계측 시스템; 및
    컴퓨팅 시스템을 포함하고, 상기 컴퓨팅 시스템은,
    반도체 웨이퍼 상에 위치되는 타겟 구조물의 제 1 측정 모델을 결정하고 - 상기 제 1 측정 모델은 제 1 세트의 파라미터들을 포함함 -,
    상기 반도체 웨이퍼 상의 임의의 위치에서의 상기 제 1 세트의 파라미터들 중의 적어도 하나의 파라미터의 프로세스 변동을 특성화하는 크로스-웨이퍼 모델을 결정하고,
    계측 툴에 의해 상기 타겟 구조물의 측정들과 연관되는 제 1 양의 측정 데이터를 수신하고,
    상기 크로스-웨이퍼 모델에 의해 제약을 받는 상기 타겟 구조물의 제 2 측정 모델에 대한 상기 제 1 양의 측정 데이터의 피팅에 기초하여 상기 타겟 구조물을 특성화하는 제 2 세트의 파라미터 값들을 결정하며,
    상기 제 2 세트의 파라미터 값들을 저장하도록 구성된 것인, 최적화된 측정 모델을 생성하기 위한 시스템.
  10. 제 9 항에 있어서,
    상기 크로스-웨이퍼 모델을 결정하는 것은 상기 반도체 웨이퍼 상의 위치의 함수로서 상기 적어도 하나의 제 1 파라미터의 값의 파라미터화된 모델을 생성하는 것을 포함하는 것인, 최적화된 측정 모델을 생성하기 위한 시스템.
  11. 제 9 항에 있어서,
    상기 크로스-웨이퍼 모델을 결정하는 것은 상기 반도체 웨이퍼 상의 위치의 함수로서 상기 적어도 하나의 제 1 파라미터 값의 값들을 링크(link)하는 것을 포함하는 것인, 최적화된 측정 모델을 생성하기 위한 시스템.
  12. 제 9 항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    상기 반도체 웨이퍼 상의 임의의 사이트에서의 상기 제 1 세트의 파라미터들 중의 적어도 하나의 파라미터의 프로세스 변동을 나타내는 제 2 양의 측정 데이터를 수신하도록 구성되고,
    상기 크로스-웨이퍼 모델을 결정하는 것은 상기 수신된 제 2 양의 측정 데이터에 적어도 부분적으로 기초하는 것인, 최적화된 측정 모델을 생성하기 위한 시스템.
  13. 제 9 항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    상기 크로스-웨이퍼 모델에 의해 제약을 받는 상기 제 1 측정 모델의 변형(transformation)에 기초하여 상기 타겟 구조물의 상기 제 2 측정 모델을 결정하도록 구성되고,
    상기 제 2 측정 모델은 상기 제 1 세트의 파라미터들보다 더 적은 수의 파라미터들을 포함하는 것인, 최적화된 측정 모델을 생성하기 위한 시스템.
  14. 비일시적인 컴퓨터 판독 가능한 매체로서,
    컴퓨터로 하여금, 반도체 웨이퍼 상에 위치되는 타겟 구조물의 제 1 측정 모델을 결정하게 하기 위한 코드로서, 상기 제 1 측정 모델은 제 1 세트의 파라미터들을 포함하는 것인, 상기 제 1 측정 모델을 결정하게 하기 위한 코드;
    상기 컴퓨터로 하여금, 상기 반도체 웨이퍼 상의 임의의 위치에서의 상기 제 1 세트의 파라미터들 중의 적어도 하나의 파라미터의 프로세스 변동을 특성화하는 크로스-웨이퍼 모델(cross-wafer model)을 결정하게 하기 위한 코드;
    상기 컴퓨터로 하여금, 계측 툴에 의해 상기 타겟 구조물의 측정들과 연관되는 제 1 양의 측정 데이터를 수신하게 하기 위한 코드;
    상기 컴퓨터로 하여금, 상기 크로스-웨이퍼 모델에 의해 제약을 받는 상기 타겟 구조물의 제 2 측정 모델에 대한 상기 제 1 양의 측정 데이터의 피팅에 기초하여 상기 타겟 구조물을 특성화하는 제 2 세트의 파라미터 값들을 결정하게 하기 위한 코드; 및
    상기 컴퓨터로 하여금, 상기 제 2 세트의 파라미터 값들을 메모리에 저장하게 하기 위한 코드
    를 포함하는 비일시적인 컴퓨터 판독 가능한 매체.
  15. 제 14 항에 있어서,
    상기 크로스-웨이퍼 모델을 결정하는 것은 상기 반도체 웨이퍼 상의 위치의 함수로서 상기 적어도 하나의 제 1 파라미터의 값의 파라미터화된 모델을 생성하는 것을 포함하는 것인, 비일시적인 컴퓨터 판독 가능한 매체.
  16. 제 14 항에 있어서,
    상기 크로스-웨이퍼 모델을 결정하는 것은 상기 반도체 웨이퍼 상의 위치의 함수로서 상기 적어도 하나의 제 1 파라미터 값의 값들을 링크하는 것을 포함하는 것인, 비일시적인 컴퓨터 판독 가능한 매체.
  17. 제 14 항에 있어서,
    상기 컴퓨터로 하여금, 상기 반도체 웨이퍼 상의 임의의 사이트에서의 상기 제 1 세트의 파라미터들 중의 적어도 하나의 파라미터의 프로세스 변동을 나타내는 제 2 양의 측정 데이터를 수신하게 하기 위한 코드
    를 더 포함하고,
    상기 크로스-웨이퍼 모델을 결정하는 것은 상기 수신된 제 2 양의 측정 데이터에 적어도 부분적으로 기초하는 것인, 비일시적인 컴퓨터 판독 가능한 매체.
  18. 제 14 항에 있어서,
    상기 컴퓨터로 하여금, 상기 크로스-웨이퍼 모델에 의해 제약을 받는 상기 제 1 측정 모델의 변형에 기초하여 상기 타겟 구조물의 상기 제 2 측정 모델을 결정하게 하기 위한 코드
    를 더 포함하고,
    상기 제 2 측정 모델은 상기 제 1 세트의 파라미터들보다 더 적은 수의 파라미터들을 포함하는 것인, 비일시적인 컴퓨터 판독 가능한 매체.
  19. 제 14 항에 있어서,
    상기 제 1 세트의 파라미터들 중의 적어도 하나의 파라미터의 값은 상기 반도체 웨이퍼 상의 상기 타겟 구조물의 위치에 의존하는 것인, 비일시적인 컴퓨터 판독 가능한 매체.
  20. 제 19 항에 있어서,
    상기 적어도 하나의 파라미터의 프로세스 변동을 특성화하는 크로스-웨이퍼 모델은 상기 반도체 웨이퍼 상의 상기 타겟 구조물의 위치에 의존하는 것인, 비일시적인 컴퓨터 판독 가능한 매체.
KR1020147034010A 2012-05-08 2013-05-07 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화 KR102220435B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261644030P 2012-05-08 2012-05-08
US61/644,030 2012-05-08
US13/887,357 US8843875B2 (en) 2012-05-08 2013-05-05 Measurement model optimization based on parameter variations across a wafer
US13/887,357 2013-05-05
PCT/US2013/040001 WO2013169816A1 (en) 2012-05-08 2013-05-07 Measurement model optimization based on parameter variations across a wafer

Publications (2)

Publication Number Publication Date
KR20150018535A true KR20150018535A (ko) 2015-02-23
KR102220435B1 KR102220435B1 (ko) 2021-02-24

Family

ID=49549633

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147034010A KR102220435B1 (ko) 2012-05-08 2013-05-07 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화

Country Status (5)

Country Link
US (2) US8843875B2 (ko)
KR (1) KR102220435B1 (ko)
CN (1) CN104395997A (ko)
TW (1) TW201350783A (ko)
WO (1) WO2013169816A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102504761B1 (ko) * 2022-01-25 2023-02-28 (주)오로스 테크놀로지 박막 특성 측정 방법

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130245985A1 (en) * 2012-03-14 2013-09-19 Kla-Tencor Corporation Calibration Of An Optical Metrology System For Critical Dimension Application Matching
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
DE102013208629A1 (de) * 2013-05-10 2014-11-13 Dr. Johannes Heidenhain Gmbh Positionsmesseinrichtung
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
KR102211093B1 (ko) * 2014-02-12 2021-02-03 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10648793B2 (en) 2014-05-15 2020-05-12 Kla-Tencor Corporation Library expansion system, method, and computer program product for metrology
US10139352B2 (en) * 2014-10-18 2018-11-27 Kla-Tenor Corporation Measurement of small box size targets
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10365225B1 (en) 2015-03-04 2019-07-30 Kla-Tencor Corporation Multi-location metrology
US10502549B2 (en) 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
US10345721B1 (en) * 2015-06-16 2019-07-09 Kla-Tencor Corporation Measurement library optimization in semiconductor metrology
US10502692B2 (en) 2015-07-24 2019-12-10 Kla-Tencor Corporation Automated metrology system selection
US10030971B2 (en) * 2015-08-04 2018-07-24 GlobalFoundries, Inc. Measurement system and method for measuring in thin films
US10295342B2 (en) * 2015-08-14 2019-05-21 Kla-Tencor Corporation System, method and computer program product for calibration of metrology tools
US9934351B2 (en) * 2015-11-09 2018-04-03 Applied Materials, Inc. Wafer point by point analysis and data presentation
US10139358B2 (en) * 2016-01-11 2018-11-27 International Business Machines Corporation Method for characterization of a layered structure
US10563973B2 (en) * 2016-03-28 2020-02-18 Kla-Tencor Corporation All surface film metrology system
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US11313809B1 (en) 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
WO2018038892A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Self-healing semiconductor wafer processing
JP6608344B2 (ja) * 2016-09-21 2019-11-20 株式会社日立製作所 探索装置および探索方法
WO2018075808A1 (en) 2016-10-20 2018-04-26 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
CN107978586B (zh) * 2016-10-25 2019-11-26 上海新昇半导体科技有限公司 校准晶片及其制造方法
CN110383442B (zh) * 2017-02-28 2023-10-10 科磊股份有限公司 确定随机行为对叠加计量数据的影响
US10732516B2 (en) 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10748272B2 (en) * 2017-05-18 2020-08-18 Applied Materials Israel Ltd. Measuring height difference in patterns on semiconductor wafers
KR102586405B1 (ko) * 2018-06-14 2023-10-10 노바 엘티디. 반도체 제조용 측정 및 공정 제어
JP6899080B2 (ja) * 2018-09-05 2021-07-07 信越半導体株式会社 ウェーハ形状データ化方法
CN111043960B (zh) * 2019-12-26 2021-04-30 哈尔滨工业大学 一种基于激光传感的大型高速回转装备误差测量及分离方法
TWI825317B (zh) * 2020-05-13 2023-12-11 日商Spp科技股份有限公司 基板處理裝置之製造程序判定裝置、基板處理系統、基板處理裝置之製造程序判定方法、電腦程式、學習模型群之生成方法及程式

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050132306A1 (en) * 2002-06-07 2005-06-16 Praesagus, Inc., A Massachusetts Corporation Characterization and reduction of variation for integrated circuits
KR100567625B1 (ko) * 2004-10-19 2006-04-04 삼성전자주식회사 결함 검사 방법 및 이를 수행하기 위한 장치
US20070135959A1 (en) * 2004-09-21 2007-06-14 Timbre Technologies, Inc. Optical metrology model optimization based on goals

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US8032349B2 (en) 2007-01-25 2011-10-04 International Business Machines Corporation Efficient methodology for the accurate generation of customized compact model parameters from electrical test data
US7761278B2 (en) 2007-02-12 2010-07-20 International Business Machines Corporation Semiconductor device stress modeling methodology
US8175831B2 (en) * 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
JP4542164B2 (ja) * 2008-03-18 2010-09-08 アドバンスド・マスク・インスペクション・テクノロジー株式会社 パターン検査装置、パターン検査方法及びプログラム
US8184897B2 (en) * 2008-10-02 2012-05-22 Synopsys, Inc. Method and apparatus for determining an optical threshold and a resist bias
NL2005522A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050132306A1 (en) * 2002-06-07 2005-06-16 Praesagus, Inc., A Massachusetts Corporation Characterization and reduction of variation for integrated circuits
US20070135959A1 (en) * 2004-09-21 2007-06-14 Timbre Technologies, Inc. Optical metrology model optimization based on goals
KR100567625B1 (ko) * 2004-10-19 2006-04-04 삼성전자주식회사 결함 검사 방법 및 이를 수행하기 위한 장치

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102504761B1 (ko) * 2022-01-25 2023-02-28 (주)오로스 테크놀로지 박막 특성 측정 방법
WO2023146052A1 (ko) * 2022-01-25 2023-08-03 주식회사 오로스 테크놀로지 박막 특성 측정 방법
US11835447B1 (en) 2022-01-25 2023-12-05 Auros Technology, Inc. Method for measuring characteristic of thin film

Also Published As

Publication number Publication date
US20130305206A1 (en) 2013-11-14
US9721055B2 (en) 2017-08-01
US20140379281A1 (en) 2014-12-25
CN104395997A (zh) 2015-03-04
US8843875B2 (en) 2014-09-23
WO2013169816A1 (en) 2013-11-14
KR102220435B1 (ko) 2021-02-24
TW201350783A (zh) 2013-12-16

Similar Documents

Publication Publication Date Title
KR102220435B1 (ko) 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화
KR102137848B1 (ko) 스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화
US10101670B2 (en) Statistical model-based metrology
KR102468971B1 (ko) 모델 기반 단일 파라미터 측정
US10769320B2 (en) Integrated use of model-based metrology and a process model
US9255877B2 (en) Metrology system optimization for parameter tracking
US9875946B2 (en) On-device metrology
US10030965B2 (en) Model-based hot spot monitoring
KR102644768B1 (ko) 확률 도메인 지식에 기초하는 측정 레시피 최적화 및 물리적 실현
US9347872B1 (en) Meta-model based measurement refinement
US10365225B1 (en) Multi-location metrology
CN117413177A (zh) 基于扫描条件模型的半导体外形测量

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant