KR102468971B1 - 모델 기반 단일 파라미터 측정 - Google Patents

모델 기반 단일 파라미터 측정 Download PDF

Info

Publication number
KR102468971B1
KR102468971B1 KR1020177030498A KR20177030498A KR102468971B1 KR 102468971 B1 KR102468971 B1 KR 102468971B1 KR 1020177030498 A KR1020177030498 A KR 1020177030498A KR 20177030498 A KR20177030498 A KR 20177030498A KR 102468971 B1 KR102468971 B1 KR 102468971B1
Authority
KR
South Korea
Prior art keywords
model
parameter
measurement
signal
parameters
Prior art date
Application number
KR1020177030498A
Other languages
English (en)
Other versions
KR20170129923A (ko
Inventor
스틸란 이바노프 판데프
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20170129923A publication Critical patent/KR20170129923A/ko
Application granted granted Critical
Publication of KR102468971B1 publication Critical patent/KR102468971B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

부수적 모델 파라미터와 연관된 측정 신호 정보로부터 관심 파라미터와 연관된 측정 신호 정보를 격리하기 위해 파라미터 격리 모델을 구성(build)하고 사용하기 위한 방법 및 시스템이 본 명세서에 제시되어 있다. 파라미터 격리 모델은 복수의 부수적 모델 파라미터의 공지의 값 및 관심 파라미터의 공지의 값을 갖는 계측 타겟의 제1 세트의 인스턴스, 및 복수의 부수적 모델 파라미터의 공칭값 및 관심 파라미터의 공지의 값을 갖는 계측 타겟의 제2 세트의 인스턴스와 연관된 측정 신호를 맵핑함으로써 트레이닝된다. 트레이닝된 파라미터 격리 모델은 원시 측정 신호를 수신하고 모델 기반 파라미터 추정을 위한 특정 관심 파라미터와 연관된 측정 신호 정보를 격리한다. 측정 모델의 부동(floating) 파라미터의 수는 감소되어, 연산 노고의 상당한 감소를 야기한다.

Description

모델 기반 단일 파라미터 측정
관련 출원의 상호 참조
본 특허 출원은 그 요지가 본 명세서에 그대로 참조로서 합체되어 있는, 2015년 3월 24일 출원된 발명의 명칭이 "모델 기반 단일 파라미터 측정(Model-Based Single Parameter Measurement)"인 미국 가특허 출원 제62/137,225호의 35 U.S.C. § 119 하에서 우선권을 청구한다.
기술분야
설명된 실시예는 계측 시스템 및 방법에 관한 것으로서, 더 구체적으로는 반도체 산업에서 제조된 구조체의 향상된 측정을 위한 방법 및 시스템에 관한 것이다.
논리 및 메모리 디바이스와 같은 반도체 디바이스는 통상적으로 시편(specimen)에 적용된 가공 단계(processing steps)의 시퀀스에 의해 제조된다. 반도체 디바이스의 다양한 특징부 및 다수의 구조적 레벨이 이들 가공 단계에 의해 형성된다. 예를 들어, 무엇보다도 리소그래피가 반도체 웨이퍼 상에 패턴을 발생하는 것을 수반하는 일 반도체 제조 프로세스이다. 반도체 제조 프로세스의 부가의 예는 화학 기계적 연마, 에칭, 증착, 및 이온 주입을 포함하지만, 이들에 한정되는 것은 아니다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에 제조되고 이어서 개별 반도체 디바이스로 분리될 수도 있다.
계측 프로세스는 더 높은 수율을 촉진하기 위해 웨이퍼 상의 결함을 검출하도록 반도체 제조 프로세스 중에 다양한 단계에서 사용된다. 모델 기반 계측 기술은 샘플 파괴의 위험 없이 높은 처리량을 위한 잠재성을 제공한다. 산란측정법(scatterometry), 타원계측법(ellipsometry), 및 반사측정법(reflectometry) 구현예 및 연관된 분석 알고리즘을 포함하는 다수의 모델 기반 계측 기반 기술이 나노스케일 구조체의 임계 치수, 막 두께, 조성, 오버레이 및 다른 파라미터를 특징화하는 데 통상적으로 사용된다.
현대의 반도체 프로세스는 복잡한 구조체를 생성하는 데 이용된다. 다중 파라미터를 갖는 복잡한 측정 모델이 이들 구조체를 표현하고 프로세스 및 치수 편차를 고려하도록 요구된다. 복잡한 다중 파라미터 모델은 파라미터 상관에 의해 유도된 모델링 에러 및 몇몇 파라미터에 대한 낮은 측정 감도를 포함한다. 게다가, 비교적 많은 수의 부동 파라미터값(floating parameter value)을 갖는 복잡한 다중 파라미터 모델의 회귀는 연산적으로 취급 가능하지 않을 수도 있다. 이들 에러 소스의 영향을 감소시키고 연산 노고를 감소시키기 위해, 다수의 파라미터가 통상적으로 모델 기반 측정에서 고정된다. 다수의 파라미터의 값의 고정은 계산 속도를 향상시키고 파라미터 상관의 영향을 감소시킬 수도 있지만, 이는 또한 파라미터값의 추정치의 에러를 유도한다.
현재, 복잡한 다중 파라미터 측정 모델의 솔루션(solution)은 종종 불만족스러운 절충을 요구한다. 현재 모델 감소 기술은 때때로 연산적으로 취급 가능하고 충분히 정확한 측정 모델에 도달하는 것이 불가능하다. 더욱이, 복잡한 다중 파라미터 모델은 각각의 관심 파라미터에 대한 시스템 파라미터 선택(예를 들어, 파장, 입사각 등)을 최적화하는 것을 어렵게 하거나 또는 불가능하게 한다.
미래의 계측 용례는 점점 더 작은 분해능 요구, 다중 파라미터 상관, 점점 더 복잡한 기하학 구조, 및 불투명 재료의 증가하는 사용에 기인하는 과제를 제시하고 있다. 따라서, 향상된 측정을 위한 방법 및 시스템이 요구된다.
부수적 모델 파라미터와 연관된 측정 신호 정보로부터 관심 파라미터와 연관된 측정 신호 정보를 격리하기 위해 파라미터 격리 모델을 구성하고 사용하기 위한 방법 및 시스템이 본 명세서에 제시되어 있다.
일 양태에서, 파라미터 격리 모델은 계측 타겟의 제2 세트의 인스턴스(instance)에 계측 타겟의 제1 세트의 인스턴스와 연관된 측정 신호를 맵핑함으로써 트레이닝된다. 계측 타겟의 제1 세트의 인스턴스는 복수의 부수적 모델 파라미터의 공지의 값 및 관심 파라미터의 공지의 값을 포함한다. 계측 타겟의 제2 세트의 인스턴스는 복수의 부수적 모델 파라미터의 공칭값 및 관심 파라미터의 동일한 공지의 값을 포함한다. 부수적 파라미터는 측정 모델을 공식화하도록(formulate) 요구되지만, 측정 하의 관심 시편의 특성을 직접 지시하지 않는 모델 파라미터이다.
트레이닝된 파라미터 격리 모델은 원시 측정 신호를 수신하고, 부수적 파라미터에 관련된 신호 정보를 제거한다. 트레이닝된 파라미터 격리 모델에 의해 발생된 출력 신호는 하나 이상의 관심 파라미터와 연관된 신호 정보를 포함한다. 그러나, 부수적 파라미터에 의해 연관된 신호 정보가 트레이닝된 파라미터 격리 모델에 의해 제거되었기 때문에, 출력 신호는 부수적 파라미터의 값의 변화에 민감하지 않다. 따라서, 부수적 파라미터의 값은 모델 솔루션 프로세스(예를 들어, 측정 모델 회귀) 중에 고정된 값이 할당될 수 있다. 그 결과, 측정 모델(예를 들어, 부동 파라미터)의 자유도는 모델 솔루션 프로세스 중에 감소된다. 몇몇 실시예에서, 단지 관심 파라미터만이 측정 모델의 회귀 중에 부동된다. 이는 하나 이상의 관심 파라미터를 위한 추정치를 발생하도록 요구된 연산 노고의 양을 극적으로 감소시킨다.
다른 양태에서, 파라미터 격리 모델은 각각의 관심 파라미터를 개별적으로 측정하도록 트레이닝되고 이용된다. 따라서, 각각의 관심 파라미터와 연관된 파라미터 격리 모델은 독립적으로 최적화된다. 각각의 관심 파라미터를 개별적으로 선택함으로써, 파라미터 격리 모델 트레이닝과 연관된 연산 부담이 감소되고 기초 측정의 성능은 각각의 개별 파라미터에 대해 최적화된 상이한 파장, 측정 서브시스템, 및 측정 방법을 선택함으로써 최대화될 수 있다. 게다가, 상이한 모델 기반 측정 솔버(solver)가 각각의 관심 파라미터에 대해 상이하게 선택되거나 또는 구성될 수 있다.
다른 추가의 양태에서, 파라미터 격리 모델은 다수의 관심 파라미터를 병렬로 측정하도록 트레이닝되고 이용된다. 따라서, 단일 파라미터 격리 모델이 연관된 다수의 관심 파라미터를 격리하도록 트레이닝된다. 일 예에서, 기준 원시 측정 데이터는 다수의 상이한 파라미터에 대해 수집될 수도 있고, 다수의 파라미터가 관심 파라미터로서 선택될 수도 있고, 반면에 나머지 파라미터는 다수의 관심 파라미터와 연관된 파라미터 격리 모델을 트레이닝하기 위한 부수적 파라미터로서 처리된다.
다른 양태에서, 파라미터 격리 모델은 각각의 개별 측정 사이트에서 트레이닝 및 후속의 측정의 모두를 위해, 웨이퍼, 또는 웨이퍼의 서브세트를 가로지르는 다수의 측정 사이트로부터 수집된 원시 측정 데이터의 전체 세트를 사용한다. 그 결과, 파라미터 격리 모델은 랜덤 프로세스 및 측정 에러를 포함하는, 웨이퍼를 가로지르는 파라미터 편차를 캡처한다. 예를 들어, 프로세스는 랜덤하게 변화하고(예를 들어, 랜덤 초점 및 선량 에러 등), 본 명세서에 설명되어 있는 파라미터 격리 모델은 이들 에러를 캡처하는 것이 가능하다.
상기 설명은 요약이고, 따라서 필연적으로 상세의 간단화, 일반화 및 생략을 포함하고, 따라서 당 기술 분야의 숙련자들은 이 요약이 단지 예시적인 것이고 결코 한정이 아니라는 것을 이해할 수 있을 것이다. 본 명세서에 설명된 디바이스 및/또는 프로세스의 다른 양태, 발명적 특징 및 장점은 본 명세서에 설명된 비한정적인 상세한 설명에서 명백해질 것이다.
도 1은 본 명세서에 설명된 방법에 따른 반도체 웨이퍼의 특징을 측정하기 위한 측정 시스템(100)을 도시하고 있다.
도 2는 예시적인 PIM 구성 도구(121) 및 PIM 측정 도구(122)를 예시하는 도면(120)이다.
도 3은 측정 사이트(171)의 그리드를 갖는 웨이퍼(170)를 도시하고 있다.
도 4는 예를 들어, 계측 시스템(100)에 의한 측정 하의 구조체(180)를 도시하고 있다.
도 5는 통상의 모델 기반 측정에 기초하는, 도 4에 도시되어 있는 파라미터(G1-MCD)의 추적 성능의 시뮬레이션을 도시하고 있는 플롯(190)을 도시하고 있다.
도 6은 통상의 모델 기반 측정에 기초하는, 도 4에 도시되어 있는 파라미터(G1-SWA)의 추적 성능의 시뮬레이션을 도시하고 있는 플롯(191)을 도시하고 있다.
도 7은 통상의 모델 기반 측정에 기초하는, 도 4에 도시되어 있는 파라미터(G1-HT)의 추적 성능의 시뮬레이션을 도시하고 있는 플롯(192)을 도시하고 있다.
도 8은 통상의 모델 기반 측정에 기초하는, 도 4에 도시되어 있는 파라미터(G5-MCD)의 추적 성능의 시뮬레이션을 도시하고 있는 플롯(193)을 도시하고 있다.
도 9는 트레이닝된 파라미터 격리 모델을 사용하는 모델 기반 측정에 기초하는, 도 4에 도시되어 있는 파라미터(G1-MCD)의 추적 성능의 시뮬레이션을 도시하고 있는 플롯(194)을 도시하고 있다.
도 10은 트레이닝된 파라미터 격리 모델을 사용하는 모델 기반 측정에 기초하는, 도 4에 도시되어 있는 파라미터(G1-SWA)의 추적 성능의 시뮬레이션을 도시하고 있는 플롯(195)을 도시하고 있다.
도 11은 트레이닝된 파라미터 격리 모델을 사용하는 모델 기반 측정에 기초하는, 도 4에 도시되어 있는 파라미터(G1-HT)의 추적 성능의 시뮬레이션을 도시하고 있는 플롯(196)을 도시하고 있다.
도 12는 트레이닝된 파라미터 격리 모델을 사용하는 모델 기반 측정에 기초하는, 도 4에 도시되어 있는 파라미터(G5-MCD)의 추적 성능의 시뮬레이션을 도시하고 있는 플롯(197)을 도시하고 있다.
도 13은 트레이닝된 파라미터 격리 모델을 사용하여 측정을 수행하기 위한 방법(200)을 도시하고 있다.
도 14는 파라미터 격리 모델을 트레이닝하기 위한 방법(300)을 도시하고 있다.
이제, 배경기술 예와, 그 예가 첨부 도면에 도시되어 있는 본 발명의 몇몇 실시예를 상세히 참조할 것이다.
다른 모델 파라미터와 연관된 측정 신호 정보로부터 관심 파라미터와 연관된 측정 신호 정보를 격리하기 위해 파라미터 격리 모델을 구성하고 사용하기 위한 방법 및 시스템이 본 명세서에 제시되어 있다. 특정 관심 파라미터와 연관된 측정 신호 정보를 격리함으로써, 측정 신호에 적용된 측정 모델의 부동 파라미터의 복잡성 및 수가 측정 정확도를 희생하지 않고 감소될 수 있다. 따라서, 충분하게 정확한 모델 기반 측정 결과가 극적으로 감소된 연산 노고로 얻어질 수 있다.
트레이닝된 파라미터 격리 모델은 원시 측정 신호를 수신하고, 특정/부수적 파라미터에 관련된 신호 정보를 제거한다. 부수적 파라미터는 측정 모델을 공식화하도록 요구되지만, 측정 하의 관심 시편의 특성을 직접 지시하지 않는 모델 파라미터이다. 트레이닝된 파라미터 격리 모델에 의해 발생된 출력 신호는 하나 이상의 관심 파라미터와 연관된 신호 정보를 포함한다. 따라서, 트레이닝된 파라미터 격리 모델에 의해 발생된 출력 신호는 부수적 파라미터에 민감하지 않고, 이들 파라미터는 모델 솔루션 프로세스(예를 들어, 측정 모델 회귀) 중에 고정된 값이 할당될 수 있다. 그 결과, 측정 모델(예를 들어, 부동 파라미터)의 자유도는 모델 솔루션 프로세스 중에 감소된다. 몇몇 실시예에서, 단지 관심 파라미터만이 측정 모델의 회귀 중에 부동된다. 이는 하나 이상의 관심 파라미터를 위한 추정치를 발생하도록 요구된 연산 노고의 양을 극적으로 감소시킨다.
도 1은 반도체 웨이퍼의 특징을 측정하기 위한 시스템(100)을 도시하고 있다. 도 1에 도시되어 있는 바와 같이, 시스템(100)은 웨이퍼 위치설정 시스템(110) 상에 배치된 반도체 웨이퍼(112)의 하나 이상의 구조체(114)의 분광 타원계측법을 수행하는 데 사용될 수도 있다. 이 양태에서, 시스템(100)은 조명기(102) 및 분광계(104)를 구비한 분광 타원계측기(101)를 포함할 수도 있다. 시스템(100)의 조명기(102)는 선택된 파장 범위(예를 들어, 150 내지 1700 nm)의 조명을 발생하여 반도체 웨이퍼(112)의 표면 상에 배치된 구조체(114)에 유도하도록 구성된다. 이어서, 분광계(104)는 반도체 웨이퍼(112)의 표면으로부터 광을 수용하도록 구성된다. 조명기(102)로부터 나오는 광은 편광 상태 발생기(107)를 사용하여 편광되어 편광된 조명빔(106)을 생성한다는 것이 또한 주목된다. 웨이퍼(112) 상에 배치된 구조체(114)에 의해 반사된 방사선은 편광 상태 분석기(109)를 통해 분광계(104)로 통과된다. 집광빔(108) 내에 분광계(104)에 의해 수용된 방사선은 편광 상태에 관하여 분석되어, 분석기에 의해 통과된 방사선의 스펙트럼 분석을 허용한다. 이들 스펙트럼(111)은 구조체(114)의 분석을 위해 컴퓨팅 시스템(130)에 전달된다.
다른 실시예에서, 계측 시스템(100)은 본 명세서에 제공된 설명에 따라 파라미터 격리 모델(parameter isolation model: PIM) 구성 도구 및 PIM 측정 도구를 실행하도록 구성된 하나 이상의 컴퓨팅 시스템(130)을 포함하는 측정 시스템(100)이다. 바람직한 실시예에서, PIM 구성 및 측정 도구는 메모리(132) 내에 저장된 프로그램 명령(134)의 세트이다. 프로그램 명령(134)은 본 명세서에 설명된 바와 같은 PIM 기능성을 실현하기 위해 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 판독되고 실행된다. 컴퓨팅 시스템(130)은 분광계(104)에 통신가능하게 결합될 수도 있다. 일 양태에서, 컴퓨팅 시스템(130)은 시편(112)의 구조체(114)의 측정치(예를 들어, 임계 치수, 막 두께, 조성, 프로세스 등)와 연관된 측정 데이터(111)를 수신하도록 구성된다. 일 예에서, 측정 데이터(111)는 분광계(104)로부터 하나 이상의 샘플링 프로세스에 기초하여 측정 시스템(100)에 의한 시편의 측정된 스펙트럼 응답의 지시를 포함한다. 몇몇 실시예에서, 컴퓨팅 시스템(130)은 또한 측정 데이터(111)로부터 구조체(114)의 시편 파라미터값을 결정하도록 구성된다. 일 예에서, 컴퓨팅 시스템(130)은 실시간 임계 치수설정(Real Time Critical Dimensioning: RTCD)을 이용하여 실시간으로 모델 파라미터에 액세스하도록 구성되고, 또는 이는 타겟 구조체(114)와 연관된 적어도 하나의 관심 파라미터의 값을 결정하기 위한 사전 컴퓨팅된 모델의 라이브러리에 액세스할 수도 있다.
몇몇 실시예에서, 측정 시스템(100)은 메모리[예를 들어, 메모리(132) 또는 외장 메모리] 내에 하나 이상의 트레이닝된 파라미터 격리 모델(115)을 저장하도록 또한 구성된다.
본 명세서에 전체에 걸쳐 설명된 다양한 단계는 단일의 컴퓨터 시스템(130), 또는 대안적으로 다수의 컴퓨터 시스템(130)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 더욱이, 분광 타원계측기(101)와 같은 시스템(100)의 상이한 서브시스템은 본 명세서에 설명된 단계의 적어도 일부를 수행하기 위해 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 전술된 설명은 본 발명에 대한 한정으로서 해석되어서는 안되고 단지 예시로서 해석되어야 한다. 또한, 컴퓨팅 시스템(130)은 본 명세서에 설명된 임의의 방법 실시예의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
컴퓨팅 시스템(130)은 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당 기술 분야에 공지된 임의의 다른 디바이스를 포함할 수도 있지만, 이들에 한정되는 것은 아니다. 일반적으로, 용어 "컴퓨팅 시스템"은 메모리 매체로부터 명령을 실행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 포함하는 것으로 광범위하게 정의될 수도 있다. 일반적으로, 컴퓨팅 시스템(130)은 측정 시스템(100)과 같은 측정 시스템과 통합될 수도 있고, 또는 대안적으로 임의의 측정 시스템으로부터 분리될 수도 있다. 이 개념에서, 컴퓨팅 시스템(130)은 원격으로 로케이팅되고 임의의 특정 소스로부터 측정 데이터를 수신할 수도 있다.
본 명세서에 설명된 것들과 같은 방법을 구현하는 프로그램 명령(134)은 와이어, 케이블 또는 무선 전송 링크와 같은 전송 매체를 거쳐 전송될 수도 있다. 프로그램 명령(134)을 저장하는 메모리(132)는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프와 같은 컴퓨터 판독가능 매체를 포함할 수도 있다.
게다가, 컴퓨터 시스템(130)은 당 기술 분야에 공지된 임의의 방식으로 분광계(104) 또는 타원계측기(101)의 조명 서브시스템(102)에 통신가능하게 결합될 수도 있다.
컴퓨팅 시스템(130)은 유선부 및/또는 무선부를 포함할 수도 있는 전송 매체에 의해 시스템의 서브시스템[예를 들어, 분광계(104), 조명기(102) 등]으로부터 데이터 또는 정보를 수신하고 그리고/또는 취득하도록 구성될 수도 있다. 이 방식으로, 전송 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 기능할 수도 있다. 또한, 컴퓨팅 시스템(130)은 저장 매체(즉, 메모리)를 거쳐 측정 데이터를 수신하도록 구성될 수도 있다. 예를 들어, 타원계측기(101)의 분광계를 사용하여 얻어진 스펙트럼 결과는 영구 또는 반영구 메모리 디바이스(도시 생략) 내에 저장될 수도 있다. 이와 관련하여, 스펙트럼 결과는 외장 메모리 시스템으로부터 가져오기될(imported) 수도 있다. 더욱이, 컴퓨터 시스템(130)은 전송 매체를 거쳐 외부 시스템에 데이터를 송신할 수도 있다.
도 1에 도시되어 있는 시스템(100)의 실시예는 또한 본 명세서에 설명된 바와 같이 구성될 수도 있다. 게다가, 시스템(100)은 본 명세서에 설명된 실시예(들)의 임의의 방법의 임의의 다른 블록(들)을 수행하도록 구성될 수도 있다.
일반적으로, 타원계측법은 검사 하의 시편의 물리적 특성을 측정하는 간접적인 방법이다. 대부분의 경우에, 원시 측정 신호(예를 들어, αmeas 및 βmeas)는 시편의 물리적 특성을 직접적으로 결정하는 데 사용될 수 없다. 공칭 측정 프로세스는 구조체(예를 들어, 막 두께, 임계 치수 등) 및 기계(예를 들어, 파장, 입사각, 편광각 등)의 파라미터화로 이루어진다. 측정된 값(예를 들어, αmeas 및 βmeas)을 예측하려고 시도하는 측정 모델이 생성된다. 수학식 1 및 수학식 2에 예시되어 있는 바와 같이, 모델은 기계와 연관된 파라미터(Pmachine) 및 시편과 연관된 파라미터(Pspecimen)를 포함한다.
Figure 112017104443581-pct00001
Figure 112017104443581-pct00002
기계 파라미터는 계측 도구[예를 들어, 타원계측기(101)]를 특징화하는 데 사용된 파라미터이다. 예시적인 기계 파라미터는 입사각(angle of incidence: AOI), 분석기 각도(A0), 편광기 각도(P0), 조명 파장, 개구수(numerical aperture: NA) 등을 포함한다. 시편 파라미터는 시편[예를 들어, 구조체(114)를 포함하는 시편(112)]을 특징화하는 데 사용된 파라미터이다. 막 필름 시편에 대해, 예시적인 시편 파라미터는 굴절률, 유전 함수 텐서(dielectric function tensor), 모든 층의 공칭 층 두께, 층 시퀀스 등을 포함한다. 측정 목적으로, 기계 파라미터는 공지의 고정된 파라미터로서 처리되고, 시편 파라미터 중 하나 이상은 미지의 부동 파라미터로서 처리된다.
몇몇 예에서, 부동 파라미터는 이론 예측값과 실험 데이터 사이의 최적의 적합(best fit)을 생성하는 반복 프로세스(예를 들어, 회귀)에 의해 해석된다. 미지의 시편 파라미터(Pspecimen)는 변화되고, 모델 출력값(예를 들어, αmodel 및 βmodel)은 모델 출력값과 실험적으로 측정된 값(예를 들어, αmeas 및 βmeas) 사이의 근접한 정합을 야기하는 시편 파라미터값의 세트가 결정될 때까지 계산된다. CD 시편 상의 분광 타원계측법과 같은 모델 기반 측정 애플리케이션에서, 회귀 프로세스(예를 들어, 보통 최소 제곱 회귀)가 기계 파라미터값의 고정된 세트에 대한 실험적으로 측정된 값과 모델 출력값 사이의 차이를 최소화하는 시편 파라미터값을 식별하는 데 이용된다.
몇몇 예에서, 부동 파라미터는 가장 근접한 정합을 발견하기 위해 사전 컴퓨팅된 솔루션의 라이브러리를 통한 검색에 의해 해석된다. CD 시편 상의 분광 타원계측법과 같은 모델 기반 측정 용례에서, 라이브러리 검색 프로세스는 기계 파라미터값의 고정된 세트에 대한 실험적으로 측정된 값과 사전 결정된 모델 출력값 사이의 차이를 최소화하는 시편 파라미터값을 식별하는 데 이용된다.
모델 기반 측정 용례에서, 단순 가정(simplifying assumptions)이 종종 충분한 처리량을 유지하기 위해 요구된다. 몇몇 예에서, 엄밀한 결합 파동 분석(Rigorous Coupled Wave Analysis: RCWA)이 연산 시간을 최소화하도록 감소되어야 한다. 다른 예에서, 라이브러리 함수의 수 또는 복잡성은 검색 시간을 최소화하기 위해 감소된다. 다른 예에서, 부동 파라미터의 수는 감소된다. 주의 깊게 수행되지 않으면, 이들 단순 가정은하나 이상의 관심 파라미터의 값의 추정치의 허용 불가능한 에러를 유도할 수도 있다. 본 명세서에 설명된 바와 같은 관심 파라미터와 연관된 측정 신호 정보를 격리하기 위해 파라미터 격리 모델을 구성하고 사용함으로써, 모델 기반 측정은 측정 정확도를 희생하지 않고 간단화될 수 있다.
도 2는 컴퓨팅 시스템(130)에 의해 구현된 예시적인 PIM 구성 도구(121) 및 PIM 측정 도구(122)를 예시하는 도면(120)이다. 도 2에 도시되어 있는 실시예에서, 컴퓨팅 시스템(130)은 본 명세서에 설명된 바와 같은 PIM 구성 및 측정 기능성을 구현하도록 구성된다.
도 2에 도시되어 있는 실시예에서, 기준 측정 신호(156)가 기준 변환 모델(151)에 의해 수신된다. 기준 측정 신호(156)는 복수의 부수적 모델 파라미터의 공지의 값의 범위 및 적어도 하나의 관심 파라미터의 공지의 값의 범위를 갖는 하나 이상의 계측 타겟의 측정치와 연관된 원시 측정 신호이다. 원시 측정 신호는 도 1에 도시되어 있는 타원계측기(101)와 같은 측정 시스템에 의해 발생된 측정 신호이다. 모델 기반 측정에서, 회귀 프로세스, 라이브러리 검색, 또는 이들의 몇몇 조합은 측정 모델에 의해 예측된 대응 신호값에 원시 측정 신호를 최적 적합하는 모델 파라미터값을 추정하는 데 이용된다.
유사하게, 감소된 기준 측정 신호(157)는 감소된 기준 변환 모듈(152)에 의해 수신된다. 감소된 기준 측정 신호(157)는 기준 측정 신호(156)로서, 동일한 계측 타겟의 측정치, 또는 동일한 계측 타겟의 상이한 인스턴스와 연관된다. 그러나, 감소된 기준 측정 신호(157)를 얻도록 측정된 계측 타겟은 복수의 부수적 모델 파라미터의 각각에 대한 공지의 공칭값 및 하나 이상의 관심 파라미터의 동일한 공지의 값을 갖는다.
바람직한 실시예에서, 기준 측정 신호(156) 및 감소된 기준 측정 신호(157)는 고려 하의 각각의 특정 측정 용례에 대해, 도 1에 도시되어 있는 타원계측기(101)와 같은 측정 시스템에 의해 발생된 측정 신호를 시뮬레이팅함으로써 발생된다. 각각의 특정 측정 용례는 계측 타겟(들), 계측 시스템 구성, 및 고려 하의 측정 모델을 규정한다. 측정 모델은 공지의 파라미터값으로 시뮬레이팅되고, 시뮬레이팅된 측정 신호는 기준 측정 신호(156) 및 PIM 구성 도구(121)에 제공된 감소된 기준 측정 신호로서 처리된다.
몇몇 다른 실시예에서, 기준 측정 신호(156), 감소된 기준 측정 신호(157), 또는 모두는 측정 시스템에 의해 고려 하의 공지의 파라미터값을 갖는 계측 타겟의 실제 측정에 의해 발생된 실제 원시 측정 신호이다. 이들 실시예에서, 실제 측정에 의해 발생된 신호는 기준 측정 신호(156) 및 PIM 구성 도구(121)에 제공된 감소된 기준 측정 신호(157)로서 처리된다.
도 3은 측정 사이트(171)의 그리드를 갖는 웨이퍼(170)를 도시하고 있다. 각각의 측정 사이트는 측정 시스템[예를 들어, 도 1에 도시되어 있는 계측 시스템(100)]에 의해 측정된 하나 이상의 계측 타겟을 포함한다. 일반적으로, 측정 데이터 수집은 전체 웨이퍼 또는 웨이퍼 영역의 서브세트를 가로질러 수행될 수도 있다. 게다가, 몇몇 실시예에서, 계측 타겟은 프로세스 파라미터, 관심 구조적 파라미터, 또는 모두의 인쇄 가능성 및 변화의 민감성을 위해 설계된다. 몇몇 예에서, 계측 타겟은 특수화된 타겟이다. 몇몇 실시예에서, 계측 타겟은 통상의 라인/공간 타겟에 기초한다. 비한정적인 예로서, CD 타겟, SCOL 타겟, 또는 캘리포니아주(미국) 밀피타스 소재의 KLA-Tencor Corporation으로부터 입수 가능한 AiMTM 타겟이 이용될 수도 있다. 몇몇 다른 실시예에서, 계측 타겟은 디바이스형 구조체이다. 몇몇 다른 예에서, 계측 타겟은 디바이스 구조체, 또는 디바이스 구조체의 부분이다. 이용된 계측 타겟의 유형에 무관하게, 탐구되고 있는 프로세스 편차, 구조적 편차에 대한 민감성을 나타내는 계측 타겟의 세트가 트레이닝된 파라미터 격리 모델을 사용하여 수행된 측정을 위해 제공된다. 일단, 모델이 트레이닝되면, 하나 이상의 관심 파라미터의 미지의 값을 가짐에도 불구하고, 동일한 계측 타겟의 상이한 인스턴스의 측정을 수행하는 데 사용될 수도 있다.
기준 변환 모듈(151)은 입력 신호 변환 모델(160)을 사용하여 기준 측정 신호(156)를 기준 신호 성분(158)의 세트로 변환한다. 유사하게, 기준 변환 모듈(152)은 기준 신호 변환 모델을 사용하여 감소된 기준 측정 신호(157)를 감소된 기준 신호 성분(159)의 세트로 변환한다.
몇몇 실시예에서, 입력 신호 변환 모델(160) 및 기준 신호 변환 모델은 선형 변환이다. 이들 실시예의 몇몇에서, 선형 변환은 원리 성분 분석에 기초하여 결정된다. 몇몇 실시예에서, 입력 신호 변환 모델(160) 및 기준 신호 변환 모델은 비선형 변환이다. 이들 실시예의 몇몇에서, 비선형 모델은 충분히 작은 세트의 신호 성분에 도달하도록 공지의 파라미터값 및 신호(156, 157)에 기초하여 반복적인 방식으로 트레이닝된다.
신호 성분으로의 신호(156, 157)의 변환은 고려 하의 트레이닝 데이터의 치수를 감소시키도록 수행된다. 이 개념에서, 이들 변환은 선택적이다. 따라서, 몇몇 실시예에서, 신호(156, 157)는 PIM 트레이닝 모듈(153)에 의해 직접 수신되지만, 이는 바람직하지 않다.
감소된 기준 측정 신호(157)를 감소된 기준 신호 성분(159)의 세트로 변환하는 것에 추가하여, 기준 변환 모듈(152)은 또한 신호 성분(159)을 최소 에러를 갖고 신호(157)로 재차 변환하는 역변환 모델(161)을 발생한다.
PIM 트레이닝 모듈(153)은 기준 변환 모듈(151) 및 감소된 기준 변환 모듈(152)로부터 각각 신호 성분(158, 159)을 수신한다. PIM 트레이닝 모듈(153)은 수신된 신호 성분에 기초하여 파라미터 격리 모델(162)을 트레이닝한다. 파라미터 격리 모델(162)의 트레이닝은 신호 성분(159)으로의 신호 성분(158)의 맵핑에 기초한다. 수학식 (3)은 감소된 신호 성분(159)으로의 기준 신호 성분(158)의 예시적인 맵핑(M)을 예시하고 있다.
Figure 112017104443581-pct00003
트레이닝된 파라미터 격리 모델(162)은 기준 신호 성분(158)과 감소된 기준 신호 성분(159) 사이의 함수 관계를 규정한다. 더 일반적으로, 트레이닝된 파라미터 격리 모델(162)은 부수적 파라미터 및 하나 이상의 관심 파라미터의 값의 범위를 갖는 타겟의 측정치에 기초하는 원시 측정 신호로부터 부수적 파라미터의 고정된 값 및 하나 이상의 관심 파라미터의 값의 범위를 갖는 타겟의 측정치에 기초하는 감소된 원시 측정 신호로의 맵핑을 규정한다. 몇몇 예에서, 파라미터 격리 모델(162)은 신경 네트워크 모델로서 구현된다. 다른 예에서, 파라미터 격리 모델(162)은 선형 모델, 비선형 모델, 다항식 모델, 응답 표면 모델, 지원 벡터 기계 모델, 또는 다른 유형의 모델로서 구현될 수도 있다. 몇몇 예에서, 파라미터 격리 모델(162)은 모델의 조합으로서 구현될 수도 있다. 트레이닝된 파라미터 격리 모델(162)로 모델 기반 계측을 증강함으로써, 전체 측정 정확도 및 정밀도가 향상되고, 반면에 연산 노고는 극적으로 감소된다.
파라미터 격리 모델(162)을 트레이닝하기 위해, 원시 측정 데이터, 또는 원시 측정 데이터의 성분을 사용함으로써, 전통적인 측정 모델 감소 기술과 연관된 에러 및 근사치가 감소된다. 게다가, 파라미터 격리 모델(162)은 계통 에러, 비대칭성 등에 민감하지 않은데, 이는 파라미터 격리 모델(162)이 특정 측정 용례와 연관된 원시 측정 데이터에 기초하여 트레이닝되고 동일한 측정 용례에 기초하여 측정을 수행하는 데 사용된다. 파라미터 격리 모델(162)을 트레이닝하기 위해 이용되는 원시 측정 데이터 내에 임베드된(embedded) 계통 에러는 트레이닝된 파라미터 격리 모델(162)에 의해 캡처되지 않을 것이라는 것이 주목되어야 한다. 따라서, 고려 하의 특정 측정 용례를 위한 허용 가능한 한계 내에 있는 계통 에러를 갖는 측정 시스템을 선택하는 것이 중요하다.
요약하면, 입력 신호 변환 모델(160), 역변환 모델(161), 및 트레이닝된 파라미터 격리 모델(162)은 PIM 구성 도구(121)로부터 출력으로서 전달된다. 몇몇 실시예에서, 이들 요소는 미래 사용을 위해 메모리[예를 들어, 메모리(132) 또는 외장 메모리] 내에 저장된다. 몇몇 실시예에서, 이들 요소는 실제 측정에 사용을 위한 PIM 측정 도구(122)에 의해 수신된다.
도 2에 도시되어 있는 바와 같이, 파라미터 격리 모듈(154)은 입력 신호 변환 모델(160), 역변환 모델(161), 및 트레이닝된 파라미터 격리 모델(162)을 수신한다. 게다가, 파라미터 격리 모듈(154)은 원시 측정 신호(163)를 수신한다. 원시 측정 신호(163)는 부수적 파라미터 및 관심 파라미터의 모두의 미지의 값을 갖는 구조체의 실제 측정에 의해 발생된다. 측정된 구조체는 기준 측정 신호(156) 및 감소된 기준 측정 신호(157)를 발생하도록 측정된 동일한 구조체의 상이한 인스턴스이다. 이 개념에서, 신호(163)와 연관된 측정 용례는 신호(156, 157)와 연관된 동일한 측정 용례이다.
파라미터 격리 모듈(154)은 입력 신호 변환 모델(160)을 사용하여, 원시 측정 신호(163)(Smeas)를 측정된 신호 성분의 세트(SCmeas)로 변환한다. 수학식 4는 측정된 신호 성분(SCmeas)에 도달하기 위해, 원시 측정 신호(Smeas)에 작용하는 입력 신호 변환(Tref)을 예시하고 있다.
Figure 112017104443581-pct00004
파라미터 격리 모듈(154)은 파라미터 격리 모델(162)을 사용하여, 측정된 신호 성분(SCmeas)을 감소된 측정된 신호 성분(SCred meas)에 맵핑한다. 수학식 5는 감소된 측정된 신호 성분(SCred meas)에 도달하기 위해, 측정된 신호 성분(SCmeas)에 작용하는 파라미터 격리 모델(M)을 예시하고 있다.
Figure 112017104443581-pct00005
게다가, 파라미터 격리 모델(154)은 역변환 모델(161)을 사용하여, 감소된 측정된 신호 성분(SCred meas)을 감소된 측정 신호(Sred meas)의 세트로 변환한다. 수학식 6은 감소된 측정된 신호(Sred meas)에 도달하기 위해, 감소된 측정된 신호 성분(SCred meas)에 작용하는 역신호 변환(Tref -1)을 예시하고 있다.
Figure 112017104443581-pct00006
감소된 측정된 신호(164)는 파라미터 솔버 모듈(155)에 전달된다. 파라미터 솔버 모듈(155)은 감소된 측정된 신호(164)에 기초하여 하나 이상의 관심 파라미터(165)의 값을 추정한다. 파라미터 솔버 모듈(155)에 의해 이용된 측정 모델의 부수적 파라미터는 파라미터 격리 모델(M)을 트레이닝하도록 이용된 감소된 기준 측정 신호(157)와 연관된 공칭값으로 고정되고, 하나 이상의 관심 파라미터는 부동된다. 비한정적인 예로서, 파라미터 솔버 모듈(155)은 모델 기반 회귀, 모델 기반 라이브러리 검색, 모델 기반 라이브러리 회귀, 신호 응답 계측, 또는 이들의 임의의 조합을 이용할 수도 있다. 신호 응답 계측에 관한 추가의 상세는, 그 내용이 그대로 본 명세서에 참조로서 합체되어 있는 미국 특허 출원 공개 제2014/0297211호 및 미국 특허 출원 공개 제2014/0316730호에 설명되어 있다.
도 2에 도시되어 있는 실시예에서, 하나 이상의 관심 파라미터의 추정된 값(165)은 메모리[예를 들어, 메모리(123)] 내에 저장된다.
도 1에 도시되어 있는 실시예에서, 분광 타원계측기(101)는 파라미터 격리 모델을 트레이닝하고 사용하기 위한 측정 데이터를 제공한다. 그러나, 일반적으로, 임의의 적합한 모델 기반 계측 기술이 본 명세서에 설명되어 있는 방법에 따라 파라미터 격리 모델의 트레이닝 및 사용을 위한 측정 데이터를 발생하도록 이용될 수도 있다.
일 예에서, 파라미터 격리 모델은 산란측정법 오버레이 측정 용례에서 동공 이미지 데이터에 기초하여 트레이닝된다.
다른 예에서, 파라미터 격리 모델은 이미지 기반 오버레이 측정 용례에서 이미지 데이터에 기초하여 트레이닝된다. 본 예에서, 원시 이미지 데이터는 오버레이 오프셋에 의해 도입된 비대칭성에 추가하여 측벽각과 같은 프로세스 유도된 비대칭성을 포함한다. 파라미터 격리 모델은 관심 파라미터(예를 들어, 오버레이 오프셋)에 기인하는 비대칭성으로부터 부수적 비대칭성(예를 들어, 측벽각)을 격리하도록 트레이닝된다. 이는 시뮬레이팅된 기준 신호 및 시뮬레이팅된 감소된 기준 신호에 기초하여 파라미터 격리 모델을 트레이닝함으로써 성취된다. 기준 신호는 부수적 파라미터(예를 들어, 측벽각)의 값의 범위 및 관심 파라미터(예를 들어, 오버레이 오프셋)의 값의 범위를 포함한다. 감소된 기준 신호는 각각의 부수적 파라미터에 대한 공칭값 및 관심 파라미터의 값의 동일한 범위를 포함한다. 트레이닝된 파라미터 격리 모델은 미지의 오버레이를 갖는 샘플로부터 수집된 이미지 데이터를 감소시키도록 이용된다. 감소된 이미지 데이터는 프로세스 유도된 비대칭성에 민감하지 않다. 이미지 기반 오버레이 알고리즘이 감소된 이미지 데이터에 기초하여 오버레이 오프셋을 추정하는 데 이용된다.
도 4는 예를 들어, 계측 시스템(100)에 의한 측정 하의 구조체(180)를 도시하고 있다. 구조체(180)는 사다리꼴 구조체(182)를 갖는 층(181), 개재층(183, 184, 185), 및 구조체(180)의 상부에 배치된 다른 사다리꼴 구조체(186)를 포함한다. 사다리꼴 구조체(182)는 중간 임계 치수 파라미터(G1-MCD), 측벽각 파라미터(G1-SWA), 및 높이 파라미터(G1-HT)로 파라미터화된다. 사다리꼴 구조체(186)는 중간 임계 치수 파라미터(G5-MCD), 측벽각 파라미터(G5-SWA), 및 높이 파라미터(G5-HT)로 파라미터화된다.
컴퓨팅 시스템(130)은 G1-MCD, G1-SWA, G1-HT, 및 G5-MCD에 대한 공지의 파라미터값의 범위로부터 종합적으로 기준 원시 신호(156)를 발생한다. 유사하게, 컴퓨팅 시스템(130)은 G5-MCD에 대한 공지의 파라미터값의 동일한 범위, 및 G1-MCD, G1-SWA, 및 G1-HT에 대한 고정된 공칭 파라미터값으로부터 종합적으로 감소된 기준 원시 신호(157)를 발생한다.
도 5 내지 도 8은 각각의 파라미터(G1-MCD, G1-SWA, G1-HT, 및 G5-MCD)에 대한 추적 성능을 각각 도시하고 있다. 도 5 내지 도 8에 도시되어 있는 추적 성능은 파라미터 격리 모델을 사용하지 않고 통상의 모델 기반 계측에 기초하여 예측된 대응 파라미터값에 대한 각각의 파라미터의 실제의 공지의 값을 플롯팅하는 것에 기초한다. 도 5 내지 도 8에 각각 도시되어 있는 플롯(192, 193, 194, 195)에 도시되어 있는 바와 같이, 각각의 파라미터를 위한 추적 성능은 매우 양호하다. 그러나, 이 결과에 도달하는 것과 연관된 연산 노고는 상당하다. 본 예에서, 단지 기준 원시 신호(156)만이 모델 기반 측정 엔진에 제공된다는 것을 주목하라. 또한, 기준 원시 신호(156)가 각각의 파라미터와 연관된 정보를 포함한다는 것을 나타내는 모든 파라미터가 양호하게 추적된다는 것을 주목하라.
도 9 내지 도 12는 각각의 파라미터(G1-MCD, G1-SWA, G1-HT, 및 G5-MCD)에 대한 추적 성능을 각각 또한 도시하고 있다. 그러나, 도 9 내지 도 12에 도시되어 있는 추적 성능은 본 명세서에 설명되어 있는 바와 같은 파라미터 격리 모델을 사용하는 모델 기반 계측에 기초하여 예측된 대응 파라미터값에 대한 각각의 파라미터의 실제의 공지의 값을 플롯팅하는 것에 기초한다. 본 예에서, 파라미터(G5-MCD)는 관심 파라미터이고, 파라미터(G1-MCD, G1-SWA, 및 G1-HT)는 부수적 파라미터이다. 도 9 내지 도 12에 각각 도시되어 있는 플롯(194, 195, 196, 197)에 도시되어 있는 바와 같이, 부수적 파라미터를 위한 추적 성능은 매우 열악하다. 그러나, 관심 파라미터(G5-MCD)에 대한 추적 파라미터는 매우 양호하다. 본 예에서, 이 결과에 도달하는 것과 연관된 연산 노고는 도 5 내지 도 8에 도시되어 있는 통상의 모델 기반 계측보다 실질적으로 적다. 본 예에서, 모델 기반 측정 엔진은 감소된 측정 신호에 작용한다는 것을 주목하라. 또한, 감소된 측정 신호가 관심 파라미터와 연관된 정보를 포함하는 것을 나타내는 모든 관심 파라미터(G5-MCD)는 계속 양호하게 추적한다는 것을 주목하라. 그러나, 부수적 파라미터는 양호하게 추적하지 않아, 감소된 측정 신호가 부수적 파라미터(G1-MCD, G1-SWA, 및 G1-HT)와 연관된 정보가 결여되어 있다는 것을 나타낸다. 따라서, 파라미터 격리 모델은 측정된 신호로부터, G1-MCD, G1-SWA, 및 G1-HT와 연관된 정보가 효과적으로 제거되어 있다.
다른 양태에서, 파라미터 격리 모델은 각각의 관심 파라미터를 개별적으로 측정하도록 트레이닝되고 이용된다. 따라서, 각각의 관심 파라미터와 연관된 파라미터 격리 모델은 독립적으로 최적화된다. 일 예에서, 기준 원시 측정 데이터는 도 5 내지 도 12에 관하여 설명되어 있는 바와 같이 다수의 상이한 파라미터에 대해 수집되고, 각각의 파라미터는 관심 파라미터로서 개별적으로 선택될 수도 있고, 반면에 나머지 파라미터는 각각의 상이한 파라미터와 연관된 상이한 파라미터 격리 모델을 트레이닝하기 위한 부수적 파라미터로서 처리된다. 각각의 관심 파라미터를 개별적으로 선택함으로써, 파라미터 격리 모델 트레이닝과 연관된 연산 부담이 감소되고 기초 측정의 성능은 각각의 개별 파라미터에 대해 최적화된 상이한 파장, 측정 서브시스템, 및 측정 방법을 선택함으로써 최대화될 수 있다. 게다가, 상이한 모델 기반 측정 솔버가 각각의 관심 파라미터에 대해 상이하게 선택되거나 또는 구성될 수 있다.
다른 추가의 양태에서, 파라미터 격리 모델은 다수의 관심 파라미터를 병렬로 측정하도록 트레이닝되고 이용된다. 따라서, 단일 파라미터 격리 모델이 연관된 다수의 관심 파라미터를 격리하도록 트레이닝된다. 일 예에서, 기준 원시 측정 데이터는 도 5 내지 도 12에 관하여 설명되어 있는 바와 같이 다수의 상이한 파라미터에 대해 수집될 수도 있고, 다수의 파라미터가 관심 파라미터로서 선택될 수도 있고, 반면에 나머지 파라미터는 다수의 관심 파라미터와 연관된 파라미터 격리 모델을 트레이닝하기 위한 부수적 파라미터로서 처리된다.
통상적으로, 특정 측정 사이트에서 수행된 관심 파라미터의 측정은, 데이터가 웨이퍼 상의 다수의 사이트로부터 수집될 수도 있더라도, 단지 그 특정 측정 사이트로부터 수집된 데이터에만 의존한다. 예를 들어, 이미지 기반 오버레이, 산란측정법 오버레이 등과 같은 모델 기반 측정은 단지 모델 기반 회귀를 위한 이용 가능한 측정 데이터의 서브세트만을 사용한다. 따라서, 측정 성능을 향상시킬 수도 있는 다수의 사이트로부터의 정보가 사용되지 않는다.
다른 양태에서, 파라미터 격리 모델은 각각의 개별 측정 사이트에서 트레이닝 및 후속의 측정의 모두를 위해, 웨이퍼, 또는 웨이퍼의 서브세트를 가로지르는 다수의 측정 사이트로부터 수집된 원시 측정 데이터의 전체 세트를 사용한다. 그 결과, 파라미터 격리 모델은 랜덤 프로세스 및 측정 에러를 포함하는, 웨이퍼를 가로지르는 파라미터 편차를 캡처한다. 예를 들어, 프로세스는 랜덤하게 변화하고(예를 들어, 랜덤 초점 및 선량 에러 등), 본 명세서에 설명되어 있는 파라미터 격리 모델은 이들 에러를 캡처하는 것이 가능하다.
도 13은 트레이닝된 파라미터 격리 모델을 사용하여 측정을 수행하기 위한 방법(200)을 도시하고 있다. 방법(200)은 본 발명의 도 1에 도시되어 있는 계측 시스템(100)과 같은 계측 시스템에 의한 구현을 위해 적합하다. 일 양태에서, 방법(200)의 데이터 프로세싱 블록은 컴퓨팅 시스템(130) 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행된 사전 프로그램된 알고리즘을 거쳐 수행될 수도 있는 것으로 인식된다. 계측 시스템(100)의 특정 구조적 양태는 한정을 표현하는 것은 아니고, 단지 예시적인 것으로서 해석되어야 한다는 것이 본 명세서에서 인식된다.
블록 201에서, 측정 시스템에 의한 계측 타겟의 측정치와 연관된 소정량의 원시 측정 신호가 컴퓨팅 시스템(130)에 의해 수신된다.
블록 202에서, 원시 측정 신호의 감소된 세트가 컴퓨팅 시스템(130)에 의해 결정된다. 원시 측정 신호의 감소된 세트는 소정량의 원시 측정 신호에 트레이닝된 파라미터 격리 모델을 적용함으로써 결정된다. 트레이닝된 파라미터 격리 모델은 복수의 부수적 모델 파라미터의 공지의 값 및 관심 파라미터의 공지의 값을 갖는 계측 타겟의 제1 세트의 인스턴스 및 복수의 부수적 파라미터의 공칭값 및 관심 파라미터의 공지의 값을 갖는 계측 타겟의 제2 세트의 인스턴스의 측정치에 기초하여 트레이닝된다.
블록 203에서, 계측 타겟의 관심 파라미터의 값은 원시 측정 신호 및 계측 모델의 감소된 세트에 기초하여 컴퓨팅 시스템(130)에 의해 결정된다. 계측 모델의 복수의 부수적 모델 파라미터는 관심 파라미터의 값의 결정 중에 공칭값으로 고정된다.
게다가, 관심 파라미터의 결정된 값은 메모리 내에 저장된다. 예를 들어, 값은 계측 시스템(100)에 내장식으로, 예를 들어 메모리(132) 내에 저장될 수도 있고, 또는 외장 메모리 디바이스[예를 들어, 메모리(123)]에 전달될 수도 있다[예를 들어, 출력 신호(140)를 거쳐].
도 14는 파라미터 격리 모델을 트레이닝하기 위한 방법(300)을 도시하고 있다. 방법(300)은 본 발명의 도 1에 도시되어 있는 계측 시스템(100)과 같은 계측 시스템에 의한 구현을 위해 적합하다. 일 양태에서, 방법(300)의 데이터 프로세싱 블록은 컴퓨팅 시스템(130) 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행된 사전 프로그램된 알고리즘을 거쳐 수행될 수도 있는 것으로 인식된다. 계측 시스템(100)의 특정 구조적 양태는 한정을 표현하는 것은 아니고, 단지 예시적인 것으로서 해석되어야 한다는 것이 본 명세서에서 인식된다.
블록 301에서, 소정량의 기준 측정 신호가 컴퓨팅 시스템(130)에 의해 수신된다. 기준 측정 신호는 복수의 부수적 모델 파라미터의 공지의 값 및 관심 파라미터의 공지의 값을 갖는 계측 타겟의 제1 세트의 인스턴스의 측정치와 연관된다.
블록 302에서, 소정량의 감소된 기준 측정 신호가 컴퓨팅 시스템(130)에 의해 수신된다. 감소된 기준 측정 신호는 복수의 부수적 모델 파라미터의 공칭값 및 관심 파라미터의 공지의 값을 갖는 계측 타겟의 제2 세트의 인스턴스의 측정치와 연관된다.
블록 303에서, 파라미터 격리 모델은 컴퓨팅 시스템(130)에 의해 트레이닝된다. 파라미터 격리 모델의 트레이닝은 감소된 기준 측정 신호로의 기준 측정 신호를 맵핑하는 것에 기초한다.
일반적으로, 전술된 측정 기술은 프로세스 파라미터, 구조적 파라미터, 레이아웃 파라미터, 분산 파라미터, 또는 이들의 임의의 조합의 측정에 적용될 수도 있다. 비한정적인 예로서, 오버레이, 프로파일 기하학적 파라미터(예를 들어, 임계 치수, 높이, 측벽각), 프로세스 파라미터(예를 들어, 리소그래피 초점, 및 리소그래피 선량), 분산 파라미터, 레이아웃 파라미터(예를 들어, 피치 워크, 에지 배치 에러), 막 두께, 조성 파라미터, 또는 파라미터들의 임의의 조합이 전술된 기술을 사용하여 측정될 수도 있다.
비한정적인 예로서, 트레이닝된 파라미터 격리 모델로 측정된 구조체는 선-공간 격자 구조체, 핀펫(FinFet) 구조체, SRAM 디바이스 구조체, 플래시 메모리 구조체, 및 DRAM 메모리 구조체를 포함한다.
다른 추가의 양태에서, 웨이퍼 상에 위치된 계측 타겟은 바람직하게는 디자인 규칙 타겟이다. 달리 말하면, 계측 타겟은 기초 반도체 제조 프로세스에 적용 가능한 디자인 규칙을 고수한다. 몇몇 예에서, 계측 타겟은 바람직하게는 능동 다이 영역 내에 위치된다. 몇몇 예에서, 계측 타겟은 15 마이크로미터×15 마이크로미터 또는 그 미만의 치수를 갖는다. 이 방식으로, 리소그래픽 불완전부에 의해 유도된 필드내 편차(intra-field variation)의 오버레이에 대한 영향이 분석될 수 있다. 몇몇 다른 예에서, 계측 타겟은 스크라이브 라인(scribe line) 내에, 또는 그렇지 않으면 능동 다이 영역 외에 위치된다.
본 명세서에 설명되어 있는 트레이닝된 파라미터 격리 모델은 원시 측정 데이터, 또는 원시 측정 데이터의 신호 성분을 입력으로서 직접 수신하고, 감소된 신호를 출력으로서 제공한다. 측정 프로세스를 능률화함으로써, 예측 결과는 연산 및 사용자 시간의 감소와 함께 향상된다.
다른 추가의 양태에서, 파라미터 격리 모델을 트레이닝하기 위한 방법 및 시스템은 트레이닝된 파라미터 격리 모델에 도달하도록 요구된 임의의 또는 모든 요소를 자동화하기 위한 최적화 알고리즘을 포함한다.
몇몇 예에서, 최적화 알고리즘은 이하의 파라미터: 모델의 유형 및 선택된 모델의 파라미터 중 임의의 것 또는 모두를 최적화함으로써 파라미터 격리 모델(비용 함수에 의해 규정됨)의 성능을 최대화하도록 구성된다. 최적화 알고리즘은 사용자 정의된 휴리스틱스(heuristics)를 포함할 수 있고, 중첩 최적화(nested optimizations)의 조합(예를 들어, 조합적 및 연속적 최적화)일 수 있다.
몇몇 실시예에서, 다수의 상이한 타겟으로부터의 원시 측정 데이터가 파라미터 격리 모델 구성, 트레이닝, 및 측정을 위해 수집된다. 상이한 구조를 갖지만, 동일한 프로세스 조건에 의해 형성된 다수의 타겟과 연관된 데이터의 사용은 모델 내에 임베드된 정보를 증가시키고, 프로세스 또는 다른 파라미터 편차에 대한 상관을 감소시킨다. 모델 내에 임베드된 부가의 정보는 유사한 방식으로 측정된 신호에 영향을 미칠 수도 있는 다른 파라미터(예를 들어, 막 두께, CD 등)와 연관된 정보로부터 하나의 관심 파라미터와 연관된 정보 콘텐트의 디커플링을 허용한다. 이들 예에서, 하나 이상의 측정 사이트에서 다수의 상이한 타겟의 측정치를 포함하는 트레이닝 데이터의 사용은 더 정확한 신호 격리를 가능하게 한다.
일반적으로, 파라미터 격리 모델 트레이닝 및 측정을 위해 프로세싱된 데이터가 벡터 형태이기 때문에, 임의의 측정 기술, 또는 2개 이상의 측정 기술의 조합이 본 특허 문서의 범주 내에서 고려될 수도 있다. 본 명세서에 설명된 바와 같은 모델 기술은 데이터의 벡터에 작용하기 때문에, 각각의 수집된 신호는 독립적으로 처리된다. 게다가, 데이터가 2차원 데이터, 1차원 데이터, 또는 심지어 단일점 데이터인지 여부에 무관하게, 다수의 상이한 방법론으로부터 데이터를 병합하는(concatenate) 것이 가능하다.
본 명세서에 설명되어 있는 파라미터 격리 모델 기술에 따른 분석을 위해 데이터를 제공할 수도 있는 예시적인 측정 기술은 뮐러 매트릭스 타원계측법(Mueller matrix ellipsometry), 단일 파장, 다중 파장, 및 각도 분해를 포함하는 분광 반사측정법(spectroscopic reflectometry), 분관 산란측정법, 산란측정법 오버레이, 빔 프로파일 반사측정법, 각도-분해 및 편광-분해된 빔 프로파일 타원계측법, 단일 또는 다중 이산 파장 타원계측법, 투과 작은 각 X선 산란계(transmission small angle x-ray scatterometer: TSAXS), 작은 각 X선 산란(small angle x-ray scattering: SAXS), 스침각 작은 각 X선 산란(grazing incidence small angle x-ray scattering: GISAXS), 넓은 각 X선 산란(wide angle x-ray scattering: WAXS), X선 반사율(x-ray reflectivity: XRR), X선 회절(x-ray diffraction: XRD), 스침각 X선 회절(grazing incidence x-ray diffraction: GIXRD), 고분해능 X선 회절(high resolution x-ray diffraction: HRXRD), X선 광전자 분광법(x-ray photoelectron spectroscopy: XPS), 라만 분광법(raman spectroscopy), X선 형광(x-ray fluorescence: XRF), 스침각 X선 형광(grazing incidence x-ray fluorescence: GIXRF), X선 단층촬영법(x-ray tomography), 및 X선 타원계측법을 포함하지만, 이들에 한정되는 것은 아니다. 일반적으로, 이미지 기반 계측 기술, 스페클 기반 산란계(speckle based scatterometers), 주사 전자 현미경(scanning electron microscopy: SEM), 터널링 전자 현미경(tunneling electron microscopy: TEM), 및 원자력 현미경(atomic force microscopy: AFM)을 포함하는 반도체 구조체의 특징화에 적용 가능한 임의의 계측 기술이 개별적으로 또는 임의의 조합으로 고려될 수도 있다.
일반적으로, 다수의 계측 기술에 의해 각각 측정된 다수의 타겟으로부터의 신호는 신호의 조합된 세트 내의 정보 콘텐트를 증가시키고, 프로세스 또는 다른 파라미터 편차에 대한 상관을 감소시킨다.
다른 양태에서, 전술된 바와 같은 파라미터 격리 모델을 트레이닝하고 측정하기 위한 방법 및 시스템은 차등 모드에서 구현된다. 이러한 방안에서, 계측 타겟 측정 및 임의의 연관된 기준 측정이 프로세싱 흐름에서 2개의 별개의 단계에서 수행된다. 각각의 별개의 프로세싱 단계에서 측정된 신호의 차이는 트레이닝 목적을 위한 트레이닝 신호 및 측정 목적을 위한 측정 신호로서 처리된다.
일 예에서, 동일한 위치점이 리소그래피 단계 및 후속의 에칭 단계에서 계측 타겟 측정을 위해 사용된다. 리소그래피 단계와 에칭 단계 사이의 차이 신호는, 구조가 웨이퍼 상의 점들 사이에서 변화하더라도(예를 들어, 프로세스 단계 또는 작은 위치설정 에러에 기인하여) 점 사이트당 기반으로 프로세스 가변성의 모니터링을 허용한다. 이러한 차등 계측 모드는 측정 타겟의 편차가 웨이퍼 상의 상이한 필드 사이에 존재하는 SRAM 디바이스 영역의 계측을 위해 바람직할 수도 있다.
몇몇 예에서, 측정 타겟의 편차는 측정된 구조의 주기성의 결함, 예를 들어 유한 구조 크기 또는 다른 주기적 구조가 계측 시스템의 측정 스폿 내에서 불충분하게 반복되는 상황에 발생한다. 몇몇 예에서, 측정 타겟의 편차는 광학 계측 시스템의 작은 스폿 크기 및 계측 시스템의 측정 위치 배치 에러로부터 발생한다.
몇몇 예에서, 하나 이상의 에칭 단계 전 및 후의 실제 디바이스 파라미터값 사이의 차이가 에칭 프로세스의 폐루프 제어로의 입력으로서 사용될 수도 있다.
일반적으로, 차등 계측은 사용될 수 있는 전역적(웨이퍼), 필드(필드 평균), 또는 로컬(사이트당) 결과가 예를 들어 2개의 프로세스 모니터링 단계(즉, 에칭 및 리소그래피) 사이에 타겟 바이어스를 설정하고, 필드당 보정을 제공하거나, 또는 고차 보정(예를 들어, OVL 또는 EPE 제어)을 제공할 수 있게 한다.
몇몇 예에서, 본 명세서에 설명되어 있는 파라미터 격리 모델 구성, 트레이닝, 및 측정 방법은 미국 캘리포니아주 밀피타스 소재의 KLA-Tencor Corporation으로부터 입수 가능한 SpectraShape® 광학 임계 치수 계측 시스템의 요소로서 구현된다. 이 방식으로, 모델이 생성되고 요구된 기준 원시 측정 데이터가 시스템에 의해 수집된 직후에 사용 준비가 된다.
몇몇 다른 예에서, 본 명세서에 설명되어 있는 파라미터 격리 모델 구성 및 트레이닝 방법은 예를 들어, 미국 캘리포니아주 밀피타스 소재의 KLA-Tencor Corporation으로부터 입수 가능한 AcuShape® 소프트웨어를 구현하는 컴퓨팅 시스템에 의해 오프라인으로 구현된다. 최종적인 트레이닝된 모델은 측정을 수행하는 계측 시스템에 의해 액세스 가능한 AcuShape® 라이브러리의 요소로서 구체화될 수도 있다.
또 다른 양태에서, 본 명세서에 설명된 파라미터 격리 모델을 사용하여 얻어진 측정 결과는 프로세스 도구(예를 들어, 리소그래피 도구, 에칭 도구, 증착 도구 등)에 능동 피드백을 제공하는 데 사용될 수 있다. 예를 들어, 본 명세서에 설명된 방법을 사용하여 결정된 EPE 또는 오버레이 에러의 값은 원하는 출력을 성취하기 위해 리소그래피 시스템을 조정하도록 리소그래피 도구에 전달될 수 있다. 유사한 방식으로, 에칭 파라미터(예를 들어, 에칭 시간, 확산율 등) 또는 증착 파라미터(예를 들어, 시간, 농도 등)가 에칭 도구 또는 증착 도구 각각에 능동 피드백을 제공하도록 측정 모델 내에 포함될 수도 있다. 몇몇 예에서, 측정 디바이스 파라미터값에 기초하여 결정된 파라미터를 프로세싱하기 위한 보정이 리소그래피 도구, 에칭 도구, 또는 증착 도구에 전달될 수도 있다.
일반적으로, 본 명세서에 설명된 시스템 및 방법은 오프라인 또는 온-도구 측정에 대한 파라미터 격리 모델을 준비하는 프로세스의 부분으로서 구현될 수도 있다.
본 명세서에 설명될 때, 용어 "임계 치수"는 구조체의 임의의 임계 치수(예를 들어, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽각, 격자 높이 등), 임의의 2개 이상의 구조체 사이의 임계 치수(예를 들어, 2개의 구조체 사이의 거리), 및 2개 이상의 구조체 사이의 변위(예를 들어, 위에 놓인 격자 구조체 사이의 오버레이 변위 등)를 포함한다. 구조체는 3차원 구조체, 패터닝된 구조체, 오버레이 구조체 등을 포함할 수도 있다.
본 명세서에 설명될 때, 용어 "임계 치수 용례" 또는 "임계 치수 측정 용례"는 임의의 임계 치수 측정을 포함한다.
본 명세서에 설명될 때, 용어 "계측 시스템"은 임계 치수 계측, 오버레이 계측, 초점/선량 계측, 및 조성 계측과 같은 측정 용례를 포함하여, 임의의 양태에서 시편을 특징화하기 위해 적어도 부분적으로 이용되는 임의의 시스템을 포함한다. 그러나, 이러한 용어는 본 명세서에 설명된 바와 같은 용어 "계측 시스템"의 범주를 한정하는 것은 아니다. 게다가, 계측 시스템(100)은 패터닝된 웨이퍼 및/또는 비패터닝된 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은 LED 검사 도구, 에지 검사 도구, 이면 검사 도구, 매크로 검사 도구, 또는 멀티-모드 검사 도구(하나 이상의 플랫폼으로부터 데이터를 동시에 수반함), 및 임계 치수 데이터에 기초하여 시스템 파라미터의 캘리브레이션으로부터 이익을 얻는 임의의 다른 계측 또는 검사 도구로서 구성될 수도 있다.
다양한 실시예가 시편을 가공하기 위해 사용될 수도 있는 반도체 가공 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)을 위해 본 명세서에 설명되어 있다. 용어 "시편"은 웨이퍼, 레티클, 또는 당 기술 분야에 공지된 수단에 의해 가공될(예를 들어, 인쇄되거나 또는 결함이 검사됨) 수도 있는 임의의 다른 샘플을 칭하는 데 사용된다.
본 명세서에 사용될 때, 용어 "웨이퍼"는 일반적으로 반도체 또는 비-반도체 재료로 형성된 기판을 칭한다. 예는 단결정질 실리콘, 갈륨 비소, 및 인듐 포스파이드를 포함하지만, 이들에 한정되는 것은 아니다. 이러한 기판은 반도체 제조 설비에서 통상적으로 발견되고 그리고/또는 가공될 수도 있다. 몇몇 경우에, 웨이퍼는 단지 기판(즉, 베어(bare) 웨이퍼)만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성된 상이한 재료의 하나 이상의 층을 포함할 수도 있다. 웨이퍼 상에 형성된 하나 이상의 층은 "패터닝" 또는 "비패터닝"될 수도 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 특징부를 갖는 복수의 다이를 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 단계에서의 레티클, 또는 반도체 제조 설비에 사용을 위해 릴리즈될(released) 수도 있거나 릴리즈되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클 또는 "마스크"는 일반적으로 그 위에 형성된 실질적으로 불투명 구역을 갖고 패턴으로 구성된 실질적으로 투명한 기판으로서 정의된다. 기판은 예를 들어, 비정질 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은 레티클 상의 패턴이 레지스트에 전사될 수도 있도록 리소그래피 프로세스의 노광 단계 중에 레지스터 커버된 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에 형성된 하나 이상의 층은 패터닝 또는 비패터닝될 수도 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 특징부를 각각 갖는 복수의 다이를 포함할 수도 있다. 이러한 재료의 층의 형성 및 가공은 궁극적으로 완성된 디바이스를 야기할 수도 있다. 다수의 상이한 유형의 디바이스가 웨이퍼 상에 형성될 수도 있고, 용어 웨이퍼는 본 명세서에 사용될 때 당 기술 분야에 공지된 임의의 유형의 디바이스가 그 위에서 제조되고 있는 웨이퍼를 포함하도록 의도된다.
하나 이상의 예시적인 실시예에서, 설명된 기능은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어에서 구현되면, 기능은 컴퓨터 판독가능 매체 상의 하나 이상의 명령 또는 코드 상에 저장되거나 이 명령 또는 코드를 거쳐 전송될 수도 있다. 컴퓨터 판독가능 매체는 하나의 장소로부터 다른 장소로 컴퓨터 프로그램의 전송을 용이하게 하는 임의의 매체를 포함하는 통신 매체 및 컴퓨터 저장 매체의 모두를 포함한다. 저장 매체는 범용 또는 특수 용도 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수도 있다. 예로서, 비한정적으로, 이러한 컴퓨터 판독가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장 장치, 자기 디스크 저장 장치 또는 다른 자기 저장 디바이스, 또는 명령 또는 데이터 구조의 형태로 원하는 프로그램 코드 수단을 전달하거나 저장하는 데 사용될 수 있고 범용 또는 특수 용도 컴퓨터, 또는 범용 또는 특수 용도 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속은 컴퓨터 판독가능 매체라 적절하게 명명된다. 예를 들어, 소프트웨어가 동축 케이블, 광파이버 케이블, 연선(twisted pair), 디지털 가입자 라인(digital subscriber line: DSL), 또는 적외선, 라디오, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 전송되면, 동축 케이블, 광파이버 케이블, 연선, DSL, 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술이 매체의 정의에 포함된다. 원반(disk) 및 디스크(disc)는 본 명세서에 사용될 때, 콤팩트 디스크(compact disc: CD), 레이저 디스크, 광학 디스크, 디지털 다기능 디스크(digital versatile disc: DVD), 플로피 디스크 및 블루레이 디스크를 포함하고, 원반은 일반적으로 데이터를 자기식으로 재현하고, 반면에 디스크는 데이터를 레이저로 광학식으로 재현한다. 상기의 조합이 또한 컴퓨터 판독가능 매체의 범주 내에 포함되어야 한다.
특정의 특유한 실시예가 설명의 목적으로 전술되었지만, 본 특허 문서의 교시는 일반적인 적용 가능성을 갖고, 전술된 특정 실시예에 한정되는 것은 아니다. 이에 따라, 설명된 실시예의 다양한 특징의 다양한 수정, 개조, 및 조합이 청구범위에 설명된 바와 같은 본 발명의 범주로부터 벗어나지 않고 실시될 수도 있다.
100: 시스템 101: 분광 타원계측기
102: 조명기 104: 분광계
106: 조명빔 108: 집광빔
109: 편광 상태 분석기 111: 스펙트럼
112: 웨이퍼 114: 구조체
130: 컴퓨팅 시스템 132: 메모리
151: 기준 변환 모듈 152: 감소된 기준 변환 모듈
154: 파라미터 격리 모듈 155: 파라미터 솔버 모듈

Claims (20)

  1. 측정 시스템에 있어서,
    웨이퍼 상의 계측 타겟의 측정을 수행하고 상기 측정에 응답하여 소정량의 원시 측정 신호를 발생하도록 구성된 계측 시스템; 및
    컴퓨팅 시스템을 포함하고,
    상기 컴퓨팅 시스템은,
    계측 시스템에 의한 상기 계측 타겟의 측정치와 연관된 상기 소정량의 원시 측정 신호를 수신하고;
    상기 소정량의 원시 측정 신호에 트레이닝된 파라미터 격리 모델을 적용함으로써 원시 측정 신호의 감소된 세트를 결정하며 - 상기 트레이닝된 파라미터 격리 모델은 복수의 부수적(incidental) 모델 파라미터의 공지의 값 및 관심 파라미터의 공지의 값을 갖는 상기 계측 타겟의 제1 세트의 인스턴스 및 상기 복수의 부수적 모델 파라미터의 공칭값 및 상기 관심 파라미터의 공지의 값을 갖는 상기 계측 타겟의 제2 세트의 인스턴스의 측정치에 기초하여 트레이닝됨 -;
    상기 원시 측정 신호의 감소된 세트 및 측정 모델에 기초하여 상기 계측 타겟의 관심 파라미터의 값을 추정하도록 - 상기 측정 모델의 복수의 부수적 모델 파라미터는 상기 공칭값으로 고정됨 -
    구성된 것인, 측정 시스템.
  2. 제1항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    상기 복수의 부수적 모델 파라미터의 공지의 값 및 상기 관심 파라미터의 공지의 값을 갖는 상기 계측 타겟의 제1 세트의 인스턴스의 측정치와 연관된 소정량의 기준 측정 신호를 수신하고;
    상기 복수의 부수적 모델 파라미터의 공칭값 및 상기 관심 파라미터의 공지의 값을 갖는 상기 계측 타겟의 제2 세트의 인스턴스의 측정치와 연관된 소정량의 감소된 기준 측정 신호를 수신하며;
    상기 파라미터 격리 모델을 트레이닝하도록 - 상기 파라미터 격리 모델의 트레이닝은 상기 감소된 기준 측정 신호에 상기 기준 측정 신호를 맵핑하는 것에 기초함 -
    구성된 것인, 측정 시스템.
  3. 제2항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    상기 기준 측정 신호를 기준 신호 성분의 세트로 변환하고 - 상기 기준 측정 신호의 변환은 입력 신호 변환 모델을 수반함 -;
    상기 감소된 기준 측정 신호를 감소된 기준 신호 성분의 세트로 변환하고 - 상기 감소된 기준 측정 신호의 변환은 기준 신호 변환 모델을 수반함 -;
    역변환 모델을 결정하고 - 상기 역변환 모델은 감소된 기준 신호 성분을 감소된 기준 측정 신호로 변환함 -;
    소정량의 원시 측정 신호를 제1 세트의 신호 성분으로 변환하며 - 상기 소정량의 원시 측정 신호의 변환은 상기 입력 신호 변환 모델을 수반하고, 제2 세트의 신호 성분은 상기 트레이닝된 파라미터 격리 모델을 상기 제1 세트의 신호 성분에 적용함으로써 결정됨 -;
    상기 역변환 모델을 상기 제2 세트의 신호 성분에 적용함으로써 상기 제2 세트의 신호 성분을 상기 원시 측정 신호의 감소된 세트로 변환하도록
    구성된 것인, 측정 시스템.
  4. 제2항에 있어서,
    상기 컴퓨팅 시스템은 또한, 상기 측정 모델의 시뮬레이션에 의해 상기 기준 측정 신호 및 상기 감소된 기준 측정 신호를 발생하도록 구성된 것인, 측정 시스템.
  5. 제3항에 있어서,
    상기 입력 신호 변환 모델, 상기 역변환 모델, 및 상기 기준 신호 변환 모델은 주성분 분석에 기초하여 결정되는 것인, 측정 시스템.
  6. 제3항에 있어서,
    상기 입력 신호 변환 모델, 상기 역변환 모델, 및 상기 기준 신호 변환 모델은, 상기 기준 측정 신호 및 상기 감소된 기준 측정 신호 상에 트레이닝된 모델 기반 변환인 것인, 측정 시스템.
  7. 제1항에 있어서,
    상기 파라미터 격리 모델은 선형 모델, 비선형 모델, 신경 네트워크 모델, 다항식 모델, 응답 표면 모델, 및 지지 벡터 기계 모델 중 임의의 것인, 측정 시스템.
  8. 제1항에 있어서,
    상기 관심 파라미터의 값의 추정은 모델 기반 회귀, 모델 기반 라이브러리 검색, 모델 기반 라이브러리 회귀, 이미지 기반 분석, 및 신호 응답 계측 모델 중 임의의 것을 수반하는 것인, 측정 시스템.
  9. 제1항에 있어서,
    상기 관심 파라미터는 리소그래피 초점 파라미터, 리소그래피 선량 파라미터, 임계 치수 파라미터, 오버레이 파라미터, 막 두께 파라미터, 및 재료 조성 파라미터 중 임의의 것인, 측정 시스템.
  10. 방법에 있어서,
    측정 시스템에 의한 계측 타겟의 측정치와 연관된 소정량의 원시 측정 신호를 수신하는 단계;
    상기 소정량의 원시 측정 신호에 트레이닝된 파라미터 격리 모델을 적용함으로써 원시 측정 신호의 감소된 세트를 결정하는 단계 - 상기 트레이닝된 파라미터 격리 모델은 복수의 부수적 모델 파라미터의 공지의 값 및 관심 파라미터의 공지의 값을 갖는 상기 계측 타겟의 제1 세트의 인스턴스 및 상기 복수의 부수적 모델 파라미터의 공칭값 및 상기 관심 파라미터의 공지의 값을 갖는 상기 계측 타겟의 제2 세트의 인스턴스의 측정치에 기초하여 트레이닝됨 -; 및
    상기 원시 측정 신호의 감소된 세트 및 측정 모델에 기초하여 상기 계측 타겟의 관심 파라미터의 값을 추정하는 단계 - 상기 측정 모델의 복수의 부수적 모델 파라미터는 상기 공칭값으로 고정됨 -
    를 포함하는, 방법.
  11. 제10항에 있어서,
    상기 복수의 부수적 모델 파라미터의 공지의 값 및 상기 관심 파라미터의 공지의 값을 갖는 상기 계측 타겟의 제1 세트의 인스턴스의 측정치와 연관된 소정량의 기준 측정 신호를 수신하는 단계;
    상기 복수의 부수적 모델 파라미터의 공칭값 및 상기 관심 파라미터의 공지의 값을 갖는 상기 계측 타겟의 제2 세트의 인스턴스의 측정치와 연관된 소정량의 감소된 기준 측정 신호를 수신하는 단계; 및
    상기 파라미터 격리 모델을 트레이닝하는 단계 - 상기 파라미터 격리 모델의 트레이닝은 상기 감소된 기준 측정 신호에 상기 기준 측정 신호를 맵핑하는 것에 기초함 -
    를 더 포함하는, 방법.
  12. 제11항에 있어서,
    상기 기준 측정 신호를 기준 신호 성분의 세트로 변환하는 단계 - 상기 기준 측정 신호의 변환은 입력 신호 변환 모델을 수반함 -;
    상기 감소된 기준 측정 신호를 감소된 기준 신호 성분의 세트로 변환하는 단계 - 상기 감소된 기준 측정 신호의 변환은 기준 신호 변환 모델을 수반함 -;
    역변환 모델을 결정하는 단계 - 상기 역변환 모델은 감소된 기준 신호 성분을 감소된 기준 측정 신호로 변환함 -;
    소정량의 원시 측정 신호를 제1 세트의 신호 성분으로 변환하는 단계 - 상기 소정량의 원시 측정 신호의 변환은 상기 입력 신호 변환 모델을 수반하고, 제2 세트의 신호 성분은 상기 트레이닝된 파라미터 격리 모델을 상기 제1 세트의 신호 성분에 적용함으로써 결정됨 -; 및
    상기 역변환 모델을 상기 제2 세트의 신호 성분에 적용함으로써 상기 제2 세트의 신호 성분을 상기 원시 측정 신호의 감소된 세트로 변환하는 단계
    를 더 포함하는, 방법.
  13. 제11항에 있어서,
    상기 측정 모델의 시뮬레이션에 의해 상기 기준 측정 신호 및 상기 감소된 기준 측정 신호를 발생하는 단계를 더 포함하는, 방법.
  14. 제12항에 있어서,
    상기 입력 신호 변환 모델, 상기 역변환 모델, 및 상기 기준 신호 변환 모델은 선형 변환인 것인, 방법.
  15. 제12항에 있어서,
    상기 입력 신호 변환 모델, 상기 역변환 모델, 및 상기 기준 신호 변환 모델은, 상기 기준 측정 신호 및 상기 감소된 기준 측정 신호 상에 트레이닝된 모델 기반 변환인 것인, 방법.
  16. 제10항에 있어서,
    상기 파라미터 격리 모델은 선형 모델, 비선형 모델, 신경 네트워크 모델, 다항식 모델, 응답 표면 모델, 및 지지 벡터 기계 모델 중 임의의 것인, 방법.
  17. 제10항에 있어서,
    상기 관심 파라미터의 값의 추정은 모델 기반 회귀, 모델 기반 라이브러리 검색, 모델 기반 라이브러리 회귀, 이미지 기반 분석, 및 신호 응답 계측 모델 중 임의의 것을 수반하는 것인, 방법.
  18. 제10항에 있어서,
    상기 관심 파라미터는 리소그래피 초점 파라미터, 리소그래피 선량 파라미터, 임계 치수 파라미터, 오버레이 파라미터, 막 두께 파라미터, 및 재료 조성 파라미터 중 임의의 것인, 방법.
  19. 방법에 있어서,
    복수의 부수적 모델 파라미터의 공지의 값 및 관심 파라미터의 공지의 값을 갖는 계측 타겟의 제1 세트의 인스턴스의 측정치와 연관된 소정량의 기준 측정 신호를 수신하는 단계 - 상기 계측 타겟의 제1 세트의 인스턴스의 측정치는 측정 모델에 의해 시뮬레이팅됨 -;
    상기 복수의 부수적 모델 파라미터의 공칭값 및 상기 관심 파라미터의 공지의 값을 갖는 상기 계측 타겟의 제2 세트의 인스턴스의 측정치와 연관된 소정량의 감소된 기준 측정 신호를 수신하는 단계 - 상기 계측 타겟의 제2 세트의 인스턴스의 측정치는 상기 측정 모델에 의해 시뮬레이팅됨 -; 및
    파라미터 격리 모델을 트레이닝하는 단계 - 상기 파라미터 격리 모델의 트레이닝은 상기 감소된 기준 측정 신호에 상기 기준 측정 신호를 맵핑하는 것에 기초함 -
    를 포함하는, 방법.
  20. 제19항에 있어서,
    측정 시스템에 의한 상기 계측 타겟의 인스턴스의 실제 측정치와 연관된 소정량의 원시 측정 신호를 수신하는 단계;
    상기 소정량의 원시 측정 신호에 상기 트레이닝된 파라미터 격리 모델을 적용함으로써 원시 측정 신호의 감소된 세트를 결정하는 단계; 및
    상기 원시 측정 신호의 감소된 세트 및 상기 측정 모델에 기초하여 상기 계측 타겟의 관심 파라미터의 값을 추정하는 단계 - 상기 측정 모델의 복수의 부수적 모델 파라미터는 상기 공칭값으로 고정됨 -
    를 더 포함하는, 방법.
KR1020177030498A 2015-03-24 2016-03-23 모델 기반 단일 파라미터 측정 KR102468971B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562137225P 2015-03-24 2015-03-24
US62/137,225 2015-03-24
US15/076,530 2016-03-21
US15/076,530 US10502549B2 (en) 2015-03-24 2016-03-21 Model-based single parameter measurement
PCT/US2016/023832 WO2016154352A1 (en) 2015-03-24 2016-03-23 Model-based single parameter measurement

Publications (2)

Publication Number Publication Date
KR20170129923A KR20170129923A (ko) 2017-11-27
KR102468971B1 true KR102468971B1 (ko) 2022-11-18

Family

ID=56975075

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177030498A KR102468971B1 (ko) 2015-03-24 2016-03-23 모델 기반 단일 파라미터 측정

Country Status (6)

Country Link
US (1) US10502549B2 (ko)
KR (1) KR102468971B1 (ko)
CN (1) CN107408519B (ko)
IL (1) IL254330B (ko)
TW (1) TWI703653B (ko)
WO (1) WO2016154352A1 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10295342B2 (en) * 2015-08-14 2019-05-21 Kla-Tencor Corporation System, method and computer program product for calibration of metrology tools
TWI823344B (zh) * 2015-12-15 2023-11-21 以色列商諾威股份有限公司 用於測量圖案化結構之特性的系統
US10139358B2 (en) * 2016-01-11 2018-11-27 International Business Machines Corporation Method for characterization of a layered structure
US10503850B2 (en) * 2016-11-22 2019-12-10 Tokyo Electron Limited Generation of a map of a substrate using iterative calculations of non-measured attribute data
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US11378451B2 (en) 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
JP6959831B2 (ja) * 2017-08-31 2021-11-05 株式会社日立製作所 計算機、処理の制御パラメータの決定方法、代用試料、計測システム、及び計測方法
CN111149063B (zh) 2017-09-27 2022-04-22 Asml荷兰有限公司 确定器件制造工艺的控制参数的方法
US11380594B2 (en) * 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
US10895541B2 (en) * 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US11062928B2 (en) 2019-10-07 2021-07-13 Kla Corporation Process optimization using design of experiments and response surface models
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US11300445B2 (en) 2019-03-05 2022-04-12 Gaston Daniel Baudat System and method of wavefront sensing with engineered images
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
CN112880597B (zh) * 2019-12-26 2022-12-27 南京力安半导体有限公司 晶圆平整度的测量方法
US11530913B2 (en) * 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20220307990A1 (en) * 2021-03-24 2022-09-29 Kla Corporation Imaging reflectometry for inline screening
US20220392114A1 (en) * 2021-06-08 2022-12-08 Tencent America LLC Method and apparatus for calculating distance based weighted average for point cloud coding
US20230016619A1 (en) * 2021-07-15 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for ion implantation uniformity control
EP4194952A1 (en) * 2021-12-13 2023-06-14 ASML Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
KR20240054287A (ko) * 2021-08-26 2024-04-25 에이에스엠엘 네델란즈 비.브이. 측정 레시피 결정 방법 및 관련된 장치
EP4191338A1 (en) * 2021-12-03 2023-06-07 ASML Netherlands B.V. Metrology calibration method
US20240185058A1 (en) * 2022-12-05 2024-06-06 Applied Materials, Inc. Semiconductor film thickness prediction using machine-learning

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4824299B2 (ja) 2003-12-12 2011-11-30 ティンバー テクノロジーズ,インコーポレイティド 集積回路構造のプロファイルを決定する方法及びシステム又はコンピュータ読取可能な記録媒体
JP5097335B2 (ja) 2002-05-22 2012-12-12 アプライド マテリアルズ インコーポレイテッド プロセス変動のモニタシステムおよび方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6806951B2 (en) 2000-09-20 2004-10-19 Kla-Tencor Technologies Corp. Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
US7115858B1 (en) * 2000-09-25 2006-10-03 Nanometrics Incorporated Apparatus and method for the measurement of diffracting structures
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7523076B2 (en) 2004-03-01 2009-04-21 Tokyo Electron Limited Selecting a profile model for use in optical metrology using a machine learning system
US7280229B2 (en) * 2004-12-03 2007-10-09 Timbre Technologies, Inc. Examining a structure formed on a semiconductor wafer using machine learning systems
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7522293B2 (en) 2006-03-30 2009-04-21 Tokyo Electron Limited Optical metrology of multiple patterned layers
CN101359611B (zh) * 2007-07-30 2011-11-09 东京毅力科创株式会社 对光学计量系统的选定变量进行优化
NL1036018A1 (nl) 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
NL2008168A (en) * 2011-02-25 2012-08-28 Asml Netherlands Bv Method of calculating model parameters of a substrate, a lithographic apparatus and an apparatus for controlling lithographic processing by a lithographic apparatus.
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US8838422B2 (en) * 2011-12-11 2014-09-16 Tokyo Electron Limited Process control using ray tracing-based libraries and machine learning systems
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US10354929B2 (en) * 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) * 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9255877B2 (en) * 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
US9177873B2 (en) * 2013-07-29 2015-11-03 GlobalFoundries, Inc. Systems and methods for fabricating semiconductor device structures
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9412673B2 (en) 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
US9323244B2 (en) * 2013-09-18 2016-04-26 Taiwan Semiconductor Manufacturing Company Limited Semiconductor fabrication component retuning

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5097335B2 (ja) 2002-05-22 2012-12-12 アプライド マテリアルズ インコーポレイテッド プロセス変動のモニタシステムおよび方法
JP4824299B2 (ja) 2003-12-12 2011-11-30 ティンバー テクノロジーズ,インコーポレイティド 集積回路構造のプロファイルを決定する方法及びシステム又はコンピュータ読取可能な記録媒体

Also Published As

Publication number Publication date
WO2016154352A1 (en) 2016-09-29
TWI703653B (zh) 2020-09-01
TW201703166A (zh) 2017-01-16
KR20170129923A (ko) 2017-11-27
IL254330A0 (en) 2017-11-30
US10502549B2 (en) 2019-12-10
CN107408519A (zh) 2017-11-28
CN107408519B (zh) 2021-06-08
IL254330B (en) 2021-08-31
US20160282105A1 (en) 2016-09-29

Similar Documents

Publication Publication Date Title
KR102468971B1 (ko) 모델 기반 단일 파라미터 측정
US9875946B2 (en) On-device metrology
KR102415145B1 (ko) 다중 패턴화 파라미터의 측정
KR102035376B1 (ko) 통계적 모델 기반 계측
US10030965B2 (en) Model-based hot spot monitoring
KR102013483B1 (ko) 파라미터 추적을 위한 계측 시스템 최적화
KR102196370B1 (ko) 프록시 구조의 측정에 기초한 신호 응답 계측
US10769320B2 (en) Integrated use of model-based metrology and a process model
KR102245698B1 (ko) 다중 패턴화 프로세스의 계측
KR20150018535A (ko) 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화
KR102644768B1 (ko) 확률 도메인 지식에 기초하는 측정 레시피 최적화 및 물리적 실현
US10365225B1 (en) Multi-location metrology
US20230092729A1 (en) Semiconductor Profile Measurement Based On A Scanning Conditional Model
US11313809B1 (en) Process control metrology

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant