KR102245698B1 - 다중 패턴화 프로세스의 계측 - Google Patents

다중 패턴화 프로세스의 계측 Download PDF

Info

Publication number
KR102245698B1
KR102245698B1 KR1020177013024A KR20177013024A KR102245698B1 KR 102245698 B1 KR102245698 B1 KR 102245698B1 KR 1020177013024 A KR1020177013024 A KR 1020177013024A KR 20177013024 A KR20177013024 A KR 20177013024A KR 102245698 B1 KR102245698 B1 KR 102245698B1
Authority
KR
South Korea
Prior art keywords
measurement
parameter
interest
measurement data
model
Prior art date
Application number
KR1020177013024A
Other languages
English (en)
Other versions
KR20170067885A (ko
Inventor
스틸리안 판데브
드미트리 산코
알렉산더 쿠즈네초프
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20170067885A publication Critical patent/KR20170067885A/ko
Application granted granted Critical
Publication of KR102245698B1 publication Critical patent/KR102245698B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

다중 패턴화 프로세스의 성과를 평가하기 위한 방법 및 시스템이 제시된다. 패턴화된 구조체가 측정되고 다중 패턴화 프로세스에 의해 야기되는 기하학적 에러를 특성 묘사하는 하나 이상의 파라미터 값이 결정된다. 몇몇 예에서, 주된(primary) 다중 패턴화된 타겟이 측정되고 주목하는 파라미터의 값이 신호 응답 계측(SRM) 측정 모델에 의해 측정된 데이터로부터 직접적으로 결정된다. 몇몇 다른 예에서, 주된 다중 패턴화된 타겟 및 보조 타겟이 측정되고 주목하는 파라미터의 값이 신호 응답 계측(SRM) 측정 모델에 의해 측정된 데이터로부터 직접적으로 결정된다. 몇몇 다른 예에서, 주된 다중 패턴화된 타겟이 상이한 프로세스 단계에서 측정되고 주목하는 파라미터의 값이 신호 응답 계측(SRM) 측정 모델에 의해 측정된 데이터로부터 직접적으로 결정된다.

Description

다중 패턴화 프로세스의 계측{METROLOGY OF MULTIPLE PATTERNING PROCESSES}
본 특허 출원은 2014년 10월 16일자로 출원된 발명의 명칭이 "Metrology of Multi-Patterning Processes"인 미국 특허 가출원 제62/064,973호로부터의 35 U.S.C §119 하에서의 우선권을 주장하는데, 상기 특허 가출원의 주제는 참조에 의해 그 전체가 본원에 통합된다.
설명되는 실시형태는 계측(metrology) 시스템 및 방법에 관한 것으로, 특히 다중 패턴화 프로세스(multiple patterning process)에 의해 생성되는 구조체의 치수를 특성 묘사하는(characterizing) 파라미터의 향상된 측정을 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 시료(specimen)에 대해 적용되는 일련의 프로세싱 단계에 의해 통상적으로 제조된다. 반도체 디바이스의 다양한 피쳐(feature) 및 다수의 구조적 레벨(structural level)은 이들 프로세싱 단계에 의해 형성된다. 예를 들면, 다른 것들 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적 기계적 연마, 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 그러나 이들로 한정되지는 않는다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 제조되고, 그 후 개개의 반도체 디바이스로 분리된다.
요즘은, 주어진 리소그래피 시스템에 대해 반도체 웨이퍼 상으로 프린트되는 피쳐의 해상도를 증가시키기 위해 다중 패턴화 기술(multiple patterning technique)이 일반적으로 활용된다. 도 1a 내지 도 1d는, 리소그래피-에칭-리소그래피-에칭(litho-etch-litho-etch; LELE) 프로세스로 일반적으로 칭해지는 이중 패턴화 리소그래피(double patterning lithography; DPL) 기술을 묘사한다. 도 1a는 실리콘 베이스층(10), 실리콘 이산화물과 같은 계면층, 디바이스층(12), 하드 마스크층(13), 희생층(sacrificial layer; 14), 및 리소그래피 패턴화 단계로부터 유래하는 패턴화된 레지스트층(15)을 묘사한다. 그 다음, 도 1a에서 묘사되는 구조체는 노광 및 에칭 단계를 받게 되고, 그 결과 도 1b에서 예시되는 구조체로 된다. 이 구조체에서, 레지스트층(15)의 패턴은 하드 마스크층(13)으로 유효하게 전사되었다. 희생층(14) 및 패턴화된 레지스트층(15) 둘 다는 제거되었다. 도 1c에서 예시되는 구조체에 도달하기 위해 다수의 퇴적 및 리소그래피 단계가 활용된다. 도 1c는 하드 마스크층(13)의 상부에 만들어진 다른 희생층(16) 및 패턴화된 레지스트층(17)을 예시한다. 패턴화된 레지스트층(17)은 제1 패턴화된 레지스트층(15)과 동일한 피치를 갖는, 그리고 또한 하드 마스크층(13) 안으로 에칭되는 패턴과 동일한 피치를 갖는 패턴을 포함한다. 그러나, 패턴화된 레지스트층(17)은, 하드 마스크층(13)의 패턴으로부터, 패턴화된 레지스트층(17)의 피치의 절반만큼 오프셋된다. 그 다음, 도 1c에서 묘사되는 구조체는 노광 및 에칭 단계를 받게 되고, 그 결과 도 1d에서 예시되는 구조체로 된다. 이 구조체에서, 레지스트층(17)의 패턴은 하드 마스크층(13)으로 유효하게 전사되었다. 희생층(16) 및 패턴화된 레지스트층(17) 둘 다는 제거되었다. 도 1d는, 리소그래피 시스템의 마스크에 의해 생성되는 패턴화된 레지스트층(15 및 17)의 피치의 두 배인, 하드 마스크(13) 안으로 에칭되는 패턴을 예시한다.
도 1d는 또한 최적화되지 않은 DPL 프로세스의 효과를 묘사한다. 이상적으로는, 이중 패턴화된 구조체의 공칭 피치는 상수 값, P이어야 한다. 그러나, DPL 프로세스에서의 불완전성으로 인해, 결과적으로 나타나는 구조체의 피치는 위치에 따라 격자 불균일성으로 인해 변할 수도 있다. 이것은 일반적으로 "피치 워크(pitch walk)"로 칭해진다. 공칭 피치 P로부터의 변동은 도 1d에서 ΔP로서 묘사된다. 다른 예에서, 각각의 결과적으로 나타나는 구조체의 임계 치수는 동일한 공칭 값인 CD이어야 한다. 그러나, DPL 프로세서에서의 불완전성으로 인해, 결과적으로 나타나는 구조체의 임계 치수(예를 들면, 중간 임계 치수, 저부(bottom) 임계 치수, 등등)는 위치에 따라 변할 수도 있다. 임계 치수 CD로부터의 변동은 도 1d에서 ΔCD로서 묘사된다.
피치 워크 및 ΔCD는, 두 리소그래피 층 사이의 오정렬, 리소그래피 프로세스의 초점 및 노광에서의 불균일성, 마스크 패턴 에러, 등등과 같은 DPL 프로세스에서의 불완전성에 의해 야기되는 예시적인 기하학적 에러이다. 피치 워크 및 ΔCD 둘 다는 예측된 것보다 더 큰 단위 셀을 도입한다. 피치 워크 및 ΔCD가 특히 설명되지만, 다른 다중 패턴화 에러가 고려될 수도 있다.
LELE 프로세스가 도 1a 내지 도 1d를 참조로 설명되지만, 유사한 에러를 야기하는 많은 다른 다중 패턴화 프로세스(예를 들면, 리소그래피-리소그래피-에칭(litho-litho-etch), 스페이서 정의 이중 패턴화(spacer defined double patterning), 등등)가 고려될 수도 있다. 마찬가지로, 이중 패턴화 프로세스가 도 1a 내지 도 1d를 참조로 설명되지만, 4중 패턴화(quadruple patterning)와 같은 더 높은 차수의 패턴화 프로세스에서 유사한 에러가 발생한다. 통상적으로, 피치 워크 및 ΔCD와 같은 에러는, 더 높은 차수의 패턴화 프로세스로부터 유래하는 구조체에서 더 현저하게 된다.
계측 프로세스는, 웨이퍼 상에서 결함을 검출하여 수율을 높이기 위해, 반도체 제조 프로세스 동안 다양한 단계에서 사용된다. 광학적 계측 기술은 샘플 파괴의 위험 없이 높은 스루풋의 측정에 대한 잠재성을 제공한다. 나노 스케일 구조체의 임계 치수, 막 두께, 조성 및 다른 파라미터를 특성 묘사하기 위해, 산란측정법 구현(scatterometry implementation)과 반사측정법 구현(reflectometry implementation) 및 관련 분석 알고리즘을 포함하는 다수의 광학적 계측 기반 기술이 일반적으로 사용된다.
물리적인 모델 기반의 측정을 활용하는 광학 계측 기술은, 통상적으로, 패턴화된 구조체의 파라미터화된 기하학적 모델을 필요로 한다. 예시적인 파라미터는, 임계 치수, 피치 워크, 또는 다른 주목하는 파라미터(parameters of interest)를 포함한다. 게다가, 측정 동안 생성되는 신호를 시뮬레이팅하기 위해서는, 광학 시스템과 피측정 구조체 사이의 상호 작용의 정확한 전자기적 모델이 필요로 된다. 모델링된 구조체의 파라미터를 결정하기 위해, 측정된 신호에 대한 시뮬레이팅된 신호의 비선형적인 회귀가 적용된다. 이 접근 방식(approach)은 구조 및 재료 특성의 정확한 모델링을 필요로 한다. 이러한 모델은, 측정 결과를 수집하고, 측정 결과를 계산하고, 그리고 측정 결과에 도달하기 위해, 시간의 긴 기간을 필요로 한다. 종종, 측정 프로세스는, 임계 파라미터에 대한 약한 감도의 문제를 겪게 되고, 몇몇 경우에, 물리적 모델 기반의 측정 기술은 낮은 감도 및 불량한 정밀도로 나타나게 된다. 이들 임계 파라미터에 대한 측정된 광학 신호의 감도의 결여(lack)는, 패턴화 프로세스를 모니터링하고 제어하는 것을 극히 어렵게 만든다.
CD-SEM 측정 기술은, 패턴화된 구조체의 표면을 스캔하기 위해 전자의 집속된 빔을 활용한다. 주목하는 파라미터는, CD-SEM 측정에 의해 생성되는 이미지에 기초하여 계산된다. 비록 CD-SEM이 높은 해상도 측정에 대응할 수 있지만, 그 기술은, 낮은 스루풋 및 불량한 정밀도의 문제를 겪는다. 이들 제한은, CD-SEM이, 다중 패턴화된 구조체를 특성 묘사하는 높은 스루풋의 계측 툴로서 활용되는 것을 막는다.
다중 패턴화 프로세스에 의해 생성되는 구조체의 측정을 수반하는 계측 애플리케이션은, 점점 더 작아지는 해상도 요건, 다중 파라미터 상관, 점점 더 복잡해지는 기하학적 구조체, 및 불투명 재료의 점점 증가하는 사용으로 인해 도전과제를 제시한다. 따라서, 향상된 측정을 위한 방법 및 시스템이 소망된다.
다중 패턴화 프로세스의 성과를 평가하기 위한 방법 및 시스템이 제시된다. 보다 구체적으로는, 다중 패턴화 프로세스에 의해 생성되는 기하학적 구조체가 측정되고, 본원에서 설명되는 방법 및 시스템에 따라, 다중 패턴화 프로세스에 의해 야기되는 기하학적 에러를 특성 묘사하는 하나 이상의 파라미터 값이 결정된다.
하나의 양태에서, 다중 패턴화 프로세스에 의해 형성되는 구조체의 측정은, 신호 응답 계측(signal response metrology; SRM) 기술에 기초하여 수행된다. SRM 측정 모델은, 타겟 구조체의 측정과 관련되는 광학 측정 데이터(예를 들면, 광 스펙트럼 데이터)에 기초하여 형식화되고 트레이닝된다. 이들 타겟 구조체와 관련되는 주목하는 파라미터는, 기준 계측 시스템(reference metrology system)에 의해 결정되는 공지의 값을 갖는다. 트레이닝된 SRM 측정 모델은, 광학 측정 툴에 의해 수집되는 광학 측정 데이터를, 패턴화된 구조체의 파라미터의 값에 직접적으로 관련시키는 전달 함수(transfer function)를 제공한다. 이 방식에서, 트레이닝된 SRM 측정 모델은, 다중 패턴화 프로세스에 의해 형성되는 구조체의 인라인 광학 계측(inline optical metrology)을 수행할 수 있다.
다른 양태에서, SRM 측정 모델은, 다중 패턴화된 계측 타겟을 포함하는 측정 사이트(site)로부터 수집되는 원시 측정 데이터에만 기초하여 생성된다. 하나 이상의 다중 패턴화된 타겟의 DOE 스펙트럼과 주목하는 파라미터의 대응하는 기준 측정을 관련시키는 다이렉트 입출력 모델(direct input-output model)(즉, 전달 함수)을 구축하기 위해, 머신 러닝, 피쳐 추출, 및 다른 기술이 활용된다. 몇몇 실시형태에서, 다중 패턴화된 계측 타겟의 트레이닝 세트는, 명목상 동일한 타겟을 포함한다, 즉, 타겟은 프로세스 변동 때문에 서로 변한다. 몇몇 실시형태에서, 주목하는 파라미터에 영향을 끼치는 프로세스 변동은, 모델 트레이닝의 목적을 위해 의도적으로 증폭된다.
다른 추가 양태에서, SRM 측정 모델은, 다중 타겟 세트로 통합되는 다수의 타겟으로부터의 측정 신호에 대해 트레이닝된다. 이 접근 방식은, 임계 파라미터를 서로로부터 그리고 다른 프로세스 변동으로부터 상관 해제한다.
또 다른 추가 양태에서, SRM 측정 모델은, 다중 패턴화 프로세스의 다수의 단계에서 계측 타겟의 측정치에 기초하여 트레이닝되고 사용된다. 하나 이상의 이전 프로세스 단계로부터의 측정된 스펙트럼은, 주 타겟과 관련되는 SRM 측정 모델의 트레이닝 및 사용을 위해 순방향으로(forward)으로 공급된다. 이 접근 방식은, 임계 파라미터를 서로로부터 그리고 다른 프로세스 변동으로부터 또한 상관 해제한다.
또 다른 양태에서, 본원에서 설명되는 측정 모델 결과는 프로세스 툴(예를 들면, 리소그래피 툴, 에칭 툴, 퇴적 툴, 등등)로 액티브 피드백(active feedback)을 제공하기 위해 사용될 수 있다. 예를 들면, 본원에서 설명되는 방법을 사용하여 결정되는 구조 파라미터의 값은, 소망의 출력을 달성하도록 리소그래피 시스템을 조정하기 위해, 리소그래피 툴로 전달될 수 있다. 유사한 방식으로, 에칭 툴 또는 퇴적 툴로 액티브 피드백을 제공하기 위해, 에칭 파라미터(예를 들면, 에칭 시간, 확산도, 등등) 또는 퇴적 파라미터(예를 들면, 시간, 농도, 등등)가 측정 모델에, 각각, 포함될 수도 있다.
앞서 언급한 것은 개요이며, 따라서, 필요에 의해, 세부 사항의 단순화, 일반화, 및 생략을 포함하며; 결과적으로, 개요는 단지 예시적인 것이며 어떠한 방식으로든 제한하지는 않는다는 것을 기술 분야의 숙련된 자는 알 수 있을 것이다. 본원에 설명되는 디바이스 및/또는 프로세스의 다른 양태, 독창적인 피쳐, 및 이점은, 본원에서 기재되는 비제한적인 상세한 설명에서 명확해질 것이다.
도 1a 내지 도 1d는, 리소그래피-에칭-리소그래피-에칭(LELE) 프로세스로 일반적으로 칭해지는 이중 패턴화 리소그래피(DPL) 기술의 선택된 단계를 묘사한다.
도 2는, 반도체 구조체(100)가 자기 정렬 4중 패턴화(self-aligned quadruple patterning; SAQP) 프로세스를 사용하여 구성되고 있는 것을 묘사한다.
도 3은, 다수의 계측 타겟이 상기 웨이퍼의 상기 표면에 걸쳐 다양한 측정 사이트에 위치되는 반도체 웨이퍼(110)를 묘사한다.
도 4는, 본원에서 설명되는 방법(200)에 따라 트레이닝되는 SRM 모델을 사용하여, 측정된 스펙트럼으로부터 CD2를 직접적으로 측정하는 결과를 설명하는 플롯(130)을 예시한다.
도 5는, 트레이닝된 SRM 모델을 사용하여 CD2의 측정치에서의 변동을 설명하는 플롯(140)을 예시한다.
도 6은, 본원에서 설명되는 방법(200)에 따라 트레이닝되는 SRM 모델을 사용하여, 측정된 스펙트럼으로부터 피치워크를 직접적으로 측정하는 결과를 설명하는 플롯(150)을 예시한다.
도 7은, 트레이닝된 SRM 모델을 사용하여 피치워크의 측정치에서의 변동을 설명하는 플롯(160)을 예시한다.
도 8은, 본원에서 설명되는 방법(200)에 따라 트레이닝되는 SRM 모델을 사용하여 보조 타겟(assist target) 및 주 타겟(100)의 측정된 스펙트럼으로부터 직접적으로 CD1을 측정하는 결과를 설명하는 플롯(170)을 예시한다.
도 9는, 트레이닝된 SRM 모델을 사용하여 CD1의 측정치에서의 변동을 설명하는 플롯(180)을 예시한다.
도 10a는, 도 2에서 묘사되는 "최종 에칭 전(before final etch)" 단계 이전의 SADP 프로세스에서의 한 단계의 모델을 묘사한다.
도 10b는, 두 개의 상이한 기준 측정 데이터 세트에 기초한 상이한 측정 기술을 사용한 상이한 파라미터에 측정 결과의 차트(195)를 묘사한다.
도 11은 다중 패턴화 프로세스에 의해 야기되는 기하학적 에러를 특성 묘사하는 하나 이상의 파라미터 값을 결정하는 데 유용한 SRM 측정 모델을 형식화하고 트레이닝하는 방법(200)을 예시하는 플로우차트이다.
도 12는 다중 패턴화 프로세스에 의해 야기되는 기하학적 에러를 특성 묘사하는 하나 이상의 파라미터 값을 결정하는 방법(250)을 예시하는 플로우차트이다.
도 13은 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 시스템(300)을 예시한다.
이제, 배경 예 및 본 발명의 몇몇 실시형태를 상세히 참조할 것인데, 본 발명의 실시형태의 예는 첨부의 도면에서 예시된다.
다중 패턴화 프로세스의 성과를 평가하기 위한 방법 및 시스템이 제시된다. 보다 구체적으로는, 다중 패턴화 프로세스에 의해 생성되는 기하학적 구조체가 측정되고, 본원에서 설명되는 방법 및 시스템에 따라, 다중 패턴화 프로세스에 의해 야기되는 기하학적 에러를 특성 묘사하는 하나 이상의 파라미터 값이 결정된다.
하나의 양태에서, 다중 패턴화 프로세스에 의해 형성되는 구조체의 측정은, 신호 응답 계측(SRM) 기술에 기초하여 수행된다. SRM 측정 모델은, 타겟 구조체의 측정과 관련되는 광학 측정 데이터(예를 들면, 광 스펙트럼 데이터)에 기초하여 형식화되고 트레이닝된다. 이들 타겟 구조체와 관련되는 주목하는 파라미터는, 기준 계측 시스템에 의해 결정되는 공지의 값을 갖는다. 트레이닝된 SRM 측정 모델은, 광학 측정 툴에 의해 수집되는 광학 측정 데이터를, 패턴화된 구조체의 파라미터의 값에 직접적으로 관련시키는 전달 함수(transfer function)를 제공한다. 이 방식에서, 트레이닝된 SRM 측정 모델은, 다중 패턴화 프로세스에 의해 형성되는 구조체의 인라인 광학 계측을 수행할 수 있다.
도 2는, 반도체 구조체(100)가 자기 정렬 4중 패턴화(SAQP) 프로세스를 사용하여 구성되고 있는 것을 묘사한다. 도 2는 최종 에칭 전 프로세스 단계를 예시한다. 반도체 구조체(100)는, 기판 층(101), 산화물 층(102), 질화물 층(103), 산화물 층(104), 및 여러가지 질화물 스페이서 구조체(105A-105E)를 포함한다. 각각의 스페이서는 높이 및 두께를 갖는다. 이들 구조체의 간격 및 두께에서의 변동은, 여러가지 임계 파라미터 값에서의 변동으로 나타난다. 예를 들면, 도 2에서 묘사되는 임계 치수 파라미터(CD1, CD2, 및 CD3)는, 핀 형성 프로세스(fin formation process), 및 궁극적으로는 최종 구조체의 성능에 대한 그들의 영향 때문에, 빈번하게 모니터링된다. 또한, 피치워크는 측정 및 제어 둘 다에 대해 중요한 파라미터이다. 피치워크는 식(1)에 의해 CD1 및 CD3에 관련된다.
Figure 112017045715925-pct00001
하나의 추가 양태에서, SRM 측정 모델은, (시뮬레이팅되거나 또는 실제인) 다중 패턴 계측 타겟을 포함하는 측정 사이트로부터 수집되는 원시 측정 데이터(예를 들면, 실험계획법(Design of Experiments; DOE) 웨이퍼로부터 수집되는 스펙트럼 또는 시뮬레이팅된 스펙트럼)에만 기초하여 생성된다. 하나 이상의 다중 패턴화된 타겟의 DOE 스펙트럼과 주목하는 파라미터의 대응하는 기준 측정을 관련시키는 다이렉트 입출력 모델(즉, 전달 함수)을 구축하기 위해, 머신 러닝, 피쳐 추출, 및 다른 기술이 활용된다. 몇몇 실시형태에서, 다중 패턴화된 계측 타겟의 트레이닝 세트는, 명목상 동일한 타겟을 포함한다, 즉, 타겟은 프로세스 변동 때문에 서로 변한다. 몇몇 실시형태에서, 주목하는 파라미터에 영향을 끼치는 프로세스 변동은, 모델 트레이닝의 목적을 위해 의도적으로 증폭된다.
하나의 예에서, 전달 함수는, CD2의 도 2에서 묘사되는 SAQP 타겟(100)의 산란측정 신호(scatterometry signal) 및 대응하는 CD-SEM 측정치를 관련시킨다. SRM 모델이 각각의 주목하는 파라미터에 대해 생성되고, 동일한 모델은 다른 측정 사이트에 대한 후속하는 측정을 수행하기 위해 사용된다.
도 11은 본 발명의 도 13에서 예시되는 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(200)을 예시한다. 하나의 양태에서, 방법(200)의 데이터 프로세싱 블록은, 컴퓨팅 시스템(330), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 실행될 수도 있다는 것이 인식된다. 본원에서는, 계측 시스템(300)의 특정한 구조적 양태는 제한을 나타내지 않으며 예시적인 것으로서만 해석되어야 한다는 것이 인식된다.
블록 201에서, 복수의 측정 사이트의 측정과 관련되는 어떤 양(amount)의 측정 데이터가 컴퓨팅 시스템(예를 들면, 컴퓨팅 시스템(330))에 의해 수신된다. 복수의 측정 사이트의 각각은, 다중 패턴화 프로세스의 적어도 두 개의 패턴화 단계에 의해 생성되는 적어도 하나의 주목하는 파라미터에 의해 특성 묘사되는 다중 패턴화된 계측 타겟을 포함한다. 주목하는 파라미터(들)의 값이, 복수의 측정 사이트의 각각에서 알려져 있다.
모델 트레이닝의 목적을 위해, 설계 파라미터, 예를 들면, 구조체 또는 프로세스 파라미터에서 공지된 교란(known perturbation)을 갖는 임의의 위치로부터 측정 데이터가 획득될 수도 있다. 이들 위치는, 예를 들면, 스크라이브 라인 안에, 디바이스 상에(on-device), 또는, 예를 들면, 리소그래피 노광 조건 및 레티클 설계 특성이 어떤 범위의 값에 걸쳐 변하는 웨이퍼 상의 다른 위치에 있을 수도 있다. 다른 예에서, 측정 데이터는 상이한 디바이스 위치(예를 들면, 조밀한 피쳐를 갖는 위치 및 고립된 피쳐를 갖는 위치, 또는 마스크 상에서 두 개의 상이한 CD를 갖는 위치)로부터 획득될 수도 있다. 일반적으로, 측정 데이터는, 공지된 방식으로 교란되는 상이한 위치로부터 획득된다. 교란은 마스크 데이터, 기기 데이터 획득(Equipment Data Acquisition; EDA) 데이터, 프로세스 데이터, 등등으로부터 알려질 수도 있다.
하나의 예에서, 초점, 노광, 및 오버레이 중 임의의 것은 디바이스 또는 웨이퍼에 걸쳐 체계적으로 변할 수도 있다. 다른 예에서는, Izikson 등에게 부여된 미국 특허 제8,142,966호에서 설명되는 바와 같이, 하층 파라미터(underlayer parameter)와의 상관을 감소시키기 위해, 랜덤화된 초점 및 노광 매트릭스(Focus and Exposure Matrix; FEM)가 활용되는데, 상기 특허는 참조에 의해 본원에 통합된다.
바람직한 실시형태에서, 체계적인 변동의 세트는 실제 DOE 웨이퍼의 제조에서 구현된다. 블록 201에서 수신되는 측정 데이터를 생성하기 위해, DOE 웨이퍼는 후속하여 측정된다. 제조된 웨이퍼는, 시뮬레이션에 의해 쉽게 모델링될 수도 없는 체계적인 에러를 포함한다. 예를 들면, 하층의 효과는 실제 웨이퍼의 측정에 의해 보다 정확하게 캡쳐된다. 하층 기여는, 고정된 하층 조건에 대한 제조 동안의 프로세스 파라미터, 예를 들면 초점 및 노광 변동을 수정하는 것에 의해 측정 응답으로부터 상관해제될 수 있다. 다른 예에서, 하층 기여는, 다양한 상부층 지형(top layer topography) 및 일정한 하층 조건을 갖는 피쳐로부터 다수의 데이터 세트를 취하는 것에 의해 완화될 수 있다. 하나의 예에서, 상부층은 주기적인 구조체를 포함할 수도 있고 하층은 비주기적일 수도 있다.
측정 위치는 측정 감도를 증가시키도록 선택될 수도 있다. 하나의 예에서, 라인 끝에서 수행되는 측정은 초점에서의 변화에 가장 민감하다. 일반적으로, 측정은, 측정될 파라미터에서의 변화에 가장 민감한 구조체에서 취해져야 한다.
DOE 웨이퍼의 실제 측정을 수행하는 것이 바람직하지만, 몇몇 다른 예에서는, 상이한 공지된 구조 파라미터 값에 대한 DOE 웨이퍼의 측정 응답이 시뮬레이팅될 수도 있다. 이들 예에서, 블록 201에서 수신되는 측정 데이터는 합성적으로 생성된다. 예를 들면, 미국 캘리포니아 밀피타스(Milpitas)의 KLA-Tencor Corporation으로부터 입수가능한 포지티브 레지스트 광학 리소그래피(Positive Resist Optical Lithography; PROLITH) 시뮬레이션 소프트웨어와 같은 프로세스 시뮬레이터가 활용될 수도 있다. 일반적으로, 본 특허 문헌의 범위 내에서 임의의 프로세스 모델링 기술 또는 툴(예를 들면, 미국 노쓰캐롤라이나(North Carolina) 캐리(Cary)의 Coventor, Inc.로부터 입수가능한 Coventor 시뮬레이션 소프트웨어)이 고려될 수도 있다.
몇몇 예에서, 측정 데이터는, 상이한 측정 사이트에서 획득되는 스펙트럼 범위에 걸친 두 개의 타원편광 측정 파라미터(ellipsometric parameter)(Ψ, Δ)를 포함한다. 그러나, 일반적으로, 측정 데이터는, 반도체 웨이퍼의 표면 상으로 패턴화되는 구조체의 구조적 또는 기하학적 특성을 나타내는 임의의 측정 데이터일 수도 있다.
몇몇 예에서, 측정 데이터는, DOE 웨이퍼(예를 들면, 웨이퍼(110))의 표면 상의 측정 사이트의 측정의 시뮬레이션과 관련된다. 예를 들면, 측정 데이터는, 각각의 측정 사이트와 관련되는 다중 패턴 계측 타겟과 관련되는 시뮬레이팅된 스펙트럼 측정치를 포함할 수도 있다.
몇몇 다른 예에서, 측정 데이터는, DOE 웨이퍼(예를 들면, 웨이퍼(110))의 표면 상의 측정 사이트의 실제 측정과 관련된다. 측정 데이터는, 각각의 측정 사이트와 관련되는 다중 패턴 계측 타겟과 관련되는 실제 스펙트럼 측정치를 포함한다.
몇몇 예에서, 측정 데이터는 실험계획법(DOE) 웨이퍼 상의 복수의 측정 사이트의 측정과 관련되고, 다중 패턴화된 계측 타겟을 특성 묘사하는 주목하는 파라미터(들)는 측정 사이트의 각각에서 기준 측정 시스템에 의해 측정된다. 기준 계측 시스템은, 파라미터 값을 정확하게 측정할 수 있는 신뢰된 계측 시스템 예컨대 주사 전자 현미경(Scanning Electron Microscope; SEM), 터널 전자 현미경(Tunneling electron Microscope; TEM), 원자력 현미경(Atomic Force Microscope; AFM), 또는 소각 X 레이 산란계(Small-Angle X-Ray Scatterometer; SAXS) 또는 X 레이 형광(X-Ray Fluorescence; XRF) 시스템과 같은 x레이 측정 시스템이다. 그러나, 통상적으로, 기준 계측 시스템은, 예를 들면, 낮은 스루풋, 개별 사이트의 측정에 대한 높은 측정 불확실도, 등등으로 인해, 일반적으로, 인라인 계측 시스템으로서 동작하는 능력이 부족하다.
몇몇 실시형태에서, 파라미터 변동은, 예를 들면, 도 3를 참조로 설명되는 바와 같이, 반도체 웨이퍼(예를 들면, DOE 웨이퍼)의 표면 상에서 실험계획법(DOE) 패턴으로 편제된다. 이 방식에서, 측정 시스템은, 상이한 구조 파라미터 값과 대응하는 웨이퍼 표면 상의 상이한 위치를 조사한다(interrogate). 도 3을 참조로 설명되는 예에서, 측정 데이터는 CD1 및 CD2에서 공지된 변동을 가지고 프로세싱되는 DOE 웨이퍼와 관련된다. 예를 들면, CD1은 리소그래피 동안 선량(dose)을 변경하는 것에 의해 변하고, CD2는 제1 에칭 단계 동안 에칭 시간을 변경하는 것에 의해 변한다. CD3은 식 (2)에 의해 CD1 및 CD2에 관련된다.
Figure 112017045715925-pct00002
여기서 LithoPitch는 레지스트 격자 패턴의 미리 정의된 피치이고 Tspacer는 스페이서 두께이다. 비록, 이 예에서는, 소망의 파라미터 변동을 생성하기 위해 선량 및 에칭이 변하지만, 일반적으로는, 프로세스 파라미터(예를 들면, 리소그래피 초점, 노광, 및 다른 국소적 또는 전역적 파라미터), 구조 파라미터, 또는 이들 양자의 임의의 공지된 변동과 관련되는 측정 데이터가 고려될 수도 있다.
도 3은, 웨이퍼의 표면 위의 다양한 측정 사이트에 다수의 다이(예를 들면, 다이(113))를 위치시킨 반도체 웨이퍼(110)를 묘사한다. 도 3에서 묘사되는 실시형태에서, 다이는, 묘사된 x 및 y 좌표 프레임(112)과 정렬하여 직사각형 그리드 패턴으로 정렬되는 측정 사이트에 위치된다. 각각의 다이는 SAQP 계측 타겟(111)을 포함한다. 도 3에서 묘사되는 실시형태에서, 각각의 다중 패턴화된 계측 타겟(111)은, 다중 패턴화 프로세스의 후속하는 단계로부터 유래하는 개재된 라인의 적어도 하나 이상의 세트와 함께, 제1 패턴화 단계로부터 유래하는 라인의 세트를 포함한다. 결과적으로, 각각의 다중 패턴화된 계측 타겟은, 다중 패턴화된 단위 셀(111)과 같은 스페이서 구조체의 반복된 패턴을 갖는 격자 구조체를 포함한다. 다중 패턴화된 단위 셀(111)의 지오메트리는, 도 2를 참조로 설명되는 바와 같이, CD1, CD2, CD3, 및 피치워크에 의해 특성 묘사된다.
웨이퍼(110)는 상이한 공지된 구조 파라미터 값을 갖는 다이의 어레이를 포함한다. 따라서, CD1 및 CD2는 웨이퍼(110) 상에서의 그들의 위치에 따라 상이한 공지된 값을 갖는다. 이 방식에서, 웨이퍼(110)는 실험 계획법(DOE) 웨이퍼로서 간주될 수 있다. 기저의(underlying) 프로세스 윈도우(underlying process window)로부터 발생할 것으로 예측되는 구조 파라미터 값(예를 들면, CD1 및 CD2)의 전 범위에 걸치는 다중 패턴화된 계측 타겟의 매트릭스를 DOE 웨이퍼가 포함하는 것이 바람직하다. 도 3에서 묘사되는 바와 같이, CD1의 값은 변하고 한편 CD2의 값은 상이한 칼럼의 다이(x 방향에서의 칼럼 인덱스)에 대해 일정하게 유지된다. 역으로, CD1의 값은 일정하게 유지되고 한편 CD2의 값은 상이한 로우의 다이(y 방향에서의 로우 인덱스)에 대해 변한다. 이 방식에서, 웨이퍼(110)는, 상이한 값의 CD1 및 CD2를, 매트릭스에서의 그들의 위치에 따라, 포함하는 다이의 매트릭스를 포함한다. 또한, CD1 및 CD2의 값은, 프로세스 윈도우로부터 발생할 것으로 예측되는 CD1 및 CD2의 값의 범위에 걸친다.
블록 202에서, 측정 데이터의 차원을 감소시키는 것에 의해, 측정 데이터의 하나 이상의 피쳐가 추출된다. 비록 이 블록이 옵션적이긴 하지만, 그것이 활용되는 경우, SRM 측정 모델은 하나 이상의 추출된 피쳐에 적어도 부분적으로 기초하여 결정된다.
일반적으로, 측정 데이터의 차원은, 주성분 분석, 비선형 주성분 분석, 제2 양의 측정 데이터로부터 개개의 신호의 선택, 및 제2 양의 측정 데이터의 필터링을 비롯한, 다수의 공지의 방법에 의해 감소될 수도 있다.
몇몇 예에서, 측정 데이터는 주성분 분석(Principal Components Analysis; PCA), 비선형 PCA, 커널 PCA, 독립 성분 분석(Independent Component Analysis; ICA), 고속 푸리에 변환 분석(Fast Fourier Transform analysis; FFT), 이산 코사인 변환 분석(Discrete Cosine Transform analysis; DCT), 또는 이들 기술의 조합을 사용하여 분석되어, 상이한 측정 사이트에서 존재하는, 프로세스 파라미터, 구조 파라미터, 또는 둘 모두에서의 변동을 가장 강하게 반영하는 피쳐를 추출한다. 몇몇 다른 예에서, 상이한 측정 사이트에서 존재하는 파라미터 변동을 가장 강하게 반영하는 신호 데이터를 추출하기 위해, 신호 필터링 기술이 적용될 수도 있다. 몇몇 다른 예에서, 상이한 측정 사이트에서 존재하는 파라미터 변동을 가장 강하게 반영하는 개개의 신호는, 측정 데이터에서 존재하는 다수의 신호로부터 선택될 수도 있다. 비록, 후속하는 분석에 종속하는 데이터의 차원을 감소시키기 위해, 측정 데이터로부터 피쳐를 추출하는 것이 바람직하지만, 엄격하게 필수적인 것은 아니다. 이 관점에서, 블록 202는 옵션적이다.
블록 203에서, SRM 측정 모델은 측정 데이터에 적어도 부분적으로 기초하여 결정된다.
SRM 측정 모델이, 측정 데이터로부터 추출되는 피쳐에 기초하여 결정되거나, 또는 대안적으로, 측정 데이터로부터 직접적으로 결정된다. 트레이닝된 SRM 측정 모델은, 하나 이상의 측정 사이트에서 계측 시스템에 의해 생성되는 측정 데이터를 수신하도록, 그리고, 각각의 측정 타겟과 관련되는 구조 파라미터 값을 직접적으로 결정하도록 구조화된다. 바람직한 실시형태에서, SRM 측정 모델은 신경망 모델(neural network model)로서 구현된다. 하나의 예에서, 신경망의 노드의 수는 측정 데이터로부터 추출되는 피쳐에 기초하여 선택된다. 다른 예에서, SRM 측정 모델은, 선형 모델, 다항식 모델, 응답 표면 모델(response surface model), 결정 트리 모델, 랜덤 포리스트 모델(random forest model), 지원 벡터 머신 모델, 또는 다른 타입의 모델로서 구현될 수도 있다.
블록 204에서, SRM 측정 모델은 주목하는 파라미터의 공지의 값에 기초하여 트레이닝된다. 몇몇 예에서, 트레이닝된 SRM 측정 모델은 DOE 측정 데이터 및 공지된 구조 파라미터 값을 사용하여 생성된다. 모델은, 자신의 출력이, DOE 스펙트럼에 의해 정의되는 프로세스 변동 공간의 모든 스펙트럼에 대해, 정의된 예측 응답과 적합하도록 트레이닝된다.
몇몇 예에서, 트레이닝된 SRM 모델은, 방법(250)을 참조로 본원에서 설명되는 바와 같이 다른 웨이퍼(예를 들면, 제품 웨이퍼)의 실제 디바이스 구조체로부터 수집되는 측정된 데이터(예를 들면, 스펙트럼)로부터 구조 파라미터 값을 직접적으로 계산하기 위해 사용된다. 이 방식에서, 측정 모델을 생성하기 위해서는 그리고 그 모델을 사용하여 측정을 수행하기 위해서는, 합성적으로 생성된 스펙트럼 또는 공지된 샘플로부터 획득되는 스펙트럼만이 요구된다. 이 방식으로 형식화되는 SRM 측정 모델은, 측정 데이터(예를 들면, 측정된 스펙트럼)를 입력으로서 직접적으로 수신하고 파라미터 값을 출력으로서 제공하며, 따라서, 트레이닝된 입력-출력 모델이다.
측정 프로세스의 일부로서 모델 생성, 트레이닝, 및 활용에 관련되는 추가적인 세부 사항은, Pandev에게 부여된 미국 특허 제8,843,875호, Pandev 등등에 의한 미국 특허 공개 공보 제2014/0297211호, Shchegrov 등등에 의한 미국 특허 공개 공보 제2014/0316730호, 미국 특허 공개 공보 제2014/0172394호, Pandev 등등에 의한 미국 특허 공개 공보 제2014/0297211호, Pandev 등등에 의한 미국 특허 공개 공보 제2015/0042984호, Pandev 등등에 의한 미국 특허 공개 공보 제2015/0046118호, Pandev에 의한 미국 특허 출원 제14/624485호, 및 미국 특허 가출원 제61/991857호에서 설명되는데, 이들 문헌 각각의 전체는 참조에 의해 본원에 통합된다.
도 12는 본 발명의 도 13에서 예시되는 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(250)을 예시한다. 하나의 양태에서, 방법(250)의 데이터 프로세싱 블록은, 컴퓨팅 시스템(330), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 실행될 수도 있다는 것이 인식된다. 본원에서는, 계측 시스템(300)의 특정한 구조적 양태는 제한을 나타내지 않으며 예시적인 것으로서만 해석되어야 한다는 것이 인식된다.
블록 251에서, 반도체 웨이퍼의 표면 상의 복수의 측정 사이트의 측정과 관련되는 어떤 양의(an amount of) 광학 측정 데이터가 컴퓨팅 시스템(예를 들면, 컴퓨팅 시스템(330))에 의해 수신된다. 복수의 측정 사이트의 각각은, 다중 패턴화 프로세스의 적어도 두 개의 패턴화 단계에 의해 생성되는 적어도 하나의 주목하는 파라미터에 의해 특성 묘사되는 다중 패턴화된 계측 타겟을 포함한다. 주목하는 파라미터(들)는 다중 패턴화 프로세스에 의해 야기되는 기하학적 에러를 나타낸다.
하나의 예에서, 도 2에서 묘사되는 타겟 구조체(100)의 구조 파라미터(CD1, CD2, CD3), 및 피치워크가, 주목하는 파라미터이다. 이들 파라미터는 비제한적인 예로서 제공된다. 일반적으로, 다중 패턴화 프로세스에 의해 야기되는 기하학적 에러를 나타내기 위해, 많은 다른 구조 파라미터(예를 들면, 측벽 각도, 저부 임계 치수, 등등)가 활용될 수도 있다.
몇몇 실시형태에서, 피측정 제품 웨이퍼는 공칭 값을 갖는 구조체의 어레이를 포함한다. 따라서, CD1, CD2, CD3, 및 피치워크는, 웨이퍼의 위치와 무관하게 동일한 공칭 값을 갖는다.
몇몇 예에서, 측정 데이터는, 상이한 측정 사이트에서 획득되는 스펙트럼 범위에 걸친 두 개의 타원편광 측정 파라미터(Ψ, Δ)를 포함한다. 측정 데이터는, 각각의 측정 사이트와 관련되는 다중 패턴 계측 타겟과 관련되는 실제 스펙트럼 측정치를 포함한다. 비록, 몇몇 예에서는, 측정 데이터가 스펙트럼 측정 데이터이지만, 일반적으로는, 측정 데이터는 반도체 웨이퍼의 표면 상으로 패턴화되는 구조체의 구조적 또는 기하학적 특성을 나타내는 임의의 측정 데이터일 수도 있다.
블록 252에서, 복수의 측정 사이트의 각각과 관련되는 적어도 하나의 주목하는 파라미터의 값은, 측정 데이터 및 트레이닝된 SRM 측정 모델에 기초하여 결정된다. 주목하는 파라미터의 값은 다중 패턴화 프로세스에 의해 야기되는 기하학적 에러를 나타낸다. 주목하는 파라미터의 값은, 트레이닝된 SRM 측정 모델로부터 직접적으로 계산된다.
블록 103에서, 주목하는 파라미터의 값은 메모리(예를 들면, 메모리(332))에 저장된다.
도 4는, 본원에서 설명되는 방법(200)에 따라 트레이닝되는 SRM 모델을 사용하여, 측정된 스펙트럼으로부터 CD2를 직접적으로 측정하는 결과를 설명하는 플롯(130)을 예시한다. 이 예에서, DOE 스펙트럼은 다른 기하학적 파라미터 값의 변동의 존재 하에서 CD2의 상이한 값에 대해 합성적으로 생성되었다. 이 예에서, CD2의 공칭 값은 5 나노미터의 범위에 걸쳐 변하였다. 도 4는, 주어진 측정 스펙트럼에 대한 SRM 측정 모델에 의해 예측되는 CD2의 값 대 합성 스펙트럼을 생성하기 위해 사용되는 실제 CD2 값의 플롯을 예시한다. 도 4에서 묘사되는 바와 같이, CD2의 예측된 값과 실제 값 사이의 적합성은 꽤 가깝다.
도 5는, 트레이닝된 SRM 모델을 사용하여 CD2의 측정치에서의 변동을 설명하는 플롯(140)을 예시한다. 이 예에서, DOE 스펙트럼은 다른 기하학적 파라미터 값의 변동의 존재하에서 CD2의 동일한 값에 대해 합성적으로 생성되었다. CD2의 값의 추정은, DOE 스펙트럼의 각각의 세트에 대한 트레이닝된 SRM 모델에 의해 이루어졌다. 도 5는, 각각의 DOE 스펙트럼에 대한 SRM 측정 모델에 의해 예측되는 CD2의 값의 플롯을 예시한다. 도 5에서 묘사되는 바와 같이, CD2는 대략 0.1 나노미터의 정밀도로 측정된다.
도 6은, 본원에서 설명되는 방법(200)에 따라 트레이닝되는 SRM 모델을 사용하여, 측정된 스펙트럼으로부터 피치워크를 직접적으로 측정하는 결과를 설명하는 플롯(150)을 예시한다. 이 예에서, 타겟(100)의 CD2와 관련되는 동일한 측정 스펙트럼은 피치워크를 추정하기 위해 사용된다. 도 6은, 주어진 측정 스펙트럼에 대한 SRM 측정 모델에 의해 예측되는 피치워크의 값 대 합성 스펙트럼을 생성하기 위해 사용되는 실제 피치워크 값의 플롯을 예시한다. 도 6에서 묘사되는 바와 같이, 피치워크의 예측된 값과 실제 값 사이의 적합성은 꽤 가깝다.
도 7은, 트레이닝된 SRM 모델을 사용하여 피치워크의 측정치에서의 변동을 설명하는 플롯(160)을 예시한다. 피치워크의 값의 추정은, DOE 스펙트럼의 각각의 세트에 대한 트레이닝된 SRM 모델에 의해 이루어졌다. 도 7은, 각각의 DOE 스펙트럼에 대한 SRM 측정 모델에 의해 예측되는 피치워크의 값의 플롯을 예시한다. 도 7에서 묘사되는 바와 같이, 피치워크는 대략 1.3 나노미터의 변동을 가지고 측정된다.
타겟(100)에 존재하는 구조적 대칭성으로 인해, CD1은 타겟(100)으로부터 유도되는 산란측정 신호만으로부터는 직접적으로 측정될 수 없다. CD1에서 양의 값의 교란(예를 들면, CD1+x)을 갖는 격자 구조체로부터의 산란측정 신호는, CD1에서 음의 값을 갖는 교란(예를 들면, CD1-1)을 갖는 격자 구조체로부터의 산란측정 신호와 동일하다.
다른 추가 양태에서, SRM 측정 모델은, 하나의 다중 타겟 세트로 통합되는 다수의 타겟으로부터의 측정 신호에 대해 트레이닝되고, 동일한 다수의 타겟으로부터의 측정 신호에 대해 동작한다. 이 접근 방식은, 임계 파라미터를 서로로부터 그리고 다른 프로세스 변동으로부터 상관 해제한다.
몇몇 실시형태에서, 보조 타겟이 주 측정 타겟 옆에 위치되고 동일한 프로세스 변동(예를 들면, SAQP 프로세스 변동)을 받는다. 이들 실시형태에서, 계측 타겟의 트레이닝 세트는, 공칭적으로 치수가 정해지는 주 타겟 및 주목하는 파라미터의 상이한 공칭 값을 갖는 하나 이상의 보조 타겟을 포함한다.
보조 타겟은 리소그래피 프로세스 단계 동안 형성된다. 몇몇 예에서, 보조 타겟을 생성하기 위해, 상이한 라인 대 공간 비율 및/또는 상이한 피치를 갖는 마스크가 사용될 수 있다. SRM 측정 모델의 정확도를 향상시키기 위해, 주 타겟 및 보조 타겟을 가능한 한 서로 가깝게 위치시키는 것이 바람직하다. 몇몇 실시형태에서, 주 계측 타겟 및 보조 계측 타겟 둘 다는 각각의 측정 사이트에서 서로 인접하게 위치된다. 계측 타겟을 서로 근접하게 위치시키는 것에 의해, 계측 타겟 둘 다의 파라미터를 연결하기 위해 사용되는 가정을 단순화하는 것은 유의미한 에러를 야기할 가능성이 낮다. 예를 들면, 기저층의 두께는, 타겟이 밀접하게 위치되는 한 계측 타겟 둘 다에 대해 동일한 값일 가능성이 아주 높다. 따라서, 인접한 계측 타겟의 경우, 기저층의 두께는 유의미한 에러를 야기하지 않는 동일한 일정한 값으로서 취급될 수 있다.
SRM 측정 모델을 트레이닝시키고 사용하기 위해 보조 타겟을 사용하는 것은, 본원에서 이전에 설명된 단일의 타겟 접근 방식과 유사하다. 그러나, 추가적으로, 다중 타겟 SRM 측정 모델의 트레이닝은, 보조 타겟 및 주 계측 타겟으로부터의 트레이닝 데이터를 필요로 한다. 마찬가지로, 다중 타겟 SRM 측정 모델의 사용은, 보조 타겟 및 주 측정 타겟으로부터의 측정 데이터를 필요로 한다. 그러나, 보조 타겟과 관련되는 특정한 파라미터 값은 중요하지 않기 때문에, 트레이닝을 위한 기준 측정 데이터는 주 타겟으로부터만 수집될 필요가 있다는 것을 유의한다.
도 8 및 도 9는, 주 계측 타겟(100) 및 두 개의 보조 타겟을 사용하여 달성되는 CD1의 측정에 대한 결과를 설명한다. 이 예에서, 보조 타겟과 주 계측 타겟이 격자 패턴의 레지스트 폭만큼 서로 상이하다는 것을 제외하면, 보조 타겟은 주 계측 타겟과 동일하다. 이 예에서, 하나의 보조 타겟의 레지스트 폭에서의 차이는, 주 타겟의 CD1 값보다 2 나노미터 더 큰 보조 타겟과 관련되는 CD1 값으로 나타난다. 또한, 다른 보조 타겟의 레지스트 폭에서의 차이는, 주 타겟의 CD1 값보다 2 나노미터 더 작은 보조 타겟과 관련되는 CD1 값으로 나타난다.
도 8은, 본원에서 설명되는 방법(200)에 따라 트레이닝되는 SRM 모델을 사용하여, 보조 타겟 및 주 타겟(100)의 측정된 스펙트럼으로부터 직접적으로 CD1을 측정하는 결과를 설명하는 플롯(170)을 예시한다. 이 예에서, DOE 스펙트럼은 다른 기하학적 파라미터 값의 변동의 존재 하에서 CD1의 상이한 값에 대해 합성적으로 생성되었다. 이 예에서, CD1의 공칭 값은 5 나노미터의 범위에 걸쳐 변하였다. 도 8은, 주어진 측정 스펙트럼에 대한 SRM 측정 모델에 의해 예측되는 CD1의 값 대 합성 스펙트럼을 생성하기 위해 사용되는 실제 CD1 값의 플롯을 예시한다. 도 8에서 묘사되는 바와 같이, CD1의 예측된 값과 실제 값 사이의 적합성은 꽤 가깝다.
도 9는, 트레이닝된 SRM 모델을 사용하여 CD1의 측정치에서의 변동을 설명하는 플롯(180)을 예시한다. 이 예에서, DOE 스펙트럼은 다른 기하학적 파라미터 값의 변동의 존재하에서 CD1의 동일한 값에 대해 합성적으로 생성되었다. CD1의 값의 추정은, DOE 스펙트럼의 각각의 세트에 대한 트레이닝된 SRM 모델에 의해 이루어졌다. 도 9는, 각각의 DOE 스펙트럼에 대한 SRM 측정 모델에 의해 예측되는 CD1의 값의 플롯을 예시한다. 도 9에서 묘사되는 바와 같이, CD1은 대략 0.1 나노미터의 정밀도로 측정된다.
다른 추가 양태에서, SRM 측정 모델은, 다중 패턴화 프로세스의 다수의 단계에서 계측 타겟으로부터의 측정 신호에 기초하여 트레이닝되고 사용된다. 하나 이상의 이전 프로세스 단계로부터의 측정된 스펙트럼은, 주 타겟과 관련되는 SRM 측정 모델의 트레이닝 및 사용을 위해 순방향으로(forward)으로 공급된다. 이 접근 방식은, 임계 파라미터를 서로로부터 그리고 다른 프로세스 변동으로부터 또한 상관 해제한다.
이 접근 방식은 추가적인 보조 타겟을 구현하는 데 필요한 여분의 웨이퍼 공간을 필요로 하지 않는다. 그러나, 이 접근 방식은, 웨이퍼 측정이 다수의 프로세스 단계에서 수행되어야 한다는 것을 규정한다.
SRM 측정 모델을 트레이닝시키고 사용하기 위해 다수의 프로세스 단계에서 수집되는 측정 데이터를 사용하는 것은, 본원에서 앞서 설명된 단일의 타겟 접근 방식과 유사하다. 그러나, 추가적으로, SRM 측정 모델의 트레이닝은, 최소한 두 개의 상이한 프로세스 단계에서 주 타겟의 측정을 필요로 한다. 마찬가지로, SRM 측정 모델의 사용은, 상이한 프로세스 단계에서 주 타겟으로부터의 측정 데이터를 필요로 한다. 그러나, 최종 프로세스 단계에서 타겟의 특정한 파라미터 값만이 중요하기 때문에, 트레이닝을 위한 기준 측정 데이터는 이 단계에서 주 타겟으로부터만 수집될 필요가 있다는 것을 유의한다.
도 10a는, 도 2에서 묘사되는 "최종 에칭 전(before final etch)" 단계 이전의 SADP 프로세스에서의 한 단계의 모델을 묘사한다. 이 단계에서의 측정 데이터는 CD1 및 CD2에 관한 정보를 포함하지만, 그러나 CD3에 관한 정보를 구비하지 않는데, 그 이유는 최종 격자가 아직 형성되어야 하기 때문이다. 그러나, 이 단계에서, CD1-CD3의 값은 이미 고정되고, 프로세스 대칭성으로 인해 프로세스 단계의 나머지 동안 변하지 않을 것이다.
도 10b는, 본원에서 설명되는 바와 같이 스펙트럼 피드포워드(feedforward)를 적용하는 CD1 및 CD2에 대한 측정 결과("CD1(SFF)" 및 "CD2(SFF)"로 각각 라벨링됨)의 정밀도를 나타낸다.
본원에서 설명되는 바와 같이, 다른 기술을 사용하여 획득되는 기준 측정치는 SRM 모델을 트레이닝시키는 데 필요로 된다. CD-SEM은, 그것의 높은 측정 불확실도에 대해 알려져 있는 예시적인 측정 기술이다. 도 8은, 기준 측정 에러가 통상적인 트레이닝 데이터 세트에 대해 평균되기 때문에 본원에서 설명되는 측정 기술이 기준 측정 불확실도에 대해 강건하다는 것을 설명한다.
도 10b는, 두 개의 상이한 기준 측정 데이터 세트에 기초한 상이한 측정 기술(즉, 단일의 타겟, 다중 타겟 모델(multi-target model; MTM) 및 스펙트럼 피드포워드(spectra feedforward; SFF))를 사용한 상이한 파라미터(즉, CD1, CD2, 및 피치워크)에 대한 측정 결과의 차트(195)를 묘사한다. 제1 세트는 노이즈가 없는 합성 기준 측정 트레이닝 데이터를 활용한다. 결과는 도 10b에서 실선의 패턴(solid pattern)으로 예시된다. 제2 세트는, 0.25 나노미터, 3시그마의 측정 노이즈를 포함하는 합성 기준 측정 트레이닝 데이터를 활용한다. 결과는 도 10b에서 해칭 패턴(hatched pattern)으로 예시된다. 도 10b에서 묘사되는 바와 같이, 측정 노이즈의 추가는, 결과적으로 나타나는 측정치의 정확도에 크게 영향을 끼치지 않는다.
비록 SRM 모델 트레이닝 및 사용을 향상시키기 위해 다수의 타겟 및 스펙트럼 피드포워드를 사용하는 것이 별개로 설명되지만, 양자의 기술은 조합하여 사용될 수 있다. 하나의 예에서, SRM 모델이, 보조 타겟으로부터의 스펙트럼 및 이전의 패턴화 단계로부터의 스펙트럼을 사용하여 측정을 수행하도록, 트레이닝되고 적용된다. 이 방식에서, 트레이닝 스펙트럼 및 측정 스펙트럼 둘 다는, 동일한 프로세스 조건에 의해 그리고 프로세스의 상이한 단계에서 형성되는 상이한 타겟의 스펙트럼의 조합이다.
SADP를 수반하는 특정 예는, 비제한적인 예로서 본원에서 설명된다. 일반적으로, 본원에서 설명되는 방법 및 시스템은, 임의의 다중 패턴화 기술(예를 들면, 자기 정렬, 이중, 삼중, 사중, 8중 패턴화, 이중 리소그래피, 이중 에칭(double litho, double etch)(LELE) 패턴화, 등등)에 의해 생성되는 주목하는 파라미터의 측정을 향상시키기 위해 활용될 수도 있다.
도 13은 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 시스템(300)을 예시한다. 도 13에서 도시되는 바와 같이, 시스템(300)은 시료(301)의 하나 이상의 구조체의 분광 타원편광 측정(spectroscopic ellipsometry measurement)을 수행하기 위해 사용될 수도 있다. 이 양태에서, 시스템(300)은 조명기(illuminator; 302) 및 분광기(spectrometer; 304)를 구비하는 분광 타원편광기(spectroscopic ellipsometer)를 포함할 수도 있다. 시스템(300)의 조명기(302)는 선택된 파장 범위(예를 들면, 150-2000 nm)의 조명을 생성하여, 시료(301)의 표면 상에 배치되는 구조체로 지향시키도록 구성된다. 그 다음, 분광기(304)는 시료(301)의 표면으로부터 반사되는 조명을 수신하도록 구성된다. 조명기(302)로부터 방출되는 광은 편광 상태 생성기(307)를 사용하여 편광되어 편광된 조명 빔(306)을 생성한다는 것을 또한 유의한다. 시료(301) 상에 배치되는 구조체에 의해 반사되는 방사(radiation)는 편광 상태 분석기(309)를 통과하여 분광기(304)로 전달된다. 분광기(304)에 의해 집광 빔(collection beam)(308)으로 수신되는 방사는 편광 상태와 관련하여 분석되어, 분석기를 통과한 방사의 분광기에 의한 스펙트럼 분석을 허용한다. 이들 스펙트럼(311)은 구조체의 분석을 위해 컴퓨팅 시스템(330)으로 전달된다.
도 13에서 묘사되는 바와 같이, 시스템(300)은 단일의 측정 기술(즉, SE)을 포함한다. 그러나, 일반적으로, 시스템(300)은 임의의 수의 상이한 측정 기술을 포함할 수도 있다. 비제한적인 예로서, 시스템(300)은 분광 타원편광기(spectroscopic ellipsometer)(뮬러 매트릭스 타원편광법(Mueller matrix ellipsometry)을 포함함), 분광 반사계(spectroscopic reflectometer), 분광 산란계(spectroscopic scatterometer), 오버레이 산란계(overlay scatterometer), 각 분해 빔 프로파일 반사계(angular resolved beam profile reflectometer), 편광 분해 빔 프로파일 반사계(polarization resolved beam profile reflectometer), 빔 프로파일 반사계(beam profile reflectometer), 빔 프로파일 타원편광기(beam profile ellipsometer), 임의의 단일의 또는 다수의 파장 타원편광기, 또는 이들의 임의의 조합으로서 구성될 수도 있다. 또한, 일반적으로, 상이한 측정 기술에 의해 수집되고 본원에서 설명되는 방법에 따라 분석되는 측정 데이터는, 다수의 기술을 통합하는 하나의 툴보다는, 다수의 툴로부터 수집될 수도 있다.
추가적인 실시형태에서, 시스템(300)은 본원에서 설명되는 방법에 따라 전개되는 측정 모델에 기초하여 측정을 수행하기 위해 활용되는 하나 이상의 컴퓨팅 시스템(330)을 포함할 수도 있다. 하나 이상의 컴퓨팅 시스템(330)은 분광기(304)에 통신 가능하게 커플링될 수도 있다. 하나의 양태에서, 하나 이상의 컴퓨팅 시스템(330)은 시료(301)의 구조체의 측정과 관련되는 측정 데이터(311)를 수신하도록 구성된다.
본 개시의 전체에 걸쳐 설명되는 다양한 단계는 단일의 컴퓨터 시스템(330), 또는, 대안적으로, 다수의 컴퓨터 시스템(330)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 분광 타원평광기(304)와 같은 시스템(300)의 상이한 서브시스템은 본원에서 설명되는 단계의 적어도 일부를 실행하기에 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서 해석되어선 안되며 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(330)은 본원에서 설명되는 방법 실시형태 중 임의의 실시형태의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
또한, 컴퓨터 시스템(330)은 기술분야에서 공지된 임의의 방식으로 분광기(304)에 통신 가능하게 커플링될 수도 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(330)은 분광기(304)와 관련되는 컴퓨팅 시스템에 커플링될 수도 있다. 다른 예에서, 분광기(304)는 컴퓨터 시스템(330)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
계측 시스템(300)의 컴퓨터 시스템(330)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 시스템(예를 들면, 분광기(304) 및 등등)의 서브시스템으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 시스템(300)의 다른 서브시스템과 컴퓨터 시스템(330) 사이의 데이터 링크로서 기능할 수도 있다.
통합형 계측 시스템(300)의 컴퓨터 시스템(330)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과, 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 컴퓨터 시스템(330)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템(300), 외부 메모리, 기준 측정 소스(320), 또는 다른 외부 시스템) 사이의 데이터 링크로서 기능할 수도 있다. 예를 들면, 컴퓨팅 시스템(330)은 데이터 링크를 통해 저장 매체(즉, 메모리(332) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수도 있다. 예를 들면, 분광기(304)를 사용하여 획득되는 스펙트럼 결과는 영구적인 또는 반영구적인 메모리 디바이스(예를 들면, 메모리(332) 또는 외부 메모리)에 저장될 수도 있다. 이와 관련하여, 스펙트럼 결과는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(가져오기)될 수도 있다. 또한, 컴퓨터 시스템(330)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 컴퓨터 시스템(330)에 의해 결정되는 결합 측정 모델 또는 구조 파라미터 값(340)은 외부 메모리에 전달되어 저장될 수도 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 엑스포트(내보내기)될 수도 있다.
컴퓨팅 시스템(330)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 컴퓨터, 또는 기술분야에서 공지되어 있는 임의의 다른 디바이스를 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 일반적으로, 용어 "컴퓨팅 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수도 있다.
본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령어(334)는, 와이어, 케이블, 또는 무선 송신 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들면, 도 13에서 예시되는 바와 같이, 메모리(332)에 저장되는 프로그램 명령어(334)는 버스(333)를 통해 프로세서(331)로 송신된다. 프로그램 명령어(334)는 컴퓨터 판독가능 매체(예를 들면, 메모리(332))에 저장된다. 예시적인 컴퓨터 판독가능 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
몇몇 실시형태에서, 조명 광 및 조명된 측정 사이트로부터 수집되는 광은 다수의 상이한 파장을 포함한다. 몇몇 실시형태에서, 광은, 조명된 측정 사이트로부터 다수의 상이한 수집 각도에서 수집된다. 다수의 파장 및 수집 각도에서 광을 검출하는 것에 의해, 임계 치수(예를 들면, CD)에서의 변동 및 피치 워크에 대한 측정 감도는 향상된다. 몇몇 실시형태에서, 광은, 조명된 측정 사이트로부터 다수의 상이한 방위각에서 수집된다. 이들 평면 밖 측정도 또한, 피치 워크 및 임계 치수에서의 변동에 대한 측정 감도를 향상시킬 수도 있다. 몇몇 실시형태에서, 광학 측정 데이터의 수집은, 시스템 설정의 특정한 세트, 예를 들면, 분광 또는 각 분해 시스템, 하나 이상의 방위각, 하나 이상의 파장, 및 이들의 임의의 파장에 대해 최적화된다.
몇몇 예에서, 모델 구축, 트레이닝 및 측정을 위한 다수의 타겟과 관련되는 측정 데이터의 사용은, 측정 결과에서 하부 층의 영향을 제거하거나, 또는 크게 감소시킨다. 하나의 예에서, 두 개의 타겟으로부터의 측정 신호는, 각각의 측정 결과에서 하부 층의 영향을 제거하도록, 또는 크게 감소시키도록 감산된다. 다수의 타겟과 관련되는 측정 데이터의 사용은, 모델에 임베딩되는 샘플 및 프로세스 정보를 증가시킨다. 특히, 하나 이상의 측정 사이트에서의 다수의 상이한 타겟의 측정치를 포함하는 트레이닝 데이터의 사용은, 보다 정확한 측정을 가능하게 한다.
하나의 예에서는, 격리된 타겟 및 조밀한 타겟 둘 다에 대한 DOE 웨이퍼의 스펙트럼 측정으로부터 측정 모델이 생성된다. 그 다음, 측정 모델은 스펙트럼 측정 데이터 및 공지된 구조 파라미터 값에 기초하여 트레이닝된다. 후속하여, 결과적으로 나타나는 트레이닝된 측정 모델은, 샘플 웨이퍼 상의 격리된 타겟 및 조밀한 타겟 둘 다에 대한 구조 파라미터 값을 계산하기 위해 활용된다. 이 방식에서, 각각의 파라미터는, 격리된 타겟 및 조밀한 타겟 둘 다와 관련되는 측정된 스펙트럼(또는 추출된 피쳐)로부터 파라미터 값을 계산하는 자기 자신의 트레이닝된 모델을 갖는다.
다른 추가 양태에서, 모델 구축, 트레이닝, 및 측정을 위해, 다수의 상이한 측정 기술의 조합에 의해 수행되는 측정으로부터 유도되는 측정 데이터가 수집된다. 다수의 상이한 측정 기술과 관련되는 측정 데이터의 사용은, 모델에 임베딩되는 샘플 및 프로세스 정보를 증가시키고 보다 정확한 측정을 가능하게 한다. 측정 데이터는, 다수의 상이한 측정 기술의 임의의 조합에 의해 수행되는 측정으로부터 유도될 수도 있다. 이 방식에서, 상이한 측정 사이트는, 반도체 구조체의 특성에 대해 이용가능한 측정 정보를 향상시키기 위해, 다수의 상이한 측정 기술에 의해 측정될 수도 있다.
일반적으로, 본 특허 문헌의 범위 내에서, 임의의 측정 기술, 또는 둘 이상의 측정 기술의 조합이 고려될 수도 있다. 예시적인 측정 기술은, 뮬러 매트릭스 타원편광법을 비롯한 분광 타원편광법, 분광 반사측정법(spectroscopic reflectometry), 분광 산란측정법(spectroscopic scatterometry), 산란측정법 오버레이(scatterometry overlay), 빔 프로파일 반사측정법(beam profile reflectometry), 각 분해 및 편광 분해 둘 모두의 빔 프로파일 타원편광법, 단일의 또는 다수의 이산 파장 타원편광법, TSAXS(transmission small angle x-ray scatterometer; 송신 소각 x 레이 산란계), SAXS(small angle x-ray scattering; 소각 x레이 산란), GISAXS(grazing incidence small angle x-ray scattering; 스침각 입사 소각 산란), WAXS(wide angle x-ray scattering; 광각 x 레이 산란), XRR(x-ray reflectivity: x 레이 반사율), XRD(x-ray diffraction; x 레이 회절), GIXRD(grazing incidence x-ray diffraction; 스침각 x 레이 회절), HRXRD(high resolution x-ray diffraction; 고분해능 x 레이 회절), XPS(x-ray photoelectron spectroscopy; x 레이 광전자 분광법), XRF(x-ray fluorescence; x 레이 형광), GIXRF(grazing incidence x-ray fluorescence; 스침각 x 레이 형광), LEXES(low-energy electron induced x-ray emission scatterometry; 저에너지 전자 유도 x 레이 방출 산란측정법), x 레이 단층촬영법, 및 x 레이 타원편광법을 포함하지만, 이들로 한정되는 것은 아니다. 일반적으로, 이미지 기반 계측 기술을 포함하는, 반도체 구조체의 특성 묘사에 적용가능한 임의의 계측 기술이 고려될 수도 있다. 추가적인 센서 옵션은, 디바이스를 바이어싱하고 결과적으로 나타나는 바이어스를 광학 센서로 검출하는(또는 그 반대의) 비접촉 용량/전압 또는 전류/전압 센서와 같은 전기 센서, 또는 XRD, XRF, XPS, LEXES, SAXS, 및 펌프 프로브 기술과 같은 보조 광학 기술을 포함한다. 하나의 실시형태에서, 분해되는 각도 및/또는 다중 스펙트럼 데이터 둘 다를 작은 스팟 사이즈에서 수집하기 위해, 2차원 빔 프로파일 반사계(동공 이미저(pupil imager))가 사용될 수도 있다. 뮬러 매트릭스 스펙트럼 동공 이미저로서, UV 리닉 간섭계(Linnik interferometer)가 또한 사용될 수도 있다.
몇몇 예에서, 본원에서 설명되는 모델 구축, 트레이닝, 및 측정 방법은, 미국 캘리포니아 밀피타스의 KLA-Tencor Corporation으로부터 입수가능한 SpectraShape® 광학 임계 치수 계측 시스템의 엘리먼트로서 구현된다. 이 방식에서, 모델은, DOE 웨이퍼 스펙트럼이 시스템에 의해 수집된 직후에 생성되어 사용 준비가 이루어진다.
몇몇 다른 예에서, 본원에서 설명되는 모델 구축 및 트레이닝 방법은, 예를 들면, 미국 캘리포니아 밀피타스의 KLA-Tencor Corporation으로부터 입수가능한 AcuShape® 소프트웨어를 구현하는 컴퓨팅 시스템에 의해 오프라인에서 구현된다. 결과적으로 나타나는 트레이닝된 모델은, 측정을 수행하는 계측 시스템에 의해 액세스가능한 AcuShape® 라이브러리의 엘리먼트로서 통합될 수도 있다.
다른 예에서, 본원에서 설명되는 방법 및 시스템은 오버레이 계측에 적용될 수도 있다. 격자 측정은 특히 오버레이의 측정에 관련된다. 오버레이 계측의 목적은, 상이한 리소그래피 노광 단계 사이의 시프트를 결정하는 것이다. 디바이스 상에서 오버레이 계측을 수행하는 것은, 디바이스 상의 구조체의 작은 사이즈, 및 통상적으로 작은 오버레이 값으로 인해 어렵다.
예를 들면, 통상적인 스크라이브 라인 오버레이 계측 구조체의 피치는 200 나노미터에서 2,000 나노미터까지 변한다. 그러나, 디바이스 상의 피치는 통상적으로 100 나노미터 이하이다. 또한, 공칭 제조 환경에서, 디바이스 오버레이는 디바이스 구조체의 주기성의 작은 단편에 불과하다. 대조적으로, 산란측정법 오버레이에서 사용되는 대용 계측 구조체(proxy metrology structure)는, 오버레이에 대한 신호 감도를 향상시키기 위해, 큰 값, 예를 들면, 피치의 1/4에서 빈번하게 오프셋된다.
이들 조건 하에서, 오버레이 계측은, 작은 오프셋, 작은 피치 오버레이에 대해 충분한 감도를 갖는 센서 아키텍쳐로 수행된다. 본원에서 설명되는 방법 및 시스템은, 디바이스 상의 구조체, 대용 구조체, 또는 둘 다에 기초하여 오버레이에 민감한 측정 신호를 획득하기 위해 활용될 수도 있다.
획득 이후, 측정된 신호는, 측정된 신호에서의 변동에 기초하여 오버레이 에러를 결정하기 위해, 분석된다. 하나의 추가 양태에서, 스펙트럼 또는 각 분해 데이터는 PCA를 사용하여 분석되고, 측정된 신호에서 검출되는 주성분에 기초하여 오버레이를 결정하기 위해 오버레이 모델이 트레이닝된다. 하나의 예에서, 오버레이 모델은 신경망 모델이다. 이런 의미에서, 오버레이 모델은 파라미터의 모델이 아니며, 따라서 부정확한 모델링 가정에 의해 도입되는 에러를 쉽게 일으키지 않는다.
몇몇 실시형태에서, 오버레이 계측 모델의 트레이닝은, 명목 상으로 디바이스 피쳐와 동일하지만 더 큰 오프셋을 갖는 전용 계측 구조체의 측정에 기초한다. 이것은 감도 문제를 극복하는 것을 도울 수 있다. 이들 오프셋은, 레티클 설계 동안 측정될 두 계층에서의 피쳐 사이에 도입되는 고정된 설계 오프셋에 의해 도입될 수 있다. 오프셋은 또한, 리소그래피 노광에서의 시프트에 의해 도입될 수 있다. 오버레이 에러는, 다수의 시프트된 타겟(예를 들면, 피치/4 및 -피치/4)을 사용하는 것에 의해 압축된 신호(예를 들면, PCA 신호)로부터 보다 효율적으로 추출될 수도 있으며 하층의 영향도 또한 감소될 수도 있다.
일반적으로, 본원에서 제시되는 반도체 계측을 수행하기 위한 방법 및 시스템은 실제 디바이스 구조체에 또는 스크라이브 라인 내에 또는 다이 내에 위치되는 전용 계측 타겟(예를 들면, 대용 구조체)에 직접적으로 적용될 수도 있다.
또 다른 양태에서, 본원에서 설명되는 측정 기술은, 프로세스 툴(예를 들면, 리소그래피 툴, 에칭 툴, 퇴적 툴, 등등)로 액티브 피드백을 제공하기 위해 사용될 수 있다. 예를 들면, 본원에서 설명되는 방법을 사용하여 결정되는 구조 파라미터의 값은, 소망의 출력을 달성하도록 리소그래피 시스템을 조정하기 위해, 리소그래피 툴로 전달될 수 있다. 유사한 방식으로, 에칭 툴 또는 퇴적 툴로 액티브 피드백을 제공하기 위해, 에칭 파라미터(예를 들면, 에칭 시간, 확산도, 등등) 또는 퇴적 파라미터(예를 들면, 시간, 농도, 등등)가 측정 모델에, 각각, 포함될 수도 있다.
일반적으로, 본원에서 설명되는 시스템 및 방법은, 전용 계측 툴의 일부로서, 또는 대안적으로 프로세스 툴(예를 들면, 리소그래피 툴, 에칭 툴, 등등)의 일부로서 구현될 수 있다.
본원에서 설명되는 바와 같이, 용어 "임계 치수"는 구조체의 임의의 임계 치수(예를 들면, 저부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이, 등등), 임의의 둘 이상의 구조체 사이의 임계 치수(예를 들면, 두 구조체 사이의 거리), 및 둘 이상의 구조체 사이의 변위(예를 들면, 중첩하는 격자 구조체 사이의 오버레이 변위, 등등)를 포함한다. 구조체는 3차원 구조체, 패턴화된 구조체, 오버레이 구조체, 등등을 포함할 수도 있다.
본원에서 설명되는 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본원에서 설명되는 바와 같이, 용어 "계측 시스템"은, 임계 치수 계측, 오버레이 계측, 초점/노광량 계측, 및 조성 계측과 같은 측정 애플리케이션을 비롯한, 임의의 양태에서 시료의 특성을 적어도 부분적으로 묘사하는 데 활용되는 임의의 시스템을 포함한다. 그러나, 기술 분야의 이러한 용어는 본원에서 사용되는 바와 같은 용어 "계측 시스템"의 범위를 제한하지 않는다. 또한, 계측 시스템(300)은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 이면 검사 툴, 매크로 검사 툴, 또는 멀티 모드 검사 툴(하나 이상의 플랫폼으로부터의 데이터를 동시에 수반함), 및 임계 치수 데이터에 기초한 시스템 파라미터의 캘리브레이션으로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴로서 구성될 수도 있다.
시료를 프로세싱하기 위해 사용될 수도 있는 반도체 프로세싱 시스템(예를 들면, 검사 시스템 또는 리소그래피 시스템)에 대한 다양한 실시형태가 본원에서 설명된다. 용어 "시료"는, 본원에서, 웨이퍼, 레티클, 또는 기술 분야에서 공지된 수단에 의해 프로세싱될 수도 있는(예를 들면, 결함에 대해 검사되거나 또는 인쇄될 수도 있는) 임의의 다른 샘플을 가리키기 위해 사용된다.
본원에서 사용되는 바와 같이, 용어 "웨이퍼"는 반도체 또는 비반도체 재료로 형성되는 기판을 일반적으로 지칭한다. 예는, 단결정 실리콘, 비화 갈륨, 및 인화 인듐을 포함하지만, 이들로 한정되는 것은 아니다. 이러한 기판은 반도체 제조 설비에서 일반적으로 발견될 수도 있고 및/또는 프로세싱될 수도 있다. 몇몇 경우에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 재료의 하나 이상의 레이어를 포함할 수도 있다. 웨이퍼 상에 형성되는 하나 이상의 레이어는 "패턴화되거나" 또는 "비패턴화될(unpatterned)" 수도 있다. 예를 들면, 웨이퍼는 반복가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 단계에서의 레티클일 수도 있거나, 또는 반도체 제조 설비에서 사용을 위해 릴리스되거나 또는 릴리스되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클, 또는 "마스크"는, 실질적으로 불투명한 영역이 상부에 형성되며 어떤 패턴으로 구성되는 실질적으로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예를 들면, 비정질 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은, 레티클 상의 패턴이 레지스트로 전사될 수도 있도록, 리소그래피 프로세스의 노광 단계 동안 레지스트로 피복된 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에 형성되는 하나 이상의 층은 패턴화될 수도 있거나 또는 패턴화되지 않을 수도 있다. 예를 들면, 웨이퍼는, 반복 가능한 패턴 피쳐를 각각 구비하는 복수의 다이를 포함할 수도 있다. 이러한 재료 레이어의 형성 및 프로세싱은 궁극적으로는 완성된 디바이스로 귀결될 수도 있다. 많은 상이한 타입의 디바이스가 웨이퍼 상에 형성될 수도 있고, 본원에서 사용되는 바와 같은 용어 웨이퍼는, 기술 분야에서 공지된 임의의 타입의 디바이스가 상부에서 제조되고 있는 웨이퍼를 포괄하도록 의도된다.
하나 이상의 예시적인 실시형태에서, 설명된 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현되면, 기능은 하나 이상의 명령어 또는 코드로서 컴퓨터 판독가능 매체 상에 저장되거나 또는 컴퓨터 판독가능 매체를 통해 송신될 수도 있다. 컴퓨터 판독가능 매체는 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 가능하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체 둘 다를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적의 컴퓨터에 의해 액세스될 수 있는 임의의 이용가능한 매체일 수도 있다. 비제한적인 예로서, 이러한 컴퓨터 판독가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스, 또는 명령어 또는 데이터 구조의 형태로 소망의 프로그램 코드 수단을 반송(carry) 또는 저장하기 위해 이용될 수 있으며 범용 컴퓨터나 특수 목적용 컴퓨터, 또는 범용 프로세서나 특수 목적용 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속이 컴퓨터 판독가능 매체로 적절히 칭해진다. 예를 들면, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 송신되면, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 디스크(disk) 및 디스크(disc)는, 본원에서 사용되는 바와 같이, 컴팩트 디스크(compact disc; CD), 레이저 디스크, 광학 디스크, 디지털 다기능 디스크(digital versatile disc; DVD), 플로피 디스크 및 블루레이 디스크를 포함하는데, 여기서 디스크(disk)는 통상 자기적으로 데이터를 재생하고, 한편 디스크(disc)는 레이저를 이용하여 광학적으로 데이터를 재생한다. 상기의 조합도 컴퓨터 판독가능 매체의 범위 내에 또한 포함되어야 한다.
소정의 특정 실시형태가 교수적인 목적을 위해 상기에서 설명되었지만, 본 특허 문헌의 교시는 일반적인 적용가능성을 가지며 상기에서 설명되는 특정 실시형태로 한정되는 것은 아니다. 따라서, 설명된 실시형태의 다양한 피쳐의 다양한 수정예, 적응예, 및 조합예는 청구범위에서 개시되는 바와 같은 본 발명의 범위를 벗어나지 않으면서 실시될 수 있다.

Claims (20)

  1. 방법에 있어서,
    반도체 웨이퍼 상의 제1 복수의 측정 사이트(site)에 제1 양의 조명 광을 제공하는 단계 - 상기 제1 복수의 측정 사이트의 각각은 다중 패턴화 프로세스의 적어도 두 개의 패턴화 단계에 의해 생성되는 적어도 하나의 주목하는 파라미터(parameter of interest)에 의해 특성 묘사되는 적어도 하나의 다중 패턴화된 계측 타겟을 포함하고, 상기 다중 패턴화 프로세서에 의해 제조되는 상기 적어도 하나의 다중 패턴화된 계측 타겟의 피치는 상기 다중 패턴화 프로세스의 일부로서 사용되는 리소그래피 시스템의 마스크의 피치의 배수이고, 상기 적어도 하나의 주목하는 파라미터는 상기 다중 패턴화 프로세스로부터 초래되는 기하학적 에러를 나타내고, 상기 제1 복수의 측정 사이트 중 하나 이상에 위치된 상기 다중 패턴화된 계측 타겟 중 적어도 하나는 다른 다중 패턴화된 계측 타겟 중 적어도 하나와 상이함 - ;
    상기 반도체 웨이퍼 상의 상기 제1 복수의 측정 사이트 각각에 제공되는 상기 제1 양의 조명 광에 응답하여 상기 제1 복수의 측정 사이트 각각으로부터의 광의 양을 검출하는 단계 - 상기 검출된 광의 양은 제1 양의 광학 측정 데이터를 포함함 - ;
    상기 반도체 웨이퍼 상의 상기 제1 복수의 측정 사이트의 측정과 관련된 상기 제1 양의 광학 측정 데이터를 수신하는 단계;
    상기 제1 양의 광학 측정 데이터 및 신호 응답 계측(signal response metrology; SRM) 모델에 기초하여, 상기 제1 복수의 측정 사이트의 각각과 관련되는 상기 적어도 하나의 주목하는 파라미터의 값을 결정하는 단계 - 상기 SRM 모델은, 상기 수신된 광학 측정 데이터를 상기 적어도 하나의 주목하는 파라미터의 값에 직접적으로 관련시키는 입력-출력 모델 측정 모델임 - ; 및
    상기 적어도 하나의 주목하는 파라미터의 상기 값을 메모리에 저장하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    제2 복수의 측정 사이트와 관련되는 제2 양의 측정 데이터를 수신하는 단계 - 상기 제2 복수의 측정 사이트의 각각은 다중 패턴화 프로세스의 적어도 두 개의 패턴화 단계에 의해 생성되는 적어도 하나의 주목하는 파라미터에 의해 특성 묘사되는 다중 패턴화된 계측 타겟을 포함하고, 상기 다중 패턴화된 계측 타겟을 특성 묘사하는 상기 적어도 하나의 주목하는 파라미터는 상기 제2 복수의 측정 사이트의 각각에서 알려져 있음 - ;
    상기 제2 양의 측정 데이터에 적어도 부분적으로 기초하여 상기 SRM 측정 모델을 결정하는 단계; 및
    상기 적어도 하나의 주목하는 파라미터의 알려진 값에 적어도 부분적으로 기초하여 상기 SRM 측정 모델을 트레이닝하는 단계
    를 더 포함하는, 방법.
  3. 제2항에 있어서,
    상기 제2 양의 측정 데이터는 실험계획법(Design of Experiment; DOE) 웨이퍼 상의 상기 제2 복수의 측정 사이트의 측정과 관련되고, 상기 다중 패턴화된 계측 타겟을 특성 묘사하는 상기 적어도 하나의 주목하는 파라미터는 상기 제2 복수의 측정 사이트의 각각에서 기준 측정 시스템(reference measurement system)에 의해 측정되는 것인, 방법.
  4. 제2항에 있어서,
    상기 제2 양의 측정 데이터 및 상기 제2 복수의 측정 사이트의 각각에서 상기 다중 패턴화된 계측 타겟을 특성 묘사하는 상기 적어도 하나의 주목하는 파라미터는 시뮬레이팅되는 것인, 방법.
  5. 제2항에 있어서,
    상기 제2 양의 측정 데이터의 차원을 감소시키는 것에 의해 상기 제2 양의 측정 데이터의 하나 이상의 피쳐를 추출하는 단계를 더 포함하고, 상기 SRM 측정 모델을 결정하는 단계는, 상기 하나 이상의 피쳐에 적어도 부분적으로 기초하는 것인, 방법.
  6. 제5항에 있어서,
    상기 제2 양의 측정 데이터의 차원을 감소시키는 것은, 주성분 분석, 비선형 주성분 분석, 상기 제2 양의 측정 데이터로부터의 개별 신호의 선택, 및 상기 제2 양의 측정 데이터의 필터링 중 임의의 것을 수반하는 것인, 방법.
  7. 제1항에 있어서,
    상기 SRM 측정 모델은 다중 타겟 모델인 것인, 방법.
  8. 제7항에 있어서,
    상기 제1 양의 광학 측정 데이터는 공칭 계측 타겟 및 적어도 하나의 보조 계측 타겟의 측정치를 포함하고, 상기 공칭 계측 타겟 및 상기 적어도 하나의 보조 계측 타겟 둘 다는, 각각, 다중 패턴화 프로세스의 적어도 두 개의 패턴화 단계에 의해 생성되는 적어도 하나의 주목하는 파라미터에 의해 특성 묘사되는 것인, 방법.
  9. 제8항에 있어서,
    상기 적어도 하나의 보조 계측 타겟의 주목하는 파라미터의 값은, 상기 공칭 계측 타겟의 상기 주목하는 파라미터의 값과는 상이한 것인, 방법.
  10. 제1항에 있어서,
    상기 제1 양의 광학 측정 데이터는, 상기 다중 패턴화 프로세스의 상이한 프로세스 단계에서의 공칭 계측 타겟의 측정치를 포함하는 것인, 방법.
  11. 시스템에 있어서,
    계측 툴; 및
    컴퓨팅 시스템
    을 포함하고,
    상기 계측 툴은,
    반도체 웨이퍼 상의 제1 복수의 측정 사이트에 제1 양의 조명 광을 제공하는 조명 소스 - 상기 제1 복수의 측정 사이트의 각각은 다중 패턴화 프로세스의 적어도 두 개의 패턴화 단계에 의해 생성되는 적어도 하나의 주목하는 파라미터(parameter of interest)에 의해 특성 묘사되는 적어도 하나의 다중 패턴화된 계측 타겟을 포함하고, 상기 적어도 하나의 주목하는 파라미터는 상기 다중 패턴화 프로세스에 의해 유도되는 기하학적 에러를 나타내고, 상기 제1 복수의 측정 사이트 중 하나 이상에 위치된 상기 다중 패턴화된 계측 타겟 중 적어도 하나는 다른 다중 패턴화된 계측 타겟 중 적어도 하나와 상이함 - ; 및
    상기 반도체 웨이퍼 상의 상기 제1 복수의 측정 사이트 각각에 제공되는 상기 제1 양의 조명 광에 응답하여 상기 제1 복수의 측정 사이트 각각으로부터의 광의 양을 검출하는 검출기 - 상기 검출된 광의 양은 제1 양의 광학 측정 데이터를 포함함 -
    를 포함하고,
    상기 컴퓨팅 시스템은,
    상기 반도체 웨이퍼 상의 상기 제1 복수의 측정 사이트의 측정과 관련된 상기 제1 양의 광학 측정 데이터를 수신하고;
    상기 제1 양의 광학 측정 데이터 및 신호 응답 계측(signal response metrology; SRM) 모델에 기초하여, 상기 제1 복수의 측정 사이트의 각각과 관련되는 상기 적어도 하나의 주목하는 파라미터의 값을 결정하고 - 상기 SRM 모델은, 상기 수신된 광학 측정 데이터를 상기 적어도 하나의 주목하는 파라미터의 값에 직접적으로 관련시키는 입력-출력 모델 측정 모델임 - ; 그리고
    상기 적어도 하나의 주목하는 파라미터의 상기 값을 메모리에 저장하도록
    구성되는 것인, 시스템.
  12. 제11항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    제2 복수의 측정 사이트와 관련되는 제2 양의 측정 데이터를 수신하고 - 상기 제2 복수의 측정 사이트의 각각은 다중 패턴화 프로세스의 적어도 두 개의 패턴화 단계에 의해 생성되는 적어도 하나의 주목하는 파라미터에 의해 특성 묘사되는 다중 패턴화된 계측 타겟을 포함하고, 상기 다중 패턴화된 계측 타겟을 특성 묘사하는 상기 적어도 하나의 주목하는 파라미터는 상기 제2 복수의 측정 사이트의 각각에서 알려져 있음 - ;
    상기 제2 양의 측정 데이터에 적어도 부분적으로 기초하여 상기 SRM 측정 모델을 결정하고; 그리고
    상기 적어도 하나의 주목하는 파라미터의 알려진 값에 적어도 부분적으로 기초하여 상기 SRM 측정 모델을 트레이닝하도록
    구성되는 것인, 시스템.
  13. 제12항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    상기 제2 양의 측정 데이터의 차원을 감소시키는 것에 의해 상기 제2 양의 측정 데이터의 하나 이상의 피쳐를 추출하도록 구성되고, 상기 SRM 측정 모델을 결정하는 것은, 상기 하나 이상의 피쳐에 적어도 부분적으로 기초하는 것인, 시스템.
  14. 제13항에 있어서,
    상기 제2 양의 측정 데이터의 차원을 감소시키는 것은, 주성분 분석, 비선형 주성분 분석, 상기 제2 양의 측정 데이터로부터의 개별 신호의 선택, 및 상기 제2 양의 측정 데이터의 필터링 중 임의의 것을 수반하는 것인, 시스템.
  15. 제11항에 있어서,
    상기 제1 양의 광학 측정 데이터는 공칭 계측 타겟 및 적어도 하나의 보조 계측 타겟의 측정치를 포함하고, 상기 공칭 계측 타겟 및 상기 적어도 하나의 보조 계측 타겟 둘 다는, 각각, 다중 패턴화 프로세스의 적어도 두 개의 패턴화 단계에 의해 생성되는 적어도 하나의 주목하는 파라미터에 의해 특성 묘사되는 것인, 시스템.
  16. 제15항에 있어서,
    상기 적어도 하나의 보조 계측 타겟의 주목하는 파라미터의 값은, 상기 공칭 계측 타겟의 상기 주목하는 파라미터의 값과는 상이한 것인, 시스템.
  17. 제11항에 있어서,
    상기 제1 양의 광학 측정 데이터는, 상기 다중 패턴화 프로세스의 상이한 프로세스 단계에서의 공칭 계측 타겟의 측정치를 포함하는 것인, 시스템.
  18. 제11항에 있어서,
    상기 검출기는, 다수의 파장, 다수의 수집 각도, 또는 다수의 파장과 다수의 수집 각도의 조합에서 타겟 구조체로부터 광을 수집하도록 구성되는 것인, 시스템.
  19. 계측 시스템에 있어서,
    반도체 웨이퍼 상의 제1 복수의 측정 사이트에 제1 양의 조명 광을 제공하는 조명기(illuminator) - 상기 제1 복수의 측정 사이트의 각각은 다중 패턴화 프로세스의 적어도 두 개의 패턴화 단계에 의해 생성되는 적어도 하나의 주목하는 파라미터(parameter of interest)에 의해 특성 묘사되는 적어도 하나의 다중 패턴화된 계측 타겟을 포함하고, 상기 적어도 하나의 주목하는 파라미터는 상기 다중 패턴화 프로세스에 의해 유도되는 기하학적 에러를 나타내고, 상기 제1 복수의 측정 사이트 중 하나 이상에 위치된 상기 다중 패턴화된 계측 타겟 중 적어도 하나는 다른 다중 패턴화된 계측 타겟 중 적어도 하나와 상이함 - ;
    상기 반도체 웨이퍼 상의 상기 제1 복수의 측정 사이트 각각에 제공되는 상기 제1 양의 조명 광에 응답하여 상기 제1 복수의 측정 사이트 각각으로부터의 광의 양을 검출하는 검출기 - 상기 검출된 광의 양은 제1 양의 광학 측정 데이터를 포함함 - ; 및
    비일시적 컴퓨터 판독가능 매체
    를 포함하고,
    상기 비일시적 컴퓨터 판독가능 매체는,
    컴퓨터로 하여금, 상기 반도체 웨이퍼 상의 상기 제1 복수의 측정 사이트의 측정과 관련된 상기 제1 양의 광학 측정 데이터를 수신하게 하기 위한 코드;
    상기 컴퓨터로 하여금, 상기 제1 양의 광학 측정 데이터 및 신호 응답 계측(SRM) 모델에 기초하여, 상기 제1 복수의 측정 사이트의 각각과 관련되는 상기 적어도 하나의 주목하는 파라미터의 값을 결정하게 하기 위한 코드 - 상기 SRM 모델은, 상기 수신된 광학 측정 데이터를 상기 적어도 하나의 주목하는 파라미터의 값에 직접적으로 관련시키는 입력-출력 모델 측정 모델임 - ; 및
    상기 컴퓨터로 하여금, 상기 적어도 하나의 주목하는 파라미터의 상기 값을 메모리에 저장하게 하기 위한 코드
    를 포함하는 것인, 계측 시스템.
  20. 제19항에 있어서,
    상기 비일시적 컴퓨터 판독가능 매체는,
    상기 컴퓨터로 하여금, 제2 복수의 측정 사이트와 관련되는 제2 양의 측정 데이터를 수신하게 하기 위한 코드 - 상기 제2 복수의 측정 사이트의 각각은 다중 패턴화 프로세스의 적어도 두 개의 패턴화 단계에 의해 생성되는 적어도 하나의 주목하는 파라미터에 의해 특성 묘사되는 다중 패턴화된 계측 타겟을 포함하고, 상기 다중 패턴화된 계측 타겟을 특성 묘사하는 상기 적어도 하나의 주목하는 파라미터는 상기 제2 복수의 측정 사이트의 각각에서 알려져 있음 - ;
    상기 컴퓨터로 하여금, 상기 제2 양의 측정 데이터에 적어도 부분적으로 기초하여 상기 SRM 측정 모델을 결정하게 하기 위한 코드; 및
    상기 컴퓨터로 하여금, 상기 적어도 하나의 주목하는 파라미터의 알려진 값에 적어도 부분적으로 기초하여 상기 SRM 측정 모델을 트레이닝하게 하기 위한 코드
    를 더 포함하는 것인, 계측 시스템.
KR1020177013024A 2014-10-16 2015-10-14 다중 패턴화 프로세스의 계측 KR102245698B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462064973P 2014-10-16 2014-10-16
US62/064,973 2014-10-16
US14/879,534 2015-10-09
US14/879,534 US10215559B2 (en) 2014-10-16 2015-10-09 Metrology of multiple patterning processes
PCT/US2015/055521 WO2016061218A1 (en) 2014-10-16 2015-10-14 Metrology of multiple patterning processes

Publications (2)

Publication Number Publication Date
KR20170067885A KR20170067885A (ko) 2017-06-16
KR102245698B1 true KR102245698B1 (ko) 2021-04-27

Family

ID=55747266

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177013024A KR102245698B1 (ko) 2014-10-16 2015-10-14 다중 패턴화 프로세스의 계측

Country Status (6)

Country Link
US (1) US10215559B2 (ko)
KR (1) KR102245698B1 (ko)
CN (1) CN106796105B (ko)
IL (1) IL250089B (ko)
TW (1) TWI659483B (ko)
WO (1) WO2016061218A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102405557B1 (ko) * 2021-10-14 2022-06-07 라이트비전 주식회사 컴퓨터가 구분하기 용이한 회절패턴 분류 체계 시스템 및 이에 있어서 분류 체계 구축 방법

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10534275B2 (en) 2015-06-22 2020-01-14 Nova Measuring Instruments Ltd. Method for use in process control of manufacture of patterned sample
CN108431692B (zh) 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
JP6924261B2 (ja) 2016-10-20 2021-08-25 ケーエルエー コーポレイション パターニングされたウェハの特性評価のためのハイブリッド計量
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10733744B2 (en) * 2017-05-11 2020-08-04 Kla-Tencor Corp. Learning based approach for aligning images acquired with different modalities
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS
US11450541B2 (en) * 2017-09-26 2022-09-20 Nova Ltd Metrology method and system
EP3629088A1 (en) * 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US11635682B2 (en) 2019-06-26 2023-04-25 Kla Corporation Systems and methods for feedforward process control in the manufacture of semiconductor devices
US11003164B2 (en) * 2019-08-30 2021-05-11 Micron Technology, Inc. Methods for aligning a physical layer to a pattern formed via multi-patterning, and associated systems
CN113008160B (zh) 2020-02-24 2023-02-10 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统和方法
CN111386441B (zh) 2020-02-24 2021-02-19 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统
WO2021168612A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168613A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040017575A1 (en) * 2002-07-25 2004-01-29 Raghu Balasubramanian Optimized model and parameter selection for optical metrology
US20140172394A1 (en) * 2012-12-18 2014-06-19 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US20140297211A1 (en) * 2013-03-27 2014-10-02 Kla-Tencor Corporation Statistical model-based metrology

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5922537A (en) * 1996-11-08 1999-07-13 N.o slashed.AB Immunoassay, Inc. Nanoparticles biosensor
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
WO2005028992A2 (en) * 2003-09-12 2005-03-31 Accent Optical Technologies, Inc. Line profile asymmetry measurement
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7407630B2 (en) * 2003-09-19 2008-08-05 Applera Corporation High density plate filler
US7695688B2 (en) * 2003-09-19 2010-04-13 Applied Biosystems, Llc High density plate filler
US7460223B2 (en) * 2003-09-19 2008-12-02 Applied Biosystems Inc. Inverted orientation for a microplate
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4740826B2 (ja) * 2006-02-23 2011-08-03 株式会社神戸製鋼所 形状測定装置、形状測定方法
JP4861893B2 (ja) * 2006-07-28 2012-01-25 東京エレクトロン株式会社 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板の処理システム
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US7616817B2 (en) * 2007-04-12 2009-11-10 The United States Of America As Represented By The Secretary Of The Navy Three dimensional shape correlator
CN101359611B (zh) 2007-07-30 2011-11-09 东京毅力科创株式会社 对光学计量系统的选定变量进行优化
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US7742889B2 (en) * 2008-03-27 2010-06-22 Tokyo Electron Limited Designing an optical metrology system optimized with signal criteria
US20090296075A1 (en) 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
CN101393015B (zh) 2008-10-17 2010-06-16 华中科技大学 一种微纳深沟槽结构在线测量方法及装置
NL2008317A (en) 2011-03-24 2012-09-25 Asml Netherlands Bv Substrate and patterning device for use in metrology, metrology method and device manufacturing method.
WO2013018093A1 (en) 2011-08-01 2013-02-07 Nova Measuring Instruments Ltd Monitoring system and method for verifying measurements in patterned structures
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20130158957A1 (en) 2011-12-16 2013-06-20 Lie-Quan Lee Library generation with derivatives in optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9383661B2 (en) * 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040017575A1 (en) * 2002-07-25 2004-01-29 Raghu Balasubramanian Optimized model and parameter selection for optical metrology
US20140172394A1 (en) * 2012-12-18 2014-06-19 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US20140297211A1 (en) * 2013-03-27 2014-10-02 Kla-Tencor Corporation Statistical model-based metrology

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102405557B1 (ko) * 2021-10-14 2022-06-07 라이트비전 주식회사 컴퓨터가 구분하기 용이한 회절패턴 분류 체계 시스템 및 이에 있어서 분류 체계 구축 방법

Also Published As

Publication number Publication date
TWI659483B (zh) 2019-05-11
US10215559B2 (en) 2019-02-26
CN106796105A (zh) 2017-05-31
US20160109230A1 (en) 2016-04-21
IL250089B (en) 2020-11-30
WO2016061218A1 (en) 2016-04-21
IL250089A0 (en) 2017-03-30
KR20170067885A (ko) 2017-06-16
TW201622032A (zh) 2016-06-16
CN106796105B (zh) 2020-01-31

Similar Documents

Publication Publication Date Title
KR102245698B1 (ko) 다중 패턴화 프로세스의 계측
US10612916B2 (en) Measurement of multiple patterning parameters
US10504759B2 (en) Semiconductor metrology with information from multiple processing steps
US10151986B2 (en) Signal response metrology based on measurements of proxy structures
US9875946B2 (en) On-device metrology
US10030965B2 (en) Model-based hot spot monitoring
TWI688829B (zh) 用於基於影像之疊對量測之信號回應計量
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
JP2019533312A (ja) パターニングされたウェハの特性評価のためのハイブリッド計量

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant