CN106796105B - 多重图案化工艺的度量 - Google Patents
多重图案化工艺的度量 Download PDFInfo
- Publication number
- CN106796105B CN106796105B CN201580055340.7A CN201580055340A CN106796105B CN 106796105 B CN106796105 B CN 106796105B CN 201580055340 A CN201580055340 A CN 201580055340A CN 106796105 B CN106796105 B CN 106796105B
- Authority
- CN
- China
- Prior art keywords
- measurement
- measurement data
- parameters
- interest
- metrology
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01B—MEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
- G01B11/00—Measuring arrangements characterised by the use of optical techniques
- G01B11/24—Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01B—MEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
- G01B11/00—Measuring arrangements characterised by the use of optical techniques
- G01B11/26—Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
- G01B11/27—Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
- G01B11/272—Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01B—MEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
- G01B11/00—Measuring arrangements characterised by the use of optical techniques
- G01B11/14—Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01B—MEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
- G01B2210/00—Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
- G01B2210/56—Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Testing Or Measuring Of Semiconductors Or The Like (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Length Measuring Devices By Optical Means (AREA)
Abstract
本发明提出用于评估多重图案化工艺的性能的方法及系统。测量图案化结构且确定特征化由所述多重图案化工艺引发的几何误差的一或多个参数值。在一些实例中,测量主、多重图案化目标且通过信号响应度量SRM测量模型从所述测量数据直接确定所关注参数的值。在一些其它实例中,测量主、多重图案化目标及辅助目标且通过信号响应度量SRM测量模型从所述测量数据直接确定所关注参数的值。在一些其它实例中,在不同过程步骤处测量主、多重图案化目标且通过信号响应度量SRM测量模型从所述测量数据直接确定所关注参数的值。
Description
相关申请案的交叉参考
本专利申请案根据35U.S.C.§119规定主张在2014年10月16日申请的题为“多重图案化工艺的度量(Metrology of Multi-Patterning Processes)”的第62/064,973号美国临时专利申请案的优先权,所述临时专利申请案的标的物的全部内容以引用的方式并入本文中。
技术领域
所描述的实施例涉及度量系统及方法,且更特定地说,涉及用于特征化通过多重图案化工艺产生的结构尺寸的参数的改进测量的方法及系统。
背景技术
通常通过应用于样品的序列处理步骤制造半导体装置(例如逻辑装置及存储器装置)。通过此类处理步骤形成半导体装置的各种特征及多个结构层级。举例来说,光刻尤其是涉及产生半导体晶片上的图案的一个半导体制造工艺。半导体制造工艺的额外实例包含(但不限于)化学机械抛光、蚀刻、沉积及离子植入。多个半导体装置可在单个半导体晶片上制造,且接着分离成个别半导体装置。
针对给定光刻系统,多重图案化技术现普遍用来增大经印刷到半导体晶片上的特征的分辨率。图1A到1D描绘通常称为光刻-蚀刻-光刻-蚀刻(litho-etch-litho-etch(LELE))工艺的双重图案化光刻(DPL)技术。图1A描绘硅基底层10、界面层(例如二氧化硅)、装置层12、硬掩模层13、牺牲层14及源自光刻图案化步骤的经图案化抗蚀剂层15。接着,图1A中所描绘的结构经受导致图1B中所说明的结构的曝光及蚀刻步骤。在此结构中,抗蚀剂层15的图案已有效转印到硬掩模层13。牺牲层14及经图案化抗蚀剂层15两者均已移除。数个沉积及光刻步骤用来实现图1C中所说明的结构。图1C说明组建于硬掩模层13的顶部上的另一牺牲层16及经图案化抗蚀剂层17。经图案化抗蚀剂层17包含具有与第一经图案化抗蚀剂层15相同的间距以及与经蚀刻到硬掩模层13中的图案相同的间距的图案。然而,经图案化抗蚀剂层17从硬掩模层13的图案偏移经图案化抗蚀剂层17的间距的一半。接着,图1C中所描绘的结构经受导致图1D中所说明的结构的曝光及蚀刻步骤。在此结构中,抗蚀剂层17的图案已有效转印到硬掩模层13。牺牲层16及经图案化抗蚀剂层17两者均已移除。图1D说明经蚀刻到硬掩模13中的图案,所述图案为通过光刻系统的掩模产生的经图案化抗蚀剂层15及17的间距的两倍。
图1D还描绘非优化DPL工艺的效应。理想地,经双重图案化结构的标称间距应为恒定值P。然而,归因于DPL工艺中的缺陷,所得结构的间距可归因于光栅非均匀性而取决于位置变化。此通常称为“间距偏差(pitch walk)”。从标称间距P的变动在图1D中描绘为ΔP。在另一实例中,每一所得结构的临界尺寸应为相同标称值CD。然而,归因于DPL工艺中的缺陷,所得结构的临界尺寸(例如,中间临界尺寸、底部临界尺寸等等)可取决于位置而变化。从临界尺寸CD的变动在图1D中描绘为ΔCD。
间距偏差及ΔCD是通过DPL工艺中的缺陷(例如两个光刻层之间的未对准、光刻工艺的焦点及曝光中的不均匀性、掩模图案误差等等)引发的示范性几何误差。间距偏差及ΔCD两者均引入大于预期的单元胞元。尽管特定描述间距偏差及ΔCD,但可预期其它多重图案化误差。
尽管参考图1A到1D描述LELE工艺,但可预期引发类似误差的许多其它多重图案化工艺(例如,光刻-光刻-蚀刻(litho-litho-etch)、间隔件界定的双重图案化(spacerdefined double patterning)等等)。类似地,尽管参考图1A到1D描述双重图案化工艺,但在较高阶图案化工艺(例如四重图案化)中出现类似误差。通常,误差(例如间距偏差及ΔCD)在源自较高阶图案化工艺的结构中更明显。
在半导体制造工艺期间,在各种步骤处使用度量过程来检测晶片上缺陷以促进更高成品率。光学度量技术提供高处理能力测量的可能而无样本破坏的风险。包含散射测量及反射测量实施方案及相关联分析算法的数个基于光学度量技术通常用于特征化纳米级结构的临界尺寸、薄膜厚度、组成物及其它参数。
采用基于物理、模型的测量的光学度量技术通常需要图案化结构的参数化、几何模型。实例参数包含临界尺寸、间距偏差或其它所关注参数。另外,需要光学系统与受测量结构之间的交互的准确电磁模型来模拟测量期间产生的信号。应用模拟信号对测量信号的非线性回归来确定建模结构的参数。此方法需要结构及材料性质的准确建模。此类模型需要长时间段来组装、计算且实现测量结果。通常,测量过程遭受对临界参数的弱敏感性,且在一些情况中,基于物理模型的测量技术导致低敏感性及不良精确度。经测量光学信号缺少对这些临界参数的敏感性使得极难监测且控制图案化工艺。
CD-SEM测量技术利用聚焦电子束来扫描图案化结构的表面。基于通过CD-SEM测量产生的图像来计算所关注参数。尽管CD-SEM能够进行高分辨率测量,但所述技术受制于低处理能量及差精确度。这些限制使CD-SEM无法用作高处理能量度量工具来特征化多重图案化结构。
归因于越加小的分辨率需求、多参数相关性、越来越复杂的几何结构及不透明材料的日趋使用,涉及通过多重图案化工艺产生的结构的测量的度量应用面临挑战。因此,期望用于改进测量的方法及系统。
发明内容
提出用于评估多重图案化工艺的性能的方法及系统。更特定来说,测量通过多重图案化工艺产生的几何结构,且根据本文描述的方法及系统确定特征化由所述多重图案化工艺引发的几何误差的一或多个参数值。
在一个方面中,基于信号响应度量(SRM)技术执行通过多重图案化工艺产生的结构的测量。基于与目标结构的测量相关联的光学测量数据(例如,光频谱数据)公式化且训练SRM测量模型。与这些目标结构相关联的所关注参数具有通过参考度量系统确定的已知值。所述经训练的SRM测量模型提供使通过所述光学测量工具收集的光学测量数据与所述图案化结构的参数值直接相关的转移函数。以此方式,所述经训练的SRM测量模型能够执行通过多重图案化工艺形成的结构的线内光学度量。
在进一步方面中,仅基于从包含多重图案化度量目标的测量位点收集的原始测量数据产生所述SRM测量模型。机器学习、特征提取及其它技术用来建立直接输入输出模型(即,转移函数),其涉及一或多个多重图案化目标的DOE光谱及所述所关注参数的对应参考测量。在一些实施例中,多重图案化度量目标的所述训练集包含标称上相同的目标(即,因工艺变量而与彼此不同的所述目标)。在一些实施例中,出于模型训练的目的有意放大影响所述所关注参数的所述工艺变化。
在另一进一步方面中,对来自经集成到多目标集中的多个目标的测量信号训练SRM测量模型。此方法使临界参数与彼此解相关且与其它工艺变化解相关。
在又一进一步方面中,基于在多重图案化工艺的多个步骤处的度量目标的测量训练且使用SRM测量模型。来自一或多个先前过程步骤的经测量光谱经前馈用于训练且使用与所述主目标相关联的所述SRM测量模型。此方法还使临界参数与彼此解相关且与其它工艺变化解相关。
在又一方面中,本文描述的测量模型结果用于提供主动反馈到工艺工具(例如,光刻工具、蚀刻工具、沉积工具等等)。举例来说,使用本文描述的方法确定的结构参数值可经传送到光刻工具以调整所述光刻系统以实现所需输出。以类似方式,蚀刻参数(例如,蚀刻时间、扩散率等等)或沉积参数(例如,时间、浓度等等)可包含于测量模型中以将主动反馈分别提供到蚀刻工具或沉积工具。
前文是发明内容且因此必要地含有细节的简化、一般化及省略;因此,所属领域的技术人员将了解发明内容仅是说明性的且不以任何方式限制。将在本文提出的非限制性实施方式中了解本文描述的所述装置及/或过程的其它方面、发明特征及优点。
附图说明
图1A到1D描绘通常称为光刻-蚀刻-光刻-蚀刻(LELE)工艺的双重图案化光刻(DPL)技术的经选择步骤。
图2描绘使用自对准四重图案化(SAQP)工艺构造的半导体结构100。
图3描绘半导体晶片110,其具有定位于晶片表面上方的各种测量位点处的数个度量目标。
图4说明表明使用根据本文所描述的方法200训练的SRM模型直接从经测量光谱测量CD2的结果的标绘图130。
图5说明表明使用经训练SRM模型的CD2测量中的变量的标绘图140。
图6说明表明使用根据本文所描述的方法200训练的SRM模型直接从经测量光谱测量间距偏差的结果的标绘图150。
图7说明表明使用经训练SRM模型的间距偏差测量中的变化的标绘图160。
图8说明表明使用根据本文所描述的方法200训练的SRM模型直接从辅助目标及主目标100的经测量光谱测量CD1的结果的标绘图170。
图9说明表明使用经训练SRM模型的CD1测量中的变化的标绘图180。
图10A描绘在图2中所描绘的“最终蚀刻之前”步骤之前的SADP工艺中的步骤的模型。
图10B描绘基于两个不同参考测量数据集使用不同测量技术的针对不同参数的测量结果的图表195。
图11是说明公式化且训练有助于确定特征化由多重图案化工艺引发的几何误差的一或多个参数值的SRM测量模型的方法200的流程图。
图12是说明确定特征化由多重图案化工艺引发的几何误差的一或多个参数值的方法250的流程图。
图13说明用于根据本文呈现的示范性方法测量样品的特性的系统300。
具体实施方式
现将详细参考本发明的背景实例及一些实施例,其实例在附图中说明。
提出用于评估多重图案化工艺的性能的方法及系统。更特定地说,根据本文描述的方法及系统,测量通过多重图案化工艺产生的几何结构,且确定特征化由多重图案化工艺引发的几何误差的一或多个参数值。
在一个方面中,基于信号响应度量(SRM)技术执行通过多重图案化工艺形成的结构的测量。基于与目标结构的测量相关联的光学测量数据(例如,光谱数据)公式化且训练SRM测量模型。与这些目标结构相关联的所关注参数具有通过参考度量系统确定的已知值。经训练的SRM测量模型提供使通过光学测量工具收集的光学测量数据与图案化结构的参数值直接相关的转移函数。以此方式,经训练的SRM测量模型能够执行通过多重图案化工艺形成的结构的线内光学度量。
图2描绘使用自对准四重图案化(SAQP)工艺构造的半导体结构100。图2说明最终蚀刻之前的过程步骤。半导体结构100包含衬底层101、氧化物层102、氮化物层103、氧化物层104及若干氮化物间隔件结构105A到105E。每一间隔件具有一定高度及厚度。这些结构的间距及厚度的变化导致若干临界参数值的变化。举例来说,图2中所描绘的临界尺寸参数CD1、CD2及CD3由于其对鳍形成过程的影响及最后对最终结构的性能的影响而频繁受监测。另外,间距偏差是测量及控制两者的重要参数。间距偏差通过方程式(1)而与CD1及CD3相关。
在一个进一步方面中,仅基于从包含多重图案化度量目标(模拟的或实际的)的测量位点收集的原始测量数据(例如,模拟光谱或从实验设计(DOE)晶片收集的光谱)产生SRM测量模型。机器学习、特征提取及其它技术用来建立直接输入输出模型(即,转移函数),其涉及一或多个多重图案化目标的DOE光谱及所关注参数的对应参考测量。在一些实施例中,多重图案化度量目标的所述训练集包含标称上相同的目标(即,因工艺变化而与彼此不同的所述目标)。在一些实施例中,出于模型训练的目的有意放大影响所关注参数的工艺变化。
在一个实例中,转移函数使散射测量信号及图2中描绘的SAQP目标100的CD2的对应CD-SEM测量相关。针对所关注的每一参数产生SRM模型,且所述模型用于执行对其它测量位点的后续测量。
图11说明适用于通过度量系统(例如本发明的图13中说明的度量系统300)的实施方案的方法200。在一个方面中,应理解,可经由通过计算系统330(或任何其它通用计算系统)的一或多个处理器执行的预编程算法来执行方法200的数据处理框。本文中应认识到,度量系统300的特定结构方面并不表示限制且应解释为仅是说明性的。
在框201中,由计算系统(例如,计算系统330)接收与多个测量位点的测量相关联的测量数据量。多个测量位点中的每一者包含以通过多重图案化工艺的至少两个图案化步骤产生的至少一个所关注参数为特征的经多重图案化度量目标。在多个测量位点中的每一者处已知所关注(若干)参数的值。
出于模型训练的目的,可从具有设计参数(例如,结构或工艺参数)中的已知扰动的任何位置获取测量数据。这些位置(例如)可处于切割道中、装置上,或可在晶片上(例如)其它位置处(其中光刻曝光条件或光罩设计特性在一系列值之间变化)。在另一实例中,可从不同装置位置(例如,具有密集特征的位置及具有隔离特征的位置,或掩模上具有两个不同CD的位置)获取测量数据。一般来说,从以已知方式扰动的不同位置获取测量数据。可从掩模数据、设备数据采集(EDA)数据、工艺数据等等知晓所述扰动。
在一个实例中,焦点、曝光及覆盖中的任一者跨所述装置或所述晶片有系统地变化。在另一实例中,随机焦点及曝光矩阵(FEM)用来减少与底层参数的相关,如在艾兹克森(Izikson)等人的第8,142,966号美国专利案中描述,所述专利案的全部内容以引用的方式并入本文中。
在优选实施例中,在实际DOE晶片的制造中实施系统变化集。后续测量DOE晶片以产生在框201中接收的测量数据。经制造晶片包含无法轻易通过模拟建模的系统误差。举例来说,通过真实晶片的测量更准确捕获底层的效应。可通过针对固定底层条件在制造期间修改工艺参数(例如,焦点及曝光变量)而将底层比重与测量响应解相关。在另一实例中,通过从具有变化顶层的形貌及恒定的底层条件的特征获得多个数据集而减轻底层比重。在一个实例中,顶层可包含周期性结构且底层可为非周期的。
可选择测量位置以增大测量敏感性。在一个实例中,在线端处执行的测量对焦点改变最敏感。一般来说,应在对待测量的参数的改变最敏感的结构处进行测量。
尽管优选执行DOE晶片的实际测量,但在一些其它实施例中,可模拟针对不同、已知结构参数值的DOE晶片的测量响应。在这些实例中,合成地产生框201中接收的测量数据。举例来说,可采用工艺模拟器,例如可从美国加利福尼亚州苗必达市的科磊公司(KLA-Tencor Corporation,Milpitas,California(USA))购得的正性抗蚀剂光刻(PositiveResist Optical Lithography(PROLITH))模拟软件。一般来说,在此专利文件的范围内,可预期任何工艺建模技术或工具(例如,可从美国北卡罗来纳州卡瑞的柯凡特有限公司(Coventor,Inc.(Cary,North Carolina,USA))购得的Coventor模拟软件)。
在一些实例中,测量数据包含在不同测量位点处获得的光谱范围内的两个椭偏参数(Ψ、Δ)。然而,一般来说,测量数据可为指示经图案化到半导体晶片的表面上的结构的结构或几何性质的任何测量数据。
在一些实例中,测量数据是与DOE晶片(例如,晶片110)的表面上的测量位点的测量的模拟相关联。举例来说,测量数据可包含相关联于与每一测量位点相关联的多重图案化度量目标的模拟光谱测量。
在一些其它实例中,测量数据是与DOE晶片(例如,晶片110)的表面上的测量位点的实际测量相关联。测量数据包含相关联于与每一测量位点相关联的多重图案化度量目标的实际光谱测量。
在一些实例中,测量数据与实验设计(DOE)晶片上多个测量位点的测量相关联,且通过在测量位点中的每一者处的参考测量系统测量特征化多重图案化度量目标的所关注(若干)参数。参考度量系统是受信任度量系统(例如扫描式电子显微镜(SEM)、穿隧式电子显微镜(TEM)、原子力显微镜(AFM))或x射线测量系统(例如能够准确地测量参数值的小角度X射线散射计(SAXS)或X射线荧光(XRF)系统)。然而,通常,参考度量系统一般缺少作为线内度量系统操作的能力,(例如)这是归因于针对个别位点的测量的低处理能力、高测量不确定性等等。
在一些实施例中,以半导体晶片(例如,DOE晶片)的表面上的实验设计(DOE)图案组织参数变化,(例如)如在本文中参考图3描述。以此方式,测量系统询问对应于不同结构参数值的晶片表面上的不同位置。在参考图3所描述的实例中,测量数据与使用CD1及CD2的已知变化处理的DOE晶片相关联。举例来说,通过在光刻期间改变剂量而改变CD1,且通过在第一蚀刻步骤期间改变蚀刻时间而改变CD2。CD3通过方程式(2)与CD1及CD2相关。
CD3=LithoPitch-4Tspacer-CD1-2CD2 (2)
其中LithoPitch是抗蚀剂光栅图案的预定间距,且Tspacer是间隔件厚度。尽管,在此实例中,改变剂量及蚀刻来产生所需参数变化,但一般来说,可预期与工艺参数(例如,光刻焦点、曝光量、及其它局部或全局参数)、结构参数或两者的任何已知变量相关联的测量数据。
图3描绘半导体晶片110,其具有定位于晶片表面上方的各种测量位点处的数个裸片(例如,裸片113)。在图3中描绘的实施例中,裸片经定位于以与所描绘的x及y坐标系112对准的矩形网格图案所布置的测量点处。每一裸片包含SAQP度量目标111。在图3中描绘的实施例中,每一多重图案化度量目标111包含源自第一图案化步骤的线集以及源自多重图案化工艺中的后续步骤的至少一或多个插入线集。因此,每一多重图案化度量目标包含具有间隔件结构(例如多重图案化单元胞元111)的重复图案的光栅结构。多重图案化单元胞元111的几何结构以CD1、CD2、CD3及间距偏差为特征,如参考图2描述。
晶片110包含具有不同、已知结构参数值的裸片阵列。因此,CD1及CD2取决于其在晶片110上的位置而具有不同已知值。以此方式,晶片110可视为实验设计(DOE)晶片。希望DOE晶片包含多重图案化度量目标的矩阵,其跨期望起因于下层工艺窗的结构参数值(例如,CD1及CD2)的完整范围。如在图3中描绘,针对不同裸片列(x方向上的列索引),CD1的值改变而CD2的值保持恒定。相反地,针对不同裸片行(y方向上的行索引),CD1的值保持恒定而CD2的值改变。以此方式,晶片110包含裸片矩阵,其包含取决于其在矩阵中的位置的CD1及CD2的不同值。此外,CD1及CD2的值在期望起因于工艺窗的CD1及CD2的值之间变化。
在框202中,通过缩减测量数据的维度而提取测量数据的一或多个特征。尽管此框是任选的,但当采用此框时,至少部分基于一或多个经提取特征确定SRM测量模型。
一般来说,可通过数种已知方法(包含主成分分析、非线性主成分分析、从第二测量数据量的个别信号选择及第二测量数据量的筛选)缩减测量数据的维度。
在一些实例中,使用主成分分析(PCA)、非线性PCA、核心PCA、独立成分分析(ICA)、快速傅立叶变换分析(FFT)、离散余弦变换分析(DCT)或这些技术的组合来分析测量数据以提取最有力反映在不同测量位点处存在的工艺参数、结构参数或两者中的变化的特征。在一些其它实例中,可应用信号筛选技术以提取最有力反映在不同测量位点处存在的参数变化的信号数据。在一些其它实例中,可从测量数据中存在的多个信号选择最有力反映在不同测量位点存在的参数变量的个别信号。尽管优选从测量数据提取特征以缩减经受后续分析的数据的维度,但其非完全必要的。在此意义上,框202是任选的。
在框203中,至少部分基于测量数据确定SRM测量模型。
基于从测量数据提取的特征或替代地直接从测量数据确定SRM测量模型。经训练SRM测量模型经结构化以接收由度量系统在一或多个测量位点处产生的测量数据,且直接确定与每一测量目标相关联的结构参数值。在优选实施例中,SRM测量模型经实施为神经网络模型。在一个实例中,基于从测量数据提取的特征选择神经网络的节点数目。在其它实例中,SRM测量模型可实施为线性模型、多项式模型、响应表面模型、决策树模型、随机森林模型、支持向量机模型或其它类型的模型。
在框204中,基于所关注参数的已知值训练SRM测量模型。在一些实例中,使用DOE测量数据及已知参数值来产生经训练的SRM测量模型。所述模型经训练使得其输出拟合由DOE光谱界定的工艺变化空间中的所有光谱的经界定预期响应。
在一些实例中,经训练的SRM模型用来直接从其它晶片(例如,产品晶片)的实际装置结构收集的测量数据(例如,光谱)计算结构参数值,如本文参考方法250描述。以此方式,仅需要从已知样本获取的光谱或合成地产生的光谱来产生测量模型且使用所述模型执行测量。以此方式公式化的SRM测量模型直接接收测量数据(例如,经测量光谱)作为输入且提供参数值作为输出,且因此为经训练的输入输出模型。
在潘德夫(Pandev)的第8,843,875号美国专利案、潘德夫等人的第2014/0297211号美国专利公开案、契科洛夫(Shchegrov)等人的第2014/0316730号美国专利公开案、第2014/0172394号美国专利公开案、潘德夫等人的第2014/0297211号美国专利公开案、潘德夫等人的第2015/0042984号美国专利公开案、潘德夫等人的第2015/0046118号美国专利公开案、潘德夫的第14/624485号美国专利公开案及第61/991857号美国临时专利公开案中描述与作为测量过程的部分的模型产生、训练及利用相关的额外细节,所述专利案中的每一者的全部内容以引用的方式并入本文中。
图12说明适用于通过度量系统(例如本发明的图13中说明的度量系统300)的实施方案的方法250。在一个方面中,应理解,可经由通过计算系统330(或任何其它通用计算系统)的一或多个处理器执行的预编程算法来执行方法250的数据处理框。本文中应认识到,度量系统300的特定结构方面并不表示限制且应解释为仅是说明性的。
在框251中,由计算系统(例如,计算系统330)接收与半导体晶片的表面上的多个位点的测量相关联的光学测量数据量。多个测量位点中的每一者包含以通过多重图案化工艺的至少两个图案化步骤产生的至少一个所关注参数为特征的经多重图案化度量目标。(若干)所关注参数指示由多重图案化工艺引发的几何误差。
在一个实例中,图2中描绘的目标结构100的结构参数CD1、CD2、CD3及间距偏差为所关注参数。作为非限制性实例提供这些参数。一般来说,许多其它结构参数(例如,侧壁角度、底部临界尺寸等等)可用来指示由多重图案化工艺引发的几何误差。
在一些实施例中,受测量产品晶片包含标称定值结构阵列。因此,不管在晶片上的位置如何,CD1、CD2、CD3及间距偏差均具有相同标称值。
在一些实例中,测量数据包含在不同测量位点处获得的光谱范围内的两个椭偏参数(Ψ、Δ)。测量数据包含相关联于与每一测量位点相关联的多重图案化度量目标的光谱测量。尽管在一些实例中,测量数据为光谱测量数据,但一般来说,所述测量数据可为指示经图案化到半导体晶片的表面上的结构的结构性质或几何性质的任何测量数据。
在框252中,基于测量数据及经训练SRM测量模型确定与多个测量位点中的每一者相关联的至少一个所关注参数的值。所关注参数的值指示由多重图案化工艺引发的几何误差。直接从经训练SRM测量模型计算所关注参数的值。
在框253中,将所关注参数的值存储于存储器(例如,存储器332)中。
图4说明表明使用根据本文所描述的方法200训练的SRM模型直接从经测量光谱测量CD2的结果的标绘图130。在此实例中,在其它几何参数值的变化存在的情况下,针对CD2的不同值合成地产生DOE光谱。在此实例中,CD2的标称值在5纳米的范围内变化。图4说明通过SRM测量模型针对给定测量光谱预测的CD2的值对用于产生合成光谱的实际CD2值的标绘图。如在图4中描绘,CD2的预测值与实际值之间的拟合相当接近。
图5说明表明使用经训练SRM模型的CD2测量的变化的标绘图140。在此实例中,在其它几何参数值的变量存在的情况下,针对CD2的相同值合成地产生DOE光谱。针对DOE光谱的每一集通过经训练SRM模型做出CD2的值的估计。图5说明针对每一DOE光谱通过SRM测量模型预测的CD2的值的标绘图。如在图5中描绘,使用约0.1纳米的精确度来测量CD2。
图6说明表明使用根据本文所描述的方法200训练的SRM模型直接从经测量光谱测量间距偏差的结果的标绘图150。在此实例中,与目标100的CD2相关联的相同测量光谱用于估计间距偏差。图6说明通过SRM测量模型针对给定测量光谱预测的间距偏差的值对用于产生合成光谱的实际间距偏差值的标绘图。如在图6中描绘,间距偏差的预测值与实际值之间的拟合相当接近。
图7说明表明使用经训练SRM模型的间距偏差测量中的变量的标绘图160。针对DOE光谱的每一集通过经训练SRM模型做出间距偏差的值的估计。图7说明针对每一DOE光谱通过SRM测量模型预测的间距偏差的值的标绘图。如在图7中描绘,使用约1.3纳米的变化来测量间距偏差。
归因于目标100中存在的结构对称性,无法单独直接根据从目标100导出的散射测量信号测量CD1。来自具有CD1的正值扰动(例如,CD1+x)的光栅结构的散射测量信号等同于来自具有CD1的负值扰动(例如,CD1-x)的光栅结构的散射测量信号。
在一个进一步方面中,SRM测量模型在来自经集成到一个多目标集中的多个目标的测量信号上训练且对来自相同多个目标的测量信号操作。此方法使临界参数与彼此解相关且与其它工艺变化解相关。
在一些实施例中,辅助目标经定位成紧接着主测量目标且经受相同工艺变化(例如,SAQP工艺变化)。在这些实施例中,度量目标的训练集包含主、标称定尺寸的目标及具有所关注参数的不同标称值的一或多个辅助目标。
在光刻工艺步骤期间形成辅助目标。在一些实例中,具有不同线对空间比及/或不同间距的掩模可用于产生辅助目标。优选尽可能将主目标及辅助目标定位成尽可能近地靠在一起以增强SRM测量模型的准确度。在一些实施例中,主度量目标及辅助度量目标两者在每一测量位点处定位成邻近彼此。通过将度量目标定位成靠在一起,简化用于链结两个度量目标的参数的假设较不可能引发明显误差。举例来说,只要两个度量目标定位成彼此接近,针对两个度量目标,底层的厚度极就可能为相同值。因此,针对相邻度量目标,底层的厚度可视为相同恒定值,而不引发明显误差。
使用辅助目标来训练且使用SRM测量模型类似于前文描述的单个目标方法。然而,另外,训练多目标SRM测量模型需要训练来自辅助目标及主度量目标的数据。类似地,使用多目标SRM测量模型需要来自辅助目标及主度量目标的测量数据。然而,应注意,由于并不关注与辅助目标相关联的特定参数值,所以仅需要从主目标收集用于训练的参考测量数据。
图8到9表明使用主度量目标100及两个辅助目标实现的CD1的测量的结果。在此实例中,除了在光栅图案的抗蚀剂宽度方面不同外,辅助目标与主度量目标相同。在此实例中,一个辅助目标的抗蚀剂宽度的差异导致与辅助目标相关联的CD1值比主目标的CD1值大2纳米。另外,另一辅助目标的抗蚀剂宽度的差异导致与辅助目标相关联的CD1值比主目标的CD1值小2纳米。
图8说明表明使用根据本文所描述的方法200训练的SRM模型直接从辅助目标及主目标100的经测量光谱测量CD1的结果的标绘图170。在此实例中,在其它几何参数值的变化存在的情况下,针对CD1的不同值合成地产生DOE光谱。在此实例中,CD1的标称值在5纳米的范围内变化。图8说明通过SRM测量模型针对给定测量光谱预测的CD1的值对用于产生合成光谱的实际CD1值的标绘图。如在图8中描绘,CD1的预测值与实际值之间的拟合相当接近。
图9说明表明使用经训练SRM模型的CD1测量中的变量的标绘图180。在此实例中,在其它几何参数值的变化存在的情况下,针对CD1的相同值合成地产生DOE光谱。针对DOE光谱的每一集通过经训练SRM模型做出CD1的值的估计。图9说明针对每一DOE光谱通过SRM测量模型预测的CD1的值的标绘图。如在图9中描绘,使用约0.1纳米的精确度来测量CD1。
在另一进一步方面中,基于来自在多重图案化工艺的多个步骤处的度量目标的测量信号训练且使用SRM测量模型。来自一或多个先前过程步骤的经测量光谱经前馈用于训练且使用与主目标相关联的SRM测量模型。此方法还使临界参数与彼此解相关且与其它工艺变化解相关。
此方法不需要实施额外辅助目标所需的额外晶片空间。然而,此方法要求在多个过程步骤处执行晶片测量。
使用在多个过程步骤处收集的测量数据来训练且使用SRM测量模型类似于前文描述的单个目标方法。然而,另外,训练SRM测量模型需要在两个不同过程步骤中的最小者处测量主目标。类似地,使用SRM测量模型在不同过程步骤处需要来自主目标的测量数据。然而,应注意,由于在此步骤处仅关注目标的特定参数值,所以仅需要在最近过程步骤处从主目标收集用于训练的参考测量数据。
图10A描绘在图2中所描绘的“最终蚀刻之前”步骤之前的SADP工艺中的步骤的模型。在此步骤处的测量数据含有关于CD1及CD2的信息,但不具有关于CD3的信息,这是因为尚未形成最终光栅。然而,在此步骤中,CD1到CD3的值已固定且归因于工艺对称性不会在工艺步骤的剩余部分期间改变。
图10B表明如本文描述应用光谱前馈的CD1及CD2的测量结果的精确度(分别标记为“CD1(SFF)”及“CD2(SFF)”)。
如本文描述,需要使用其它技术获得的参考测量来训练SRM模型。CD-SEM是因其高测量不确定性为人知的示范性测量技术。图8表明,由于针对典型训练数据集平均化参考测量误差,所以本文描述的测量技术对参考测量误差稳健。
图10B描绘基于两个不同参考测量数据集使用不同测量技术(即,单个目标、多目标模型(MTM)及光谱前馈(SFF))的不同参数(即,CD1、CD2及间距偏差)的测量结果的图表195。第一集采用合成参考测量训练数据而无噪声。在图10B中使用纯色图案说明所述结果。第二集采用包含0.25纳米、三西格玛(sigma)的测量信息的合成参考测量训练数据。在图10B中使用阴影图案说明所述结果。如在图10B中描绘,加入测量噪声对所得测量的精确度无明显影响。
尽管分开描绘使用多个目标及光谱前馈来增强SRM模型训练及使用,但可组合使用两种技术。在一个实例中,SRM模型经训练且应用以使用来自辅助目标的光谱及来自先前图案化步骤的光谱来执行测量。以此方式,训练光谱及测量光谱两者是通过相同工艺条件形成且在工艺的不同步骤处的不同目标的光谱的组合。
在本文中通过非限制性实例描述涉及SADP的特定实例。一般来说,本文描述的方法及系统可用来改进通过任何多重图案化技术(例如,自对准的双重、三重、四重、八重图案化、双重光刻双重蚀刻(LELE)图案化等等)产生的所关注参数的测量。
图13说明用于根据本文呈现的示范性方法测量样品的特性的系统300。如在图13中展示,系统300可用来执行样品301的一或多个结构的光谱椭圆偏振测量。在此方面中,系统300可包含装备有照明器302及光谱仪304的光谱椭圆偏振仪。系统300的照明器302经配置以产生经选择波长范围(例如,150nm到2000nm)的照明且将其引导到安置于样品301的表面上的结构。继而,光谱仪304经配置以接收从样品301的表面反射的照明。进一步应注意,使用偏光状态产生器307使从照明器302出现的光偏光以产生偏光照明光束306。通过安置于样品301上的结构反射的辐射行进通过偏光状态分析器309且到光谱仪304。相对于偏光状态分析在收集光束308中通过光谱仪304接收的辐射,而允许通过通过分析器的辐射的光谱仪的光谱分析。这些光谱311经传递到计算系统330以进行结构分析。
如在图13中描绘,系统300包含单个测量技术(即,SE)。然而,一般来说,系统300可包含任何数量的不同测量技术。作为非限制实例,系统300可经配置为光谱椭圆偏振测量仪(包含穆勒(Mueller)矩阵椭圆偏振测量)、光谱反射仪、光谱散射仪、覆盖散射仪、角度分辨式束廓反射仪、偏光分辨式束廓反射仪、束廓反射仪、束廓椭圆偏振测量仪、任何单个及多个波长椭圆偏振测量仪或任何其组合。此外,一般来说,可从多个工具而非集成多种技术的一个工具收集通过不同测量技术收集且根据本文描述的方法分析的测量数据。
在进一步实施例中,系统300可包含用来基于根据本文描述的方法开发的测量模型执行测量的一或多个计算系统330。一或多个计算系统330可经通信耦合到光谱仪304。在一个方面中,一或多个计算系统330经配置以接收与样品301的结构的测量相关联的测量数据311。
应认识到,可通过单个计算机系统330或替代地一多计算机系统330执行贯穿本发明所描述的各种步骤。此外,系统300的不同子系统(例如光谱椭圆偏振测量仪304)可包含适用于执行本文描述的步骤的至少一部分的计算机系统。因此,上述描述不应解释为限制本发明,而是仅说明本发明。此外,一或多个计算系统330可经配置以执行本文描述的方法实施例中的任一者的任何(若干)其它步骤。
另外,计算机系统330可以任何技术中已知的方式通信耦合到光谱仪304。举例来说,一或多个计算系统330可耦合到与光谱仪304相关联的计算系统。在另一实例中,可通过耦合到计算机系统330的单个计算机系统直接控制光谱仪304。
度量系统300的计算机系统330可经配置以通过可包含有线及/或无线部分的传输媒体接收及/或获取来自系统的子系统(例如,光谱仪304及类似物)的数据或信息。以此方式,传输媒体可充当计算机系统330与系统300的其它子系统之间的数据链路。
集成式度量系统300的计算机系统330可经配置以通过可包含有线及/或无线部分的传输媒体接收及/或获取来自其它系统的数据或信息(例如,测量结果、建模输入、建模结果等等)。以此方式,传输媒体可充当计算机系统330与其它系统(例如,存储器板上度量系统300、外部存储器、参考测量源320或其它外部系统)之间的数据链路。举例来说,计算系统330可经配置以经由数据链路接收来自存储媒体(即,存储器332或外部存储器)的测量数据。举例来说,使用光谱仪304获得的光谱结果可存储于永久或半永久存储器装置(例如,存储器332或外部存储器)中。就此而言,可从板上存储器或从外部存储器系统汇入光谱结果。此外,计算机系统330可经由传输媒体发送数据到其它系统。举例来说,组合测量模型或通过计算机系统330确定的结构参数值340可经传送且存储于外部存储器中。就此而言,测量结果可导出到另一系统。
计算系统330可包含但不限于个人计算机系统、主计算机系统、工作站、图像计算机、并行处理器,或技术中已知的任何其它装置。一般来说,术语“计算系统”可经广泛定义以涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
可在传输媒体(例如电线、缆线或无线传输链路)上传输实施方法(例如本文描述的所述方法)的程序指令334。举例来说,如在图13中说明,存储于存储器332中的程序指令334在总线333上传输到处理器331。程序指令334存储于计算机可读媒体(例如,存储器332)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或磁带。
在一些实施例中,照明光及从照明测量位点收集的光包含多个不同波长。在一些实施例中,从经照明测量位点按多个不同收集角度收集所述光。通过检测按多个波长及收集角度的光,改进对间距偏差及临界尺寸(例如,CD)中的变化的敏感性。在一些实施例中,从经照明测量位点按多个不同方位角收集所述光。这些平面外测量还可改进对间距偏差及临界尺寸的变化的敏感性。在一些实施例中,针对系统设定的特定集(例如,光谱或角度分辨式系统、一或多个方位角、一或多个波长及其任何组合)优化光学测量数据的收集。
在一些实例中,与用于模型建立、训练及测量的多个目标相关联的测量数据的使用消除(或显著降低)测量结果中的底层的效应。在一个实例中,来自两个目标的测量信号经减去以消除(或显著减少)每一测量结果中底层的效应。与多个目标相关联的测量数据的使用增加嵌入模型中的样本及工艺信息。特定来说,使用包含在一或多个测量位点处的多个不同目标的测量的训练数据实现更准确的测量。
在一个实例中,针对隔离目标及密集目标两者的DOE晶片的光谱测量产生测量模型。接着,基于光谱测量数据及已知结构参考值训练测量模型。所得经训练测量模型后续用来计算样本晶片上的隔离目标及密集目标两者的结构参数值。以此方式,每一参数具有其自身的经训练模型,其从与隔离目标及密集目标两者相关联的经测量光谱(或经提取特征)计算参数值。
在另一进一步方面中,针对模型建立、训练及测量收集来源于通过多个不同测量技术的组合执行的测量的测量数据。与多个不同测量技术相关联的测量数据的使用增加嵌入模型中的样本及工艺信息且实现更准确的测量。测量数据可从通过多个、不同测量技术的任何组合执行的测量导出。以此方式,可通过多个、不同测量技术测量不同测量位点以增强可用于特征化半导体结构的测量信息。
一般来说,在此专利文件的范围内,可预期任何测量技术或两个或两个以上测量技术的组合。示范性测量技术包含但不限于光谱椭圆偏振测量(包含穆勒矩阵椭圆偏振测量)、光谱反射测量、光谱散射测量、散射测量覆盖、束廓反射测量(角分辨及偏光分辨两者)、束廓椭圆偏振测量、单个及多个离散波长椭圆偏振测量、发射小角度x射线散射计(TSAXS)、小角度x射线散射(SAXS)、掠入射小角度x射线散射(GISAXS)、广角度x射线散射(WAXS)、x射线反射率(XRR)、x射线绕射率(XRD)、掠入射x射线绕射(GIXRD)、高分辨率x射线绕射(HRXRD)、x射线光电光谱(XPS)、x射线荧光(XRF)、掠入射x射线荧光(GIXRF)、低能量电子引发式x射线发射散射测量(LEXES)、x射线断层扫描及x射线椭圆偏振测量。一般来说,可预期可用于半导体结构的特征化的任何度量技术(包含基于图像的度量技术)。额外传感器选项包含电子传感器(例如非接触式电容/电压或电流/电压传感器),其对装置加偏压且使用光学传感器(或辅助光学技术,例如XRD、XRF、XPS、LEXES、SAXS及泵探测技术)检测所得偏压(或相反)。在一项实施例一个实施例中,二维束廓反射仪(光瞳成像器)可用来收集具有小光点大小的角度分辨式及/或多光谱数据。UV Linnik干涉仪还可用作穆勒矩阵光谱光瞳成像器。
在另一实例中,本文描述的方法及系统可用于覆盖度量。光栅测量尤其与覆盖测量相关。覆盖度量的目标是确定不同光刻曝光步骤之间的偏移。归因于装置上结构的小尺寸(且通常为小覆盖值),装置上执行覆盖度量是困难的。
举例来说,典型切割道覆盖度量结构的间距从200纳米变化到2,000纳米。但是,装置上、覆盖度量结构的间距通常为100纳米或更小。另外,在标称生产环境中,装置覆盖仅是装置结构的周期性的小分率。相比而言,用于散射测量覆盖中的替代度量结构频繁偏移较大值(例如,四分之一间距)以增强对覆盖的信号敏感度。
在这些条件下,使用具有对小偏移、小间距覆盖的足够敏感度的传感器架构执行覆盖度量。本文描述的方法及系统可用来基于装置上结构、替代结构或两者获得对覆盖敏感的测量信号。
在获取后,分析经测量信号以基于测量信号的变化确定覆盖误差。在一个进一步方面中,使用PCA分析光谱或角度分辨式数据,且训练覆盖模型以基于在经测量信号中检测的主成分确定覆盖。在一个实例中,覆盖模型是神经网络模型。在此意义中,覆盖模型并非参数模型,且因此不受通过不准确建模假设引入的误差影响。
在一些实施例中,训练覆盖度量模型是基于标称上等同于装置特征但具有较大偏移的专用度量结构的测量。这可有助于克服敏感度问题。这些偏移可由在待在主掩模设计期间测量的两个层中的特征之间引入的固定设计偏移引入。所述偏移还可由光刻曝光中的偏移引入。通过使用多个、偏移目标(例如,间距/4及-间距/4)从压缩信号(例如,PCA信号)更有效提取覆盖误差,且还可降低底层的效应。
一般来说,可将本文呈现的用于执行半导体度量的方法及系统直接应用于实际装置结构或定位于裸片中或切割线内的专用度量目标(例如,代理结构)。
在又一方面中,本文描述的测量技术可用于提供主动反馈到工艺工具(例如,光刻工具、蚀刻工具、沉积工具等等)。举例来说,使用本文描述的所述方法确定的结构参数值可经传送到光刻工具以调整光刻系统实现所需输出。以类似方式,蚀刻参数(例如,蚀刻时间、扩散率等等)或沉积参数(例如,时间、浓度等等)可包含于测量模型中以将主动反馈分别提供到蚀刻工具或沉积工具。
一般来说,本文描述的系统及方法可经实施为专用度量工具的部分,或替代地实施为工艺工具(例如,光刻工具、蚀刻工具等等)的部分。
如本文描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角度、光栅高度等等)、在任何两个或两个以上结构之间的临界尺寸(例如,在两个结构之间的距离)及在两个或两个以上结构之间的位移(例如,覆盖光栅结构之间的覆盖位移等等)。结构可包含三维结构、经图案化结构、覆盖结构等等。
如本文描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文描述,术语“度量系统”包含至少部分用来特征化任何方面中的样品的任何系统,其包含测量应用,例如临界尺寸度量、覆盖度量、焦点/剂量度量及组成度量。然而,此类技术术语并不限制如本文描述的术语“度量系统”的范围。另外,度量系统300可经配置用于测量图案化晶片及/或未图案化晶片。度量系统可经配置为LED检验工具、边沿检验工具、背侧检验工具、宏观检验工具或多模检验工具(涉及同时来自一或多个平台的数据)及获益于基于临界尺寸数据校准系统参数的任何其它度量或检验工具。
本文针对可用于处理样品的半导体处理系统(例如,检测系统或光刻系统)描述各种实施例。术语“样品”在本文中用来指晶片、光罩或可通过技术中已知的方法处理(例如,印刷或检测缺陷)的任何其它样本。
如本文使用,术语“晶片”一般是指由半导体或非半导体材料形成的衬底。实例包含但不限于单晶硅、砷化镓及磷化铟。此类衬底普遍可在半导体制造设施中找到及/或处理。在一些情况中,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含在衬底上形成的不同材料的一或多个层。形成于晶片上的一或多个层可经“图案化”或“未图案化”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为在光罩制造工艺的任何阶段的光罩,或是可或不可经释离以用于半导体制造设施中的已完成光罩。光罩或“掩模”一般定义为基本上透明衬底,其具有形成于其上且经配置于图案中的基本上不透明区。衬底可包含(例如)玻璃材料,例如非晶SiO2。光罩可在光刻工艺的曝光步骤期间安置于抗蚀剂覆盖的晶片上方,使得光罩上的图案可经转印到抗蚀剂。
形成于晶片上的一或多个层可经图案化或未图案化。举例来说,晶片可包含多个裸片,其各自具有可重复图案特征。此类材料层的形成及处理可最终导致完成的装置。许多不同类型的装置可形成于晶片上,且如本文使用的术语晶片意在涵盖其上制造技术已知的任何类型装置的晶片。
在一或多个示范性实施例中,在硬件、软件、固件或其任何组合中实施所描述的功能。如果实施于软件中,那么所述功能可作为计算机可读媒体上的一或多个指令存储或传输。计算机可读媒体包含计算机存储媒体及通信媒体,通信媒体包含促进计算机程序从一个位置传送到另一位置的任何媒体。存储媒体可为可通过通用或专用计算机存取的任何可用媒体。通过实例但非限制,此计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储、磁盘存储或其它磁性存储装置或可用于携载或存储具有指令或数据结构形式的所需程序代码构件且可通过通用或专用计算机或通用或专用处理器存取的任何其它媒体。而且,任何连接适当地被称为计算机可读媒体。举例来说,如果使用同轴电缆、光纤电缆、双绞线、数字用户线(DSL)或例如红外线、无线电及微波的无线技术从网站、服务器或其它远程来源传输软件,那么同轴电缆、光纤电缆、双绞线、DSL或例如红外线、无线电及微波的无线技术包含于媒体的定义中。如在本文中使用的磁盘及光盘包含压缩光盘(CD)、激光光盘、光盘、数字多用途光盘(DVD)、软盘及蓝光光盘,其中磁盘通常磁性地复制数据,而光盘使用激光光学地复制数据。上文的组合还应包含于计算机可读媒体的范围内。
尽管上文为教学目的描述特定实施例,但此专利文件的教示具有一般适用性且不限于上文描述的特定实施例。因此,可在不脱离如在权利要求书中提及的本发明的范围的情况下实践所描述的实施例的各种特征的各种修改、调适及组合。
Claims (26)
1.一种度量方法,其包括:
为半导体晶片上的第一多个测量位点提供第一照明光量,其中所述第一多个测量位点中的每一者包含至少一个多重图案化度量目标,所述多重图案化度量目标以由多重图案化工艺中的至少两个图案化步骤产生的至少一个所关注参数为特征,其中通过所述多重图案化工艺制造的所述至少一个多重图案化度量目标中的间距是被用在所述多重图案化工艺中的光刻系统的光罩的多个间距,其中所述至少一个所关注参数指示由所述多重图案化工艺导致的几何误差,其中位于一或多个所述第一多个测量位点处的至少一个所述多重图案化度量目标不同于至少一个另一所述多重图案化度量目标;
响应于提供到所述半导体晶片上的所述第一多个测量位点中的每一者的所述第一照明光量而检测来自所述第一多个测量位点中的每一者的光量,经检测光量包括第一光学测量数据量;
接收与所述半导体晶片上的所述第一多个测量位点的测量相关联的所述第一光学测量数据量;
基于所述第一光学测量数据量及信号响应度量SRM模型确定与所述第一多个测量位点中的每一者相关联的所述至少一个所关注参数的值,其中所述SRM模型是将所接收光学测量数据与所述至少一个所关注参数的值直接相关的输入输出模型测量模型;及
将所述至少一个所关注参数的所述值存储于存储器中。
2.根据权利要求1所述的方法,其进一步包括:
接收与第二多个测量位点相关联的第二测量数据量,其中所述第二多个测量位点中的每一者包含以通过多重图案化工艺的至少两个图案化步骤产生的至少一个所关注参数为特征的多重图案化度量目标,其中在所述第二多个测量位点中的每一者处已知特征化所述多重图案化度量目标的所述至少一个所关注参数;
至少部分基于所述第二测量数据量确定所述SRM测量模型;及
至少部分基于所述至少一个所关注参数的已知值训练所述SRM测量模型。
3.根据权利要求2所述的方法,其中所述第二测量数据量与实验设计DOE晶片上的所述第二多个测量位点的测量相关联,且在所述第二多个测量位点中的每一者处通过参考测量系统测量特征化所述多重图案化度量目标的所述至少一个所关注参数。
4.根据权利要求2所述的方法,其中模拟所述第二测量数据量及在所述第二多个测量位点中的每一者处特征化所述多重图案化度量目标的所述至少一个所关注参数。
5.根据权利要求2所述的方法,进一步包括:
通过缩减所述第二测量数据量的维度而提取所述第二测量数据量的一或多个特征,且其中确定所述SRM测量模型是至少部分基于所述一或多个特征。
6.根据权利要求5所述的方法,其中缩减所述第二测量数据量的所述维度涉及主成分分析。
7.根据权利要求5所述的方法,其中缩减所述第二测量数据量的所述维度涉及从所述第二测量数据量的个别信号选择。
8.根据权利要求5所述的方法,其中缩减所述第二测量数据量的所述维度涉及非线性主成分分析。
9.根据权利要求5所述的方法,其中缩减所述第二测量数据量的所述维度涉及所述第二测量数据量的筛选。
10.根据权利要求1所述的方法,其中所述SRM测量模型是多目标模型。
11.根据权利要求10所述的方法,其中所述第一光学测量数据量包含标称度量目标及至少一个辅助度量目标的测量,其中所述标称度量目标及所述至少一个辅助度量目标两者各自以通过多重图案化工艺的至少两个图案化步骤产生的至少一个所关注参数为特征。
12.根据权利要求11所述的方法,其中所述至少一个辅助度量目标的所关注参数的值不同于所述标称度量目标的所述所关注参数的值。
13.根据权利要求1所述的方法,其中所述第一光学测量数据量包含在所述多重图案化工艺的不同过程步骤处的标称度量目标的测量。
14.一种度量系统,其包括:
度量工具,其包含;
照明源,其为半导体晶片上的第一多个测量位点提供第一照明光量,其中所述第一多个测量位点中的每一者包含至少一个多重图案化度量目标,所述多重图案化度量目标以由多重图案化工艺中的至少两个图案化步骤产生的至少一个所关注参数为特征,其中所述至少一个所关注参数指示由所述多重图案化工艺导致的几何误差,其中位于一或多个所述第一多个测量位点处的至少一个所述多重图案化度量目标不同于至少一个另一所述多重图案化度量目标;及
检测器,其响应于提供到所述半导体晶片上的所述第一多个测量位点中的每一者的所述第一照明光量而检测来自所述第一多个测量位点中的每一者的光量,经检测光量包括第一光学测量数据量;及
计算系统,其经配置以:
接收与所述半导体晶片上的所述第一多个测量位点的测量相关联的所述第一光学测量数据量;
基于所述第一光学测量数据量及信号响应度量SRM模型确定与所述第一多个测量位点中的每一者相关联的所述至少一个所关注参数的值,其中所述SRM模型是将所接收光学测量数据与所述至少一个所关注参数的值直接相关的输入输出模型测量模型;及
将所述至少一个所关注参数的所述值存储于存储器中。
15.根据权利要求14所述的系统,其中所述计算系统经进一步配置以:
接收与第二多个测量位点相关联的第二测量数据量,其中所述第二多个测量位点中的每一者包含以通过多重图案化工艺的至少两个图案化步骤产生的至少一个所关注参数为特征的多重图案化度量目标,其中在所述第二多个测量位点中的每一者处已知特征化所述多重图案化度量目标的所述至少一个所关注参数;
至少部分基于所述第二测量数据量确定所述SRM测量模型;及
至少部分基于所述至少一个所关注参数的已知值训练所述SRM测量模型。
16.根据权利要求15所述的系统,其中所述计算系统经进一步配置以:
通过缩减所述第二测量数据量的维度而提取所述第二测量数据量的一或多个特征,且其中确定所述SRM测量模型是至少部分基于所述一或多个特征。
17.根据权利要求16所述的系统,其中缩减所述第二测量数据量的所述维度涉及主成分分析。
18.根据权利要求16所述的系统,其中缩减所述第二测量数据量的所述维度涉及从所述第二测量数据量的个别信号选择。
19.根据权利要求16所述的系统,其中缩减所述第二测量数据量的所述维度涉及非线性主成分分析。
20.根据权利要求16所述的系统,其中缩减所述第二测量数据量的所述维度涉及所述第二测量数据量的筛选。
21.根据权利要求14所述的系统,其中所述第一光学测量数据量包含标称度量目标及至少一个辅助度量目标的测量,其中所述标称度量目标及所述至少一个辅助度量目标两者各自以通过多重图案化工艺的至少两个图案化步骤产生的至少一个所关注参数为特征。
22.根据权利要求21所述的系统,其中所述至少一个辅助度量目标的所关注参数的值不同于所述标称度量目标的所述所关注参数的值。
23.根据权利要求14所述的系统,其中所述第一光学测量数据量包含在所述多重图案化工艺的不同过程步骤处的标称度量目标的测量。
24.根据权利要求14所述的系统,其中所述检测器经配置以多个波长、多个收集角度或多个波长及多个收集角度的组合从目标结构收集光。
25.一种度量系统,其包括:
照明器,其为半导体晶片上的第一多个测量位点提供第一照明光量,其中所述第一多个测量位点中的每一者包含至少一个多重图案化度量目标,所述多重图案化度量目标以由多重图案化工艺中的至少两个图案化步骤产生的至少一个所关注参数为特征,其中所述至少一个所关注参数指示由所述多重图案化工艺导致的几何误差,其中位于一或多个所述第一多个测量位点处的至少一个所述多重图案化度量目标不同于至少一个另一所述多重图案化度量目标;
检测器,其响应于提供到所述半导体晶片上的所述第一多个测量位点中的每一者的所述第一照明光量而检测来自所述第一多个测量位点中的每一者的光量,经检测光量包括第一光学测量数据量;及
非暂时性计算机可读媒体,其包括:
用于使计算机接收与所述半导体晶片上的所述第一多个测量位点的测量相关联的所述第一光学测量数据量的程序代码;
用于使所述计算机基于所述第一光学测量数据量及信号响应度量SRM模型确定与所述第一多个测量位点中的每一者相关联的所述至少一个所关注参数的值的程序代码,其中所述SRM模型是将所接收光学测量数据与所述至少一个所关注参数的值直接相关的输入输出模型测量模型;及
用于使所述计算机将所述至少一个所关注参数的所述值存储于存储器中的程序代码。
26.根据权利要求25所述的度量系统,所述非暂时性计算机可读媒体进一步包括:
用于使所述计算机接收与第二多个测量位点相关联第二测量数据量的程序代码,其中所述第二多个测量位点中的每一者包含以通过多重图案化工艺的至少两个图案化步骤产生的至少一个所关注参数为特征的多重图案化度量目标,其中在所述第二多个测量位点中的每一者处已知特征化所述多重图案化度量目标的所述至少一个所关注参数;
用于使所述计算机至少部分基于所述第二测量数据量确定所述SRM测量模型的程序代码;及
用于使所述计算机至少部分基于所述至少一个所关注参数的已知值训练所述SRM测量模型的程序代码。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201462064973P | 2014-10-16 | 2014-10-16 | |
US62/064,973 | 2014-10-16 | ||
US14/879,534 US10215559B2 (en) | 2014-10-16 | 2015-10-09 | Metrology of multiple patterning processes |
US14/879,534 | 2015-10-09 | ||
PCT/US2015/055521 WO2016061218A1 (en) | 2014-10-16 | 2015-10-14 | Metrology of multiple patterning processes |
Publications (2)
Publication Number | Publication Date |
---|---|
CN106796105A CN106796105A (zh) | 2017-05-31 |
CN106796105B true CN106796105B (zh) | 2020-01-31 |
Family
ID=55747266
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201580055340.7A Active CN106796105B (zh) | 2014-10-16 | 2015-10-14 | 多重图案化工艺的度量 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10215559B2 (zh) |
KR (1) | KR102245698B1 (zh) |
CN (1) | CN106796105B (zh) |
IL (1) | IL250089B (zh) |
TW (1) | TWI659483B (zh) |
WO (1) | WO2016061218A1 (zh) |
Families Citing this family (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2016207891A1 (en) * | 2015-06-22 | 2016-12-29 | Nova Measuring Instruments Ltd. | Method for use in process control of manufacture of patterned samples |
WO2017108404A1 (en) | 2015-12-23 | 2017-06-29 | Asml Netherlands B.V. | Metrology methods, metrology apparatus and device manufacturing method |
US10504759B2 (en) | 2016-04-04 | 2019-12-10 | Kla-Tencor Corporation | Semiconductor metrology with information from multiple processing steps |
CN110100174B (zh) * | 2016-10-20 | 2022-01-18 | 科磊股份有限公司 | 用于图案化晶片特性化的混合度量 |
US10732516B2 (en) * | 2017-03-01 | 2020-08-04 | Kla Tencor Corporation | Process robust overlay metrology based on optical scatterometry |
US10733744B2 (en) * | 2017-05-11 | 2020-08-04 | Kla-Tencor Corp. | Learning based approach for aligning images acquired with different modalities |
US10727142B2 (en) | 2017-05-30 | 2020-07-28 | Kla-Tencor Corporation | Process monitoring of deep structures with X-ray scatterometry |
US10983227B2 (en) | 2017-08-14 | 2021-04-20 | Kla-Tencor Corporation | On-device metrology using target decomposition |
US11248905B2 (en) * | 2017-08-16 | 2022-02-15 | Kla-Tencor Corporation | Machine learning in metrology measurements |
IL273294B2 (en) * | 2017-09-26 | 2023-11-01 | Nova Ltd | Metrology method and system |
EP3629088A1 (en) * | 2018-09-28 | 2020-04-01 | ASML Netherlands B.V. | Providing a trained neural network and determining a characteristic of a physical system |
US11328964B2 (en) * | 2018-12-13 | 2022-05-10 | Applied Materials, Inc. | Prescriptive analytics in highly collinear response space |
US11990380B2 (en) | 2019-04-19 | 2024-05-21 | Kla Corporation | Methods and systems for combining x-ray metrology data sets to improve parameter estimation |
KR20220024546A (ko) | 2019-06-26 | 2022-03-03 | 케이엘에이 코포레이션 | 반도체 디바이스의 제조에서 피드포워드 공정 제어를 위한 시스템 및 방법 |
US11003164B2 (en) * | 2019-08-30 | 2021-05-11 | Micron Technology, Inc. | Methods for aligning a physical layer to a pattern formed via multi-patterning, and associated systems |
CN111406198B (zh) | 2020-02-24 | 2021-02-19 | 长江存储科技有限责任公司 | 用于半导体芯片表面形貌计量的系统和方法 |
WO2021168613A1 (en) * | 2020-02-24 | 2021-09-02 | Yangtze Memory Technologies Co., Ltd. | Systems and methods for semiconductor chip surface topography metrology |
CN111386441B (zh) | 2020-02-24 | 2021-02-19 | 长江存储科技有限责任公司 | 用于半导体芯片表面形貌计量的系统 |
CN113008160B (zh) | 2020-02-24 | 2023-02-10 | 长江存储科技有限责任公司 | 用于半导体芯片表面形貌计量的系统和方法 |
KR102706530B1 (ko) * | 2021-10-14 | 2024-09-13 | 라이트비전 주식회사 | 공간군 추론이 용이한 분류 체계 시스템 및 이에 있어서 분류 체계 구축 방법 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2004088721A3 (en) * | 2003-03-25 | 2005-04-21 | Timbre Tech Inc | Optimized model and parameter selection for optical metrology |
CN101359611A (zh) * | 2007-07-30 | 2009-02-04 | 东京毅力科创株式会社 | 对光学计量系统的选定变量进行优化 |
CN101393015A (zh) * | 2008-10-17 | 2009-03-25 | 华中科技大学 | 一种微纳深沟槽结构在线测量方法及装置 |
Family Cites Families (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5608526A (en) | 1995-01-19 | 1997-03-04 | Tencor Instruments | Focused beam spectroscopic ellipsometry method and system |
US6734967B1 (en) | 1995-01-19 | 2004-05-11 | Kla-Tencor Technologies Corporation | Focused beam spectroscopic ellipsometry method and system |
US5922537A (en) * | 1996-11-08 | 1999-07-13 | N.o slashed.AB Immunoassay, Inc. | Nanoparticles biosensor |
US5859424A (en) | 1997-04-08 | 1999-01-12 | Kla-Tencor Corporation | Apodizing filter system useful for reducing spot size in optical measurements and other applications |
US6429943B1 (en) | 2000-03-29 | 2002-08-06 | Therma-Wave, Inc. | Critical dimension analysis with simultaneous multiple angle of incidence measurements |
US6950196B2 (en) | 2000-09-20 | 2005-09-27 | Kla-Tencor Technologies Corp. | Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen |
US6895075B2 (en) | 2003-02-12 | 2005-05-17 | Jordan Valley Applied Radiation Ltd. | X-ray reflectometry with small-angle scattering measurement |
US7280230B2 (en) | 2001-12-19 | 2007-10-09 | Kla-Tencor Technologies Corporation | Parametric profiling using optical spectroscopic systems |
US6816570B2 (en) | 2002-03-07 | 2004-11-09 | Kla-Tencor Corporation | Multi-technique thin film analysis tool |
JP2007505322A (ja) * | 2003-09-12 | 2007-03-08 | アクセント・オプティカル・テクノロジーズ・インコーポレイテッド | 線プロファイル非対称性測定 |
US7460223B2 (en) * | 2003-09-19 | 2008-12-02 | Applied Biosystems Inc. | Inverted orientation for a microplate |
US7695688B2 (en) * | 2003-09-19 | 2010-04-13 | Applied Biosystems, Llc | High density plate filler |
US7407630B2 (en) * | 2003-09-19 | 2008-08-05 | Applera Corporation | High density plate filler |
US7478019B2 (en) | 2005-01-26 | 2009-01-13 | Kla-Tencor Corporation | Multiple tool and structure analysis |
US7567351B2 (en) | 2006-02-02 | 2009-07-28 | Kla-Tencor Corporation | High resolution monitoring of CD variations |
JP4740826B2 (ja) * | 2006-02-23 | 2011-08-03 | 株式会社神戸製鋼所 | 形状測定装置、形状測定方法 |
JP4861893B2 (ja) * | 2006-07-28 | 2012-01-25 | 東京エレクトロン株式会社 | 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板の処理システム |
US7567353B2 (en) * | 2007-03-28 | 2009-07-28 | Tokyo Electron Limited | Automated process control using optical metrology and photoresist parameters |
US7616817B2 (en) * | 2007-04-12 | 2009-11-10 | The United States Of America As Represented By The Secretary Of The Navy | Three dimensional shape correlator |
NL1036468A1 (nl) * | 2008-02-27 | 2009-08-31 | Asml Netherlands Bv | Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method. |
US7742889B2 (en) * | 2008-03-27 | 2010-06-22 | Tokyo Electron Limited | Designing an optical metrology system optimized with signal criteria |
US20090296075A1 (en) | 2008-05-29 | 2009-12-03 | Nanometrics Incorporated | Imaging Diffraction Based Overlay |
US7929667B1 (en) | 2008-10-02 | 2011-04-19 | Kla-Tencor Corporation | High brightness X-ray metrology |
WO2012126684A1 (en) | 2011-03-24 | 2012-09-27 | Asml Netherlands B.V. | Substrate and patterning device for use in metrology, metrology method and device manufacturing method |
TWI641921B (zh) | 2011-08-01 | 2018-11-21 | 諾發測量儀器股份有限公司 | 用以檢驗圖案化結構量測的監測系統及方法 |
US9228943B2 (en) | 2011-10-27 | 2016-01-05 | Kla-Tencor Corporation | Dynamically adjustable semiconductor metrology system |
US20130158957A1 (en) | 2011-12-16 | 2013-06-20 | Lie-Quan Lee | Library generation with derivatives in optical metrology |
US9581430B2 (en) | 2012-10-19 | 2017-02-28 | Kla-Tencor Corporation | Phase characterization of targets |
US10769320B2 (en) * | 2012-12-18 | 2020-09-08 | Kla-Tencor Corporation | Integrated use of model-based metrology and a process model |
US9291554B2 (en) | 2013-02-05 | 2016-03-22 | Kla-Tencor Corporation | Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection |
US10101670B2 (en) | 2013-03-27 | 2018-10-16 | Kla-Tencor Corporation | Statistical model-based metrology |
US9383661B2 (en) * | 2013-08-10 | 2016-07-05 | Kla-Tencor Corporation | Methods and apparatus for determining focus |
US10935893B2 (en) | 2013-08-11 | 2021-03-02 | Kla-Tencor Corporation | Differential methods and apparatus for metrology of semiconductor targets |
-
2015
- 2015-10-09 US US14/879,534 patent/US10215559B2/en active Active
- 2015-10-14 KR KR1020177013024A patent/KR102245698B1/ko active IP Right Grant
- 2015-10-14 CN CN201580055340.7A patent/CN106796105B/zh active Active
- 2015-10-14 WO PCT/US2015/055521 patent/WO2016061218A1/en active Application Filing
- 2015-10-15 TW TW104133912A patent/TWI659483B/zh active
-
2017
- 2017-01-12 IL IL250089A patent/IL250089B/en active IP Right Grant
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2004088721A3 (en) * | 2003-03-25 | 2005-04-21 | Timbre Tech Inc | Optimized model and parameter selection for optical metrology |
CN101359611A (zh) * | 2007-07-30 | 2009-02-04 | 东京毅力科创株式会社 | 对光学计量系统的选定变量进行优化 |
CN101393015A (zh) * | 2008-10-17 | 2009-03-25 | 华中科技大学 | 一种微纳深沟槽结构在线测量方法及装置 |
Also Published As
Publication number | Publication date |
---|---|
US10215559B2 (en) | 2019-02-26 |
IL250089B (en) | 2020-11-30 |
KR20170067885A (ko) | 2017-06-16 |
IL250089A0 (en) | 2017-03-30 |
US20160109230A1 (en) | 2016-04-21 |
WO2016061218A1 (en) | 2016-04-21 |
KR102245698B1 (ko) | 2021-04-27 |
CN106796105A (zh) | 2017-05-31 |
TW201622032A (zh) | 2016-06-16 |
TWI659483B (zh) | 2019-05-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN106796105B (zh) | 多重图案化工艺的度量 | |
KR102274476B1 (ko) | 다중 처리 단계로부터의 정보로 반도체 계측 | |
US10612916B2 (en) | Measurement of multiple patterning parameters | |
US10151986B2 (en) | Signal response metrology based on measurements of proxy structures | |
TWI631476B (zh) | 用於裝置上之量測之方法及系統 | |
CN106062939B (zh) | 用于基于图像的叠对测量的信号响应计量 | |
TWI615909B (zh) | 用於產生半導體量測模型之方法及系統 | |
EP3295478A1 (en) | Model-based hot spot monitoring | |
WO2015172027A1 (en) | Signal response metrology for scatterometry based overlay measurements | |
US20240186191A1 (en) | Measurements Of Semiconductor Structures Based On Spectral Differences At Different Process Steps |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |