TWI631476B - 用於裝置上之量測之方法及系統 - Google Patents

用於裝置上之量測之方法及系統 Download PDF

Info

Publication number
TWI631476B
TWI631476B TW103114283A TW103114283A TWI631476B TW I631476 B TWI631476 B TW I631476B TW 103114283 A TW103114283 A TW 103114283A TW 103114283 A TW103114283 A TW 103114283A TW I631476 B TWI631476 B TW I631476B
Authority
TW
Taiwan
Prior art keywords
measurement
measurements
model
locations
wafer
Prior art date
Application number
TW103114283A
Other languages
English (en)
Other versions
TW201447622A (zh
Inventor
安德烈V 舒傑葛洛夫
強納生M 麥迪森
史帝藍 伊凡渥夫 潘戴夫
艾迪 賴威
丹尼爾 堪德爾
麥克E 艾黛兒
歐瑞 泰德摩爾
Original Assignee
克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 克萊譚克公司 filed Critical 克萊譚克公司
Publication of TW201447622A publication Critical patent/TW201447622A/zh
Application granted granted Critical
Publication of TWI631476B publication Critical patent/TWI631476B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Automation & Control Theory (AREA)

Abstract

本發明提出用於直接對裝置結構執行半導體量測之方法及系統。基於收集自至少一裝置結構之所量測訓練資料而產生一量測模型。所訓練之量測模型用以直接自收集自其他晶圓之裝置結構之量測資料來計算程序參數值、結構參數值或兩者。在一些實例中,自多個目標收集量測資料以用於模型建置、訓練及量測。在一些實例中,使用與多個目標相關聯之量測資料而消除或顯著減小量測結果中之底層之影響,且實現更精確量測。可自憑藉多種不同量測技術之一組合執行之量測導出用於模型建置、訓練及量測之所收集量測資料。

Description

用於裝置上之量測之方法及系統 [相關申請案交叉參考]
本專利申請案根據U.S.C.§119主張2013年4月19日申請之名為「On-Device Metrology」之美國臨時專利申請案第61/814,191號之優先權,該案之標的係以引用之方式全部併入本文。
所描述之實施例係關於量測系統及方法,且更特定言之係關於用於改良參數量測之方法及系統。
諸如邏輯及記憶體裝置之半導體裝置通常係由應用於一樣品之一系列處理步驟製造。半導體裝置之各種特徵及多個結構級係由此等處理步驟形成。例如,微影等等係涉及在一半導體晶圓上產生一圖案之一半導體製程。半導體製程之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。可在一單一半導體晶圓上製造多個半導體裝置且接著將半導體裝置分離為個別半導體裝置。
一半導體製程期間之各個步驟處使用量測程序以偵測晶圓上之缺陷以促進較高良率。光學量測技術提供高輸貫量量測之潛在性且無樣本破壞之風險。包含散射計及反射計實施方案及相關聯之分析演算法之多種基於光學量測之技術通常用以特徵化臨界尺寸、膜厚度、組合物及奈米尺度結構之其他參數。
傳統上,對由薄膜及/或重複週期結構組成之目標執行光學量 測。在裝置製造期間,此等膜及週期結構通常表示實際裝置幾何及材料結構或一中間設計。隨著裝置(例如,邏輯及記憶體裝置)朝較小奈米尺度尺寸發展,特徵化變得更加困難。併有複雜的三維幾何及具有不同物理性質之材料之裝置促成特徵化難度。
例如,現代記憶體結構通常係使光學輻射難以穿透底層之高縱橫比三維結構。此外,特徵化複雜結構(例如,FinFET)所需參數的數目增加導致增加參數相關性。因此,不能可靠地解耦特徵化目標之量測模型參數。
回應於此等挑戰,已開發出更複雜的光學工具。在若干機器參數(例如,波長、方位角及入射角等等)之廣泛範圍內且通常同時執行量測。因此,產生可靠結果(包含量測配方)之量測時間、運算時間及總時間顯著增加。此外,光強度開展遍及大波長範圍內會降低任何特定波長下的照明強度且增加在該波長下執行之量測之信號不確定度。
此外,基於現有模型之量測方法通常包含一系列步驟以模型化且接著量測結構參數。通常自一特定量測目標收集量測資料(例如,DOE光譜)。公式化光學系統、散佈參數及幾何特徵之一精確模型。收集膜光譜量測以判定材料散佈。產生目標結構之一參數幾何模型連同一光學模型。此外,必須謹慎地執行模擬近似法(例如,切塊、嚴格耦合波分析(RCWA)等等)以避免引入過大誤差。定義離散化及RCWA參數。執行一系列模擬、分析及迴歸以精緻化幾何模型且判定將浮動之模型參數。產生合成光譜之一程式庫。最後,使用該程式庫及幾何模型執行量測。各步驟引入誤差且消耗相當多的計算及使用者時間。通常,完成一模型建置任務需要數天或甚至數周。此外,與在量測期間執行迴歸計算相關聯之程式庫及計算時間之大小減小量測系統之輸貫量。
此外,習知量測技術依賴於專用量測結構或目標。在半導體製 造中且特定言之在圖案化程序中,藉由對特定專用結構執行量測來實現程序控制。此等專用結構可位於晶粒之間之劃線道中或晶粒本身內。使用專用量測結構可引入相當多的量測誤差。實際裝置結構與專用量測目標之間的差異限制量測資料精確地反映晶粒中之實際裝置特徵之狀態之能力。在一實例中,歸因於程序載入、圖案密度或像差場之位置相依差而產生差異,這係因為專用量測目標及實際裝置結構不搭配。在另一實例中,專用量測結構及實際裝置結構之特性特質大小通常極為不同。因此,即使專用量測目標及實際裝置結構緊接近,大小差亦引起差異。此外,專用量測結構需要裝置佈局空間。當取樣密度需求高時,專用量測結構排擠實際裝置結構。
未來量測應用歸因於愈來愈小的解析度需求、多參數相關性、愈來愈複雜的幾何結構及增加不透明材料的使用而面臨量測挑戰。因此,希望用於改良量測之方法及系統。
本發明提出用於直接對裝置結構執行半導體量測之方法及系統。基於收集自至少一裝置結構之所量測訓練資料而產生一量測模型。所訓練之量測模型用以直接自收集自其他晶圓之裝置結構之量測資料來計算程序參數值、結構參數值或兩者。
在一態樣中,僅基於收集自至少一實際裝置結構之所量測訓練資料(例如,收集自一試驗設計(DOE)晶圓之光譜)產生一量測模型。接著使用經訓練之量測模型來直接自收集自其他晶圓之實際裝置結構之量測資料(例如,光譜)計算程序參數值、結構參數值或兩者。
本文描述之量測模型直接接收量測資料作為輸入且提供程序參數值、結構參數值或兩者作為輸出。藉由簡化模型化程序,改良預測結果並縮減計算及使用者時間。
藉由非限制實例,本文描述之裝置上量測之方法及系統應用於 疊對量測、CD量測、膜量測、組合物量測、焦點及劑量量測及裝置上導航。
在一進一步態樣中,自裝置上量測目標及可在裝置上或劃線道內發現之輔助目標兩者收集量測資料以用於模型建置、訓練及量測。在一些實例中,使用與多個目標相關聯之量測資料而消除或顯著減小量測結果中之底層之影響。使用與多個目標相關聯之量測資料而增加嵌入在模型中之樣本及程序資訊。特定言之,使用包含一或多個量測部位處之多個不同目標之量測之訓練資料而實現更精確量測。
在又另一態樣中,收集並分析量測資料以判定一所要量測目標在一晶圓表面上之位置。
在又另一態樣中,收集自憑藉多種不同量測技術之一組合執行之量測導出之量測資料,以用於模型建置、訓練及量測。使用與多種不同量測技術相關聯之量測資料而增加嵌入在模型中之樣本及程序資訊並實現更精確量測。一般而言,可預期任何量測技術或兩種或更多種量測技術之組合。
在又另一態樣中,本文描述之量測模型結果可用以將主動回饋提供給一程序工具(例如,微影工具、蝕刻工具、沈積工具等等)。
前文係發明內容且因此必須含有細節之簡化、概括及省略;因此,熟習此項技術者應明白,發明內容僅僅係繪示性的且絕無限制。本文陳述之非限制詳細描述中應明白本文描述之裝置及/或程序之其他態樣、發明特徵及優點。
100‧‧‧方法
101‧‧‧方塊
102‧‧‧方塊
103‧‧‧方塊
104‧‧‧方塊
105‧‧‧方塊
110‧‧‧方法
111‧‧‧方塊
112‧‧‧方塊
120‧‧‧方法
121‧‧‧方塊
122‧‧‧方塊
123‧‧‧方塊
130‧‧‧方法
131‧‧‧方塊
132‧‧‧方塊
133‧‧‧方塊
140‧‧‧方法
141‧‧‧方塊
142‧‧‧方塊
143‧‧‧方塊
144‧‧‧方塊
150‧‧‧標繪圖
151‧‧‧標繪圖
152‧‧‧標繪圖
153‧‧‧標繪圖
154‧‧‧標繪圖
155‧‧‧標繪圖
156‧‧‧標繪圖
157‧‧‧標繪圖
158‧‧‧標繪圖
159‧‧‧標繪圖
161‧‧‧標繪圖
162‧‧‧標繪圖
163‧‧‧標繪圖
164‧‧‧標繪圖
170‧‧‧矩陣
PC1‧‧‧第一主分量
300‧‧‧計量系統/量測系統
301‧‧‧樣品
302‧‧‧照明器
304‧‧‧光譜儀/光譜橢圓偏光儀
306‧‧‧偏光照明光束
307‧‧‧偏光狀態產生器
308‧‧‧收集光束
309‧‧‧分析器
310‧‧‧結構
311‧‧‧光譜/量測資料
330‧‧‧運算系統/電腦系統
331‧‧‧處理器
332‧‧‧記憶體
333‧‧‧匯流排
334‧‧‧程式指令
340‧‧‧輸出信號/樣品參數
181‧‧‧標繪圖
182‧‧‧標繪圖
200‧‧‧方法
201‧‧‧方塊
202‧‧‧方塊
203‧‧‧方塊
204‧‧‧方塊
圖1係繪示如本文描述之建置並訓練一量測模型之一方法100之一流程圖。
圖2係繪示如本文描述之另一實例中之建置並訓練一量測模型之一方法110之一流程圖。
圖3係繪示如本文描述之又另一實例中之建置並訓練一量測模型之一方法120之一流程圖。
圖4係繪示如本文描述之又另一實例中之建置並訓練一量測模型之一方法130之一流程圖。
圖5係繪示使用由方法100、110、120及130之任一者產生之一量測模型來量測一半導體晶圓之程序參數、結構參數或兩者之一方法140之一流程圖。
圖6A至圖6B係分別繪示量測一FEM晶圓之曝光劑量及焦點深度之等值線圖。
圖6C至圖6D係分別繪示量測一樣本晶圓之曝光劑量及焦點深度之等值線圖。
圖6E至圖6F係分別繪示量測另一樣本晶圓之曝光劑量及焦點深度之等值線圖。
圖7A至圖7B係分別繪示跨一焦點曝光矩陣(FEM)晶圓之表面量測隔離結構及密緻結構之中間臨界尺寸(MCD)之等值線圖。
圖8A至圖8B係分別繪示跨一樣本晶圓之表面量測隔離結構及密緻結構之中間臨界尺寸(MCD)之等值線圖。
圖9A至圖9B係分別繪示跨一FEM晶圓之表面量測焦點深度及曝光劑量之等值線圖。
圖10A至圖10B係分別繪示跨一樣本晶圓之表面量測焦點深度及曝光劑量之等值線圖。
圖11係繪示收集自一FEM晶圓之光譜之主分量映圖之一矩陣170之一圖。
圖12繪示用於根據本文提出之例示性方法量測一樣品之特性之一系統300。
圖13A至圖13B係分別繪示量測一柱疊對之X及Y偏移之標繪圖。
圖14係繪示導航至一所要量測目標之一方法200之一流程圖。
現在將詳細參考本發明之背景實例及一些實施例,本發明之實例在隨附圖式中加以繪示。
本發明提出用於直接對實際裝置結構執行半導體量測之方法及系統。
在一態樣中,僅基於收集自至少一實際裝置結構之所量測訓練資料(例如,收集自一試驗設計(DOE)晶圓之光譜)產生一量測模型。接著使用經訓練之量測模型來直接自收集自其他晶圓之實際裝置結構之量測資料(例如,光譜)計算程序參數值、結構參數值或兩者。以此方式,產生一量測模型並使用該模型執行量測僅需要擷取樣本之光譜。
本文描述之量測模型直接接收量測資料(例如,量測光譜)作為輸入且提供程序參數值、結構參數值或兩者作為輸出。藉由簡化模型化程序,改良預測結果並縮減計算及使用者時間。
在一進一步態樣中,基於自收集自如本文描述之至少一實際裝置結構之原始量測資料(例如,光譜)產生之量測模型直接量測程序參數。因此,自幾何參數導出程序參數無需一單獨模型。因為由該模型擷取程序變動,所以即使歸因於程序變動改變底層結構拓撲,仍可量測程序參數值。這不可能或極難以使用基於現有模型之量測方法來進行模型化。
雖然提出用於直接對實際裝置結構執行半導體量測之方法及系統,但是此等方法及系統亦可涉及位於晶粒中或劃線道內之專用量測目標(例如,代理(proxy)結構)之量測。
傳統上,基於模型之半導體量測係由公式化嘗試基於量測目標與特定量測系統互動之一模型預測所量測光學信號之一量測模型組 成。目標特定模型就所關注的量測目標之物理性質(例如,膜厚度、臨界尺寸、折射率、光柵節距等等)而言包含結構之一參數化。此外,模型包含量測工具本身之一參數化(例如,波長、入射角、偏光角等等)。
機器參數(Pmachine)係用以特徵化量測工具本身之參數。例示性機器參數包含入射角(AOI)、分析器角度(A0)、偏光器角度(P0)、照明波長、數值孔徑(NA)等等。樣品參數(Pspecimen)係用以特徵化樣品之幾何性質及材料性質之參數。對於一薄膜樣品,例示性樣品參數包含折射率、介電函數張量、所有層之標稱層厚度、層序等等。
出於量測目的,機器參數被視為已知固定參數,且樣品參數或樣品參數之一子集被視為未知浮動參數。浮動參數係由在理論預測與量測資料之間產生最佳擬合之一擬合程序(例如,迴歸、程式庫加工等等)解析。改變未知樣品參數Pspecimen,且計算模型輸出值直至一組樣品參數值被判定導致模型輸出值與量測值之間嚴格匹配。
在許多情況下,樣品參數高度相關。這可導致基於量測之目標模型不穩定。在一些情況下,這係藉由固定某些樣品參數而解決。然而,這通常導致剩餘參數之估計發生明顯的誤差。例如,底層(例如,一半導體晶圓上之一半導體材料堆疊之氧化物基層)之厚度在一晶圓之表面內並不均勻。然而,為減小參數相關性,建構將此等層視為在晶圓之表面內具有一固定厚度之量測模型。不幸的是,這可導致其他參數之估計發生明顯的誤差。
如本文描述般,藉由僅使用原始量測資料(例如,光譜)以產生程序參數、幾何參數或兩者之模型,減小與基於傳統模型之量測方法相關聯之誤差及近似值。實現複雜的三維結構之量測及晶粒中量測且幾何模型及模擬而不會增加複雜度。在一些實例中,可在少於一小時內產生模型。此外,藉由採用一簡化模型,與基於現有模型之量測方法 相比,量測時間有所縮減。在一些實例中,量測時間少於每量測部位10毫秒。相比之下,在一些情況下,使用基於傳統模型之量測方法之量測時間可大於一秒鐘。
圖1繪示適用於由一量測系統(諸如本發明之圖12中繪示之量測系統300)實施之一方法100。在一態樣中,應認知到,可經由憑藉運算系統330或任何其他通用運算系統之一或多個處理器執行之預程式化演算法實行方法100之資料處理方塊。本文中應認知到,量測系統300之特定結構態樣不表示限制且應僅被解譯為繪示性。
在方塊101中,由一運算系統(例如,運算系統330)接收與一半導體晶圓之一表面上之第一複數個部位之量測相關聯之第一數目個量測資料。量測部位展現出至少一程序參數、結構參數或兩者之已知變動。
在一些實施例中,在一半導體晶圓(例如,試驗設計(DOE)晶圓)之表面上以一DOE圖案組織程序參數變動。以此方式,量測部位詢問晶圓表面上與不同程序參數值對應之不同位置。在一實例中,DOE圖案係一焦點/曝光矩陣(FEM)圖案。通常,展現出一FEM圖案之一DOE晶圓包含量測部位之一柵格圖案。在一柵格方向(例如,x方向)上,曝光劑量不斷變化同時焦點深度保持恆定。在正交柵格方向(例如,y方向)上,焦點深度不斷變化同時曝光劑量保持恆定。以此方式,收集自DOE晶圓之量測資料包含與焦點及劑量程序參數之已知變動相關聯之資料。
在前文提及之實例中,量測資料與經處理焦點及曝光發生已知變動之一DOE晶圓相關聯。然而,一般而言,可預期與程序參數、結構參數或兩者之任何已知變動相關聯之量測資料。
在一態樣中,第一數目個量測資料包含與包含一裝置結構之半導體晶圓之表面上之一部位處之量測相關聯之量測資料。換言之,量 測資料之至少一些包含裝置上量測。在一實例中,量測資料包含在一指定製造階段或大量製造階段於一裝置上位置處獲得之一光譜範圍內之兩個橢圓偏光參數(Ψ,Δ)。
出於模型訓練之目的,可自設計參數(例如,結構或程序參數)發生已知擾動之其他位置擷取額外量測資料。例如,此等位置可在劃線道中,或可以處於晶圓上之其他位置處,在其他位置中(例如)微影曝光狀態或光罩設計特性在一值範圍內不斷變化。在另一實例中,可自不同裝置位置(例如,具有密緻特徵之一位置及具有隔離特徵之一位置,或遮罩上具有兩個不同CD之位置)擷取量測資料。一般而言,自以一已知方式擾動之不同位置擷取量測資料。可由遮罩資料、設備資料擷取(EDA)資料、程序資料等等已知擾動。
該組系統變動通常被稱為一試驗設計(DOE)。在一實例中,跨裝置或晶圓系統性地改變焦點、曝光及疊對之任一者。在另一實例中,採用一隨機化焦點曝光矩陣(FEM)以減小與如Izikson等人發表之美國專利第8,142,966號中描述之底層參數之相關性,該案之全部內容係以引用之方式併入本文。
在一較佳實施例中,在製造一實際DOE晶圓時實施該組系統變動。隨後量測DOE晶圓以產生方塊101中接收之量測資料。一製造晶圓包含不能輕易被模擬模型化之系統誤差。例如,一真實晶圓之量測更精確度擷取底層之影響。可藉由在一固定底層狀態下修改製造期間之程序參數(例如,焦點及曝光變動)而使底層貢獻與量測回應解除相關。在另一實例中,可藉由自頂層拓撲不斷變化且底層狀態恆定之特徵擷取多個資料集而緩和底層貢獻。在一實例中,頂層可包含一週期結構,且底層可為非週期結構。
可選擇量測位置以增加量測敏感度。在一實例中,線端處執行之量測對焦點變化最敏感。一般而言,應在對待量測之參數變化最敏 感之裝置上結構處採取量測。
雖然較佳地執行DOE晶圓之實際量測,但是在一些其他實例中,可模擬一DOE晶圓之量測回應。在此等實例中,合成地產生方塊101中接收之量測資料。
在方塊102中,自第一數目個量測資料提取一或多個特徵。在一些實例中,使用主分量分析(PCA)或非線性PCA分析量測資料以提取最強烈地反映存在於不同量測部位處之程序參數、結構參數或兩者之變動之特徵。在一些其他實例中,可應用一種信號濾波技術以提取最強烈地反映存在於不同量測部位處之參數變動之信號資料。在一些其他實例中,可自存在於量測資料中之多個信號選擇最強烈地反映存在於不同量測部位處之參數變動之個別信號。雖然較佳地自量測資料提取特徵以減小遭遇後續分析之資料之規模,但是其並非嚴格必需。在此意義中,方塊102係選用的。
在方塊103中,基於提取自量測資料之特徵或替代地直接自量測資料來判定一輸入-輸出量測模型。結構化輸入-輸出模型以接收由一量測系統產生之在一或多個量測部位處之量測資料且直接判定與各量測目標相關聯之程序參數值、結構參數值或兩者。在一較佳實施例中,輸入-輸出模型被實施為一神經網路模型。在一實例中,基於提取自量測資料之特徵而選擇神經網路之節點數目。在其他實例中,輸入-輸出模型可被實施為一多項式模型、一回應表面模型或其他類型的模型。
在方塊104中,針對已知跨其中收集量測資料之量測部位變化之參數之各者產生一期望回應模型。一般而言,期望回應模型依據晶圓表面上之位置定義已知變化參數之值。以此方式,期望回應模型針對一給定參數定義晶圓映圖之期望整體形狀。
在方塊105中,基於由期望回應模型判定之參數值來訓練輸入-輸 出量測模型。以此方式,使用嵌入在期望回應模型中之程序資訊以將輸入-輸出模型約束在程序空間內。以此方式,使用DOE量測資料及一期望回應模型產生經訓練之輸入-輸出量測模型。訓練該模型使得其輸出針對由DOE光譜定義之程序變化空間中之所有光譜擬合定義的期望回應。
在一些實例中,量測一或多個程序參數。在此等實例中,期望回應模型係基於與所量測之DOE晶圓相關聯之已知程序參數值。
圖2繪示另一實例中適用於由一量測系統(諸如本發明之圖12中繪示之量測系統300)實施之一方法110。方法110包含如參考圖1描述之相似數字方塊。如圖2中描繪,在方塊111中,由一運算系統(諸如運算系統330)接收已知程序參數值。在一些實例中,已知程序參數值係用以製造DOE晶圓之一微影系統之焦點深度及曝光劑量。
在方塊112中,運算系統330判定各程序參數之一期望回應模型。在一典型DOE晶圓中,根據DOE晶圓之x及y座標線性地改變焦點及曝光。在一些實例中,關於一DOE晶圓之一焦點參數之期望回應形狀係x方向上之一斜面,其中晶圓中間零交叉。在一實例中,判定焦點參數值之期望回應函數係焦點=a*x+b,其中a及b係各量測部位處之已知焦點參數值實現最佳擬合之係數。類似地,關於一DOE晶圓之一曝光參數之期望回應形狀係y方向上之一斜面,其中晶圓中間零交叉。在另一實例中,判定曝光參數值之期望回應函數係曝光=c*y+d,其中c及d係各量測部位處之已知曝光參數值實現最佳擬合之係數。
在另一實例中,藉由將二維映圖函數(即,{x,y})擬合至量測部位之各者處之焦點及曝光之已知值而判定DOE晶圓之期望回應模型。
在一些其他實例中,量測一或多個結構參數。對於一幾何參數,晶圓映圖之形狀可能更加複雜,且形狀通常係由程序定義。在此等實例之一些中,基於與所量測之DOE晶圓相關聯之已知程序參數值 而產生期望回應模型。圖3繪示又另一實例中適用於由一量測系統(諸如本發明之圖12中繪示之量測系統300)實施之一方法120。方法120包含如參考圖1描述之相似數字方塊。
如圖3中描繪,在方塊121中,由一運算系統(諸如運算系統330)接收已知程序參數值。在一實例中,已知程序參數值係與各量測部位對應之已知焦點及曝光值。
在方塊122中,運算系統330基於一模擬而判定與各量測部位處之已知程序參數值之各者相關聯之期望結構參數值。例如,採用一程序模擬器以針對程序參數值之一給定集合定義一結構參數(即,幾何或材料參數)之期望回應。一例示性程序模擬器包含美國加利福尼亞州米爾皮塔斯市(Milpitas,California(USA))KLA-Tencor公司市售之正型光阻光學微影(PROLITH)模擬軟體。雖然使用PROLITH軟體產生此例示性微影程序模型,但是一般而言,本專利文件之範疇內可預期任何程序模型化技術或工具(例如,美國北卡羅來納州凱里市(Cary,North Carolina,USA)Coventor公司市售之Coventor模擬軟體)。在一些實例中,基於與各量測部位對應之對應焦點及曝光參數值而判定各量測部位處之期望結構參數值。
在方塊123中,運算系統330判定各結構參數之期望回應模型。在一些實例中,藉由將二維(例如,{x,y})映圖函數擬合至與各量測部位相關聯之結構參數值判定期望回應模型。
在一些其他實例中,基於與DOE晶圓相關聯之結構資料之特徵判定用於一結構參數之期望回應模型。圖4繪示又另一實例中適用於由一量測系統(諸如本發明之圖12中繪示之量測系統300)實施之一方法130。方法130包含如參考圖1描述之相似數字方塊。
如圖4中描繪,在方塊131中,例如由運算系統330接收與關於DOE晶圓之結構參數之量測相關聯之參考量測資料。憑藉一參考量測 系統(諸如一掃描電子顯微鏡(SEM)、穿隧電子顯微鏡(TEM)、原子力顯微鏡(AFM)或x射線量測系統)自DOE晶圓之一或多個量測部位處之目標量測而導出參考量測資料。
此外,在方塊102中,如參考圖1描述般自量測資料提取一或多個特徵(例如,形狀函數)。在一實例中,使用所量測光譜之第一主分量(PC1)以描述與一特定結構參數(例如,中間臨界尺寸(MCD))相關聯之回應表面之整體形狀。
在方塊132中,運算系統330基於參考量測資料校準自量測資料提取之形狀函數以產生一經校準之回應表面。
在方塊133中,運算系統330藉由將二維(例如,{x,y})映圖函數擬合至經校準之回應表面而判定已知結構參數之各者之期望回應模型。在一實例中,MCD參數之期望回應模型係:MCD=a01+a11(y+r0y2)+a21x2,其中x及y係晶圓座標且a01、a11、r0、a21係將函數最佳地擬合至經校準之形狀函數之係數。
在另一態樣中,採用經訓練之模型作為用於量測其他晶圓之量測模型。圖5繪示適用於由一量測系統(諸如本發明之圖12中繪示之量測系統300)實施之一方法140。在一態樣中,應認知到,可經由憑藉運算系統330或任何其他通用運算系統之一或多個處理器執行之預程式化演算法實行方法140之資料處理方塊。本文中應認知到,量測系統300之特定結構態樣不表示限制且應僅被解譯為繪示性。
在方塊141中,由一運算系統(例如,運算系統330)接收與一半導體晶圓之一表面上之第二複數個部位之量測相關聯之大量量測資料。
在方塊142中,自量測資料提取一或多個特徵。在一些實例中,使用主分量分析(PCA)或非線性PCA分析量測資料以提取最強烈地反映存在於不同量測部位處之程序參數、結構參數或兩者之變動之特徵。在一些其他實例中,可應用一種信號濾波技術以提取最強烈地反 映存在於不同量測部位處之參數變動之信號資料。在一些其他實例中,可自存在於量測資料中之多個信號選擇最強烈地反映存在於不同量測部位處之參數變動之個別信號。雖然較佳地自量測資料提取特徵以減小遭遇後續分析之資料之規模,但是其並非嚴格必需。在此意義中,方塊142係選用的。此外,如參考圖1至圖4描述,較佳地使用方塊102中用以自訓練資料提取特徵之相同分析自量測資料提取特徵。
在方塊143中,藉由非限制實例,由運算系統330基於將第二數目個量測資料擬合至如上文參考圖1至圖4描述之經訓練之輸入-輸出量測模型,而判定與第二複數個部位之各者相關聯之至少一程序參數值、至少一結構參數值或兩者。
在方塊144中,將所判定之參數值儲存於一記憶體中。例如,參數值可儲存於量測系統300機內(例如,儲存於記憶體332中),或可(例如,經由輸出信號340)傳達至一外部記憶體裝置。
圖6A係繪示跨一DOE晶圓之表面量測曝光劑量之一等值線圖150。如繪示,曝光劑量在跨晶圓之x方向上不斷變化且在跨晶圓之y方向上恆定。圖6B係繪示跨一DOE晶圓之表面量測微影焦點深度之一等值線圖151。如繪示,焦點深度在跨晶圓之y方向上不斷變化且在跨晶圓之x方向上恆定。
圖6A及圖6B中繪示之量測結果得自於自一DOE晶圓上之多個量測部位處收集且根據圖5中繪示之方法140處理之量測(即,所量測之光譜)。根據方法110開發底層劑量及焦點量測模型,且使用收集自相同DOE晶圓上之不同量測部位之量測資料來訓練底層劑量及焦點量測模型。
圖6C係繪示跨一樣本晶圓之表面量測曝光劑量之一等值線圖152。圖6D係繪示跨參考圖6C描述之相同晶圓之表面量測微影焦點深度之一等值線圖153。
自不同於DOE晶圓之一晶圓上之多個量測部位處收集且根據圖5中繪示之方法140處理之量測(即,所量測之光譜)導出圖6C及圖6D中繪示之量測結果各者。根據方法110開發底層劑量及焦點量測模型,且使用收集自參考圖6A及圖6B描述之DOE晶圓上之不同量測部位之量測資料來訓練底層劑量及焦點量測模型。
圖6E係繪示跨又另一樣本晶圓之表面量測曝光劑量之一等值線圖154。圖6F係繪示跨參考圖6E描述之相同晶圓之表面量測微影焦點深度之一等值線圖155。
自不同於DOE晶圓之樣本晶圓上之多個量測部位處收集且根據圖5中繪示之方法140處理之量測(即,所量測之光譜)導出圖6E及圖6F中繪示之量測結果。根據方法110開發底層劑量及焦點量測模型,且使用收集自參考圖6A及圖6B描述之DOE晶圓上之不同量測部位之量測資料來訓練底層劑量及焦點量測模型。如圖6C至圖6F中繪示,由根據方法110開發之量測模型擷取跨具有不同聚焦步驟之不同晶圓之焦點及曝光值之變動。
圖7A係繪示跨一焦點曝光矩陣(FEM)晶圓之表面量測隔離結構之中間臨界尺寸(MCD)之一等值線圖156。曝光劑量在跨晶圓之x方向上不斷變化且焦點深度在跨晶圓之y方向上不斷變化。如繪示,MCD值歸因於跨晶圓之表面之焦點及曝光劑量之差而跨晶圓之表面不斷變化。圖7B係繪示跨一焦點曝光矩陣(FEM)晶圓之表面量測密緻結構之中間臨界尺寸(MCD)之一等值線圖157。曝光劑量在跨晶圓之x方向上不斷變化且焦點深度在跨晶圓之y方向上不斷變化。如繪示,密緻結構之MCD值亦歸因於跨晶圓之表面之焦點及曝光劑量之差但是以不同於隔離結構之一方式而跨晶圓之表面不斷變化。
圖7A及圖7B中繪示之量測結果得自於自FEM晶圓上之多個量測部位處收集且根據圖5中繪示之方法140處理之量測(即,所量測之光 譜)。根據方法120開發底層隔離MCD量測模型及密緻MCD量測模型兩者,且使用收集自相同FEM晶圓上之不同量測部位之量測資料來訓練底層隔離MCD量測模型及密緻MCD量測模型兩者。
圖8A係繪示跨一樣本晶圓之表面量測隔離結構之MCD之一等值線圖158。圖8B係繪示跨一樣本晶圓之表面量測密緻結構之MCD之一等值線圖159。在一特定焦點深度及曝光劑量下處理樣本晶圓。特定焦點及劑量值近似對應於圖7A至圖7B中量測之FEM晶圓中間附近之焦點及劑量值。
自不同於FEM晶圓之一樣本晶圓上之多個量測部位處收集且根據圖5中繪示之方法140處理之量測(即,所量測之光譜)導出圖8A及圖8B中繪示之量測結果。根據方法120開發底層隔離MCD量測模型及密緻MCD量測模型兩者,且使用收集自FEM晶圓上之不同量測部位之量測資料來訓練底層隔離MCD量測模型及密緻MCD量測模型兩者。
自不同量測模型導出圖6A至圖6F、圖7A至圖7B及圖8A至圖8B中繪示之量測結果,該等量測模型各自對應於一特定程序或結構參數(例如,焦點、曝光及MCD)。然而,一般而言,一量測模型可特徵化一個以上程序參數、結構參數或兩者。
圖12繪示用於根據本文提出之例示性方法量測一樣品之特性之一系統300。如圖12中所示,系統300可用以執行一樣品301之一或多個結構之光譜橢圓偏光儀量測。在此態樣中,系統300可包含裝備有一照明器302及一光譜儀304之一光譜橢圓偏光儀。系統300之照明器302經組態以產生一選定波長範圍(例如,150nm至850nm)之照明並將該照明引導至安置在樣品301之表面上之結構。光譜儀304繼而經組態以接收自樣品301之表面反射之照明。應進一步注意,使用一偏光狀態產生器307偏光出射自照明器302之光以產生一偏光照明光束306。由安置在樣品301上之結構310反射之輻射行進穿過一偏光狀態分析 器309且行進至光譜儀304。關於偏光狀態分析收集光束308中由光譜儀304接收之輻射,從而容許由光譜儀對經過分析器之輻射進行光譜分析。此等光譜311被傳遞至運算系統330以分析結構。
如圖12中描繪,系統300包含一單一量測技術(即,SE)。然而,一般而言,系統300可包含任何數目個不同量測技術。藉由非限制實例,系統300可被組態為一光譜橢圓偏光儀(包含穆勒(Mueller)矩陣橢圓偏光儀量測)、一光譜反射儀、一光譜散射儀、一疊對散射儀、一角解析光束分佈反射儀、一偏光解析光束分佈反射儀、一光束分佈反射儀、一光束分佈橢圓偏光儀、任何單一或多個波長橢圓偏光儀或其等之任何組合。此外,一般而言,可自多個工具而非整合多種技術之一工具收集由不同量測技術收集且根據本文描述之方法分析之量測資料。
在一進一步實施例中,系統300可包含用以基於根據本文描述之方法開發之量測模型執行量測之一或多個運算系統330。該一或多個運算系統330可通信地耦合至光譜儀304。在一態樣中,該一或多個運算系統330經組態以接收與樣品301之結構之量測相關聯之量測資料311。
應認知到,可由一單一電腦系統330或替代地多個電腦系統330實行遍及本發明描述之各個步驟。此外,系統300之不同子系統(諸如光譜橢圓偏光儀304)可包含適用於實行本文描述之步驟之至少一部分之一電腦系統。因此,前文提及之描述不應被解譯為限制本發明且僅僅繪示本發明。進一步言之,該一或多個運算系統330可經組態以執行本文描述之方法實施例之任一者之任何其他步驟。
此外,電腦系統330可以此項技術中已知之任何方式通信地耦合至光譜儀304。例如,該一或多個運算系統330可耦合至與光譜儀304相關聯之運算系統。在另一實例中,光譜儀304可直接受控於耦合至 電腦系統330之一單一電腦系統。
量測系統300之電腦系統330可經組態以由可包含纜線及/或無線部分之一傳輸媒體自系統之子系統(例如,光譜儀304等等)接收及/或擷取資料或資訊。以此方式,傳輸媒體可用作電腦系統330與系統300之其他子系統之間之一資料鏈路。
經整合之量測系統300之電腦系統330可經組態以由包含纜線及/或無線部分之一傳輸媒體自其他系統接收及/或擷取資料或資訊(例如,量測結果、模型化輸入、模型化結果等等)。以此方式,傳輸媒體可用作電腦系統330與其他系統(例如,記憶體機內量測系統300、外部記憶體、參考量測源或其他外部系統)之間之一資料鏈路。例如,運算系統330可經組態以經由一資料鏈路自一儲存媒體(即,記憶體332或一外部記憶體)接收量測資料。例如,使用光譜儀304獲得之光譜結果可儲存於一永久或半永久記憶體裝置(例如,記憶體332或一外部記憶體)中。就此而言,可自機內記憶體或自一外部記憶體系統匯入光譜結果。此外,電腦系統330可經由一傳輸媒體將資料發送至其他系統。例如,可傳達由電腦系統330判定之一整合量測模型或一樣品參數340並將其儲存在一外部記憶體中。就此而言,可將量測結果匯出至另一系統。
運算系統330可包含(但不限於)一個人電腦系統、大型電腦系統、工作站、影像電腦、平行處理器或此項技術中已知之任何其他裝置。一般而言,術語「運算系統」可被大體上定義為涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。
可經由諸如一導線、纜線或無線傳輸鏈路之一傳輸媒體傳輸實施諸如本文描述之方法之程式指令334。例如,如圖12中繪示,儲存於記憶體332中之程式指令334經由匯流排333傳輸至處理器331。程式指令334儲存於電腦可讀媒體(例如,記憶體332)中。例示性電腦可讀 媒體包含唯讀記憶體、隨機存取記憶體、磁碟或光碟或磁帶。
在進一步態樣中,自多個目標收集量測資料以用於模型建置、訓練及量測。在一些實例中,使用與多個目標相關聯之量測資料而消除或顯著減小量測結果中之底層之影響。在一實例中,自兩個目標減去量測信號以消除或顯著減小各量測結果中之底層之影響。使用與多個目標相關聯之量測資料而增加嵌入在模型中之樣本及程序資訊。特定言之,使用包含一或多個量測部位處之多個不同目標之量測之訓練資料而實現更精確量測。
在一實例中,針對隔離目標及密緻目標兩者自一FEM晶圓之光譜量測產生一量測模型。接著分別基於光譜量測資料及焦點、曝光之一期望回應模型、一隔離目標之MCD及一密緻目標之MCD來訓練量測模型。隨後採用所得訓練量測模型以對樣本晶圓上之隔離目標及密緻目標兩者計算焦點、曝光及MCD。以此方式,各參數具有其自身訓練模型,其自與隔離目標及密緻目標兩者相關聯之所量測光譜(或所提取特徵)計算參數值。
圖9A至圖9B及圖10A至圖10B繪示具有底層光柵之FEM及CDU晶圓之焦點及曝光之量測結果。
圖9A係繪示跨一FEM晶圓之表面量測焦點深度之一等值線圖161。在此實例中,焦點在跨晶圓之x方向上不斷變化且在跨晶圓之y方向上恆定。圖9B係繪示跨FEM晶圓之表面量測曝光劑量之一等值線圖162。如繪示,劑量在跨晶圓之y方向上不斷變化且在跨晶圓之x方向上恆定。
圖9A及圖9B中繪示之量測結果得自於自FEM晶圓上之多個量測部位處收集且根據圖5中繪示之方法140處理之量測(即,所量測之光譜)。根據方法110開發底層劑量及焦點量測模型,且使用收集相同DOE晶圓上之不同量測部位之量測資料來訓練底層劑量及焦點量測模 型。
圖10A係繪示跨一樣本晶圓之表面量測焦點深度之一等值線圖163。圖10B係繪示跨參考圖10A描述之相同晶圓之表面量測曝光劑量之一等值線圖164。在一特定焦點深度及曝光劑量下處理樣本晶圓。特定焦點及劑量值近似對應於圖9A至圖9B中量測之FEM晶圓中間附近之焦點及劑量值。因此,期望圖10A至圖10B中繪示之焦點及曝光量測結果分別展示晶圓表面上方之焦點及曝光之最小變動。
自不同於FEM晶圓之一晶圓上之多個量測部位處收集且根據圖5中繪示之方法140處理之量測(即,所量測之光譜)導出圖10A及圖10B中繪示之量測結果。根據方法110開發底層劑量及焦點量測模型,且使用收集自參考圖9A及圖9B描述之DOE晶圓上之不同量測部位之量測資料來訓練底層劑量及焦點量測模型。
圖11繪示收集自一FEM晶圓之光譜之主分量映圖之一矩陣170。如繪示,最前面的少數幾個主分量大致上反映由焦點曝光矩陣產生之全域焦點及曝光圖案(即,在一方向上變動,在正交方向上恆定,且反之亦然)。高於7之主分量映圖展現出與隨機底層變動、線邊緣粗糙度及其他類型的雜訊相關聯之一雜訊圖案。在此實例中,較佳地僅利用最前面的7個主分量以訓練焦點及曝光模型。以此方式,出於模型建置及後續量測分析之目的截斷主要反映雜訊之主分量。
在另一進一步態樣中,自裝置上量測目標及可在裝置上或劃線道內發現之輔助目標收集量測資料,以用於模型建置、訓練及量測。
在一實例中,藉由擷取位於裝置上之一裝置結構或專用量測目標及位於裝置上或劃線道內之額外焦點輔助目標之量測資料來執行焦點量測。焦點輔助目標可具體設計不同地印刷在相同焦點處之結構。在一些實例中,可設計印刷相同分佈但是焦點偏移不同之目標。Levinsky等人發表且讓渡給加利福尼亞州米爾皮塔斯市(Milpitas, California)KLA-Tencor公司之美國專利申請案第14/074,412號中描述額外細節,該案之全部內容係以引用之方式併入本文。此多目標方法論實現焦點之裝置上量測。可應用一類似方法論於劑量量測。
在其他實例中,在微影或蝕刻使用情況中,可採用輔助目標及裝置上目標之量測d來執行CD量測及組合物量測。位於劃線道中之輔助目標可經最佳化以對裝置上量測提供一校準參考。在一些實例中,輔助目標具有CD參數偏移或組合物參數偏移(例如,裝置上植入區域在輔助目標中可保持未植入)。在一多目標分析中如前文描述般組合擷取自此等目標之量測資料以實現裝置上量測。
在另一進一步態樣中,收集自憑藉多種不同量測技術之一組合執行之量測導出之量測資料,以用於模型建置、訓練及量測。使用與多種不同量測技術相關聯之量測資料而增加嵌入在模型中之樣本及程序資訊且實現更精確量測。可自憑藉多種不同量測技術之任何組合執行之量測導出量測資料。以此方式,可由多種不同量測技術量測不同量測部位以增強可用於特徵化半導體結構之量測資訊。
一般而言,本專利文件內可預期任何量測技術或兩種或更多種量測技術之組合。例示性量測技術包含(但不限於)光譜橢圓偏光儀量測(包含穆勒矩陣橢圓偏光儀量測)、光譜反射儀、光譜散射儀、疊對散射儀、光束分佈反射儀、角解析及偏光解析光束分佈橢圓偏光儀量測兩者、單一或多個離散波長橢圓偏光儀量測、傳輸小角度x射線散射儀(TSAXS)、小角度x射線散射(SAXS)、掠入角小角度x射線散射(GISAXS)、廣角x射線散射(WAXS)、x射線反射(XRR)、x射線繞射(XRD)、掠入角x射線繞射(GIXRD)、高解析度x射線繞射(HRXRD)、x射線光電子光譜法(XPS)、x射線螢光分析儀(XRF)、掠入角x射線螢光分析儀(GIXRF)、低能量電子引發x射線發射散射儀(LEXES)、x射線斷層攝影儀及x射線橢圓偏光儀量測。一般而言,可預期可應用於特 徵化半導體結構之任何量測技術(包含基於影像之量測技術)。額外感測器選項包含加偏壓於裝置並使用一光學感測器偵測所得偏壓(或相反)之電感測器(諸如非接觸式電容/電壓或電流/電壓感測器)或輔助式光學技術(諸如XRD、XRF、XPS、LEXES、SAXS)及泵探技術。在一實施例中,二維光束分佈反射儀(光瞳成像器)可用以收集一小光點大小之角解析及/或多光譜資料兩者。一UV Linnik干涉儀亦可用作穆勒矩陣光譜光瞳成像器。
在一些實例中,本文描述之模型建置、訓練及量測方法被實施為美國加利福尼亞州米爾皮塔斯市(Milpitas,California,USA)KLA-Tencor公司市售之SpectraShape®光學臨界尺寸量測系統之一元件。以此方式,產生模型且該模型待備妥在系統收集DOE晶圓光譜之後即刻使用。
在一些其他實例中,例如,由實施美國加利福尼亞州米爾皮塔斯市(Milpitas,California,USA)KLA-Tencor公司市售之AcuShape®軟體之一運算系統離線實施本文描述之模型建置及訓練方法。可併入所得訓練模型作為可由執行量測之一量測系統存取之一AcuShape®程式庫之一元件。
雖然前文參考一微影程序模型及相關聯之焦點及曝光量測描述若干實例,但是本文描述之方法及系統可涉及其他程序模型(例如,蝕刻或沈積處理)及其他量測(例如,蝕刻及沈積量測)。本文描述之方法及系統亦可涉及其他參考量測技術(例如,SEM、TEM、AFM、X射線)。此外,本文描述之方法及系統係參考光學量測系統(例如,光譜橢圓偏光儀、反射儀、BPR系統等等)加以論述,但是亦可應用於其他基於模型之量測(例如,疊對、CD-SAXS、XRR等等)。
在另一實例中,本文描述之裝置上量測之方法及系統可應用於疊對量測。裝置上量測尤其與疊對量測有關。疊對量測之目的係判定 不同微影曝光步驟之間的轉變。然而,歸因於裝置上結構的大小較小且疊對值通常較小而難以執行裝置上疊對量測。
例如,典型的劃線道疊對量測結構之節距自200奈米改變至2,000奈米。但是,裝置上疊對量測結構之節距通常係100奈米或更小。此外,在一標稱生產環境中,裝置疊對僅係裝置結構之週期之一小分率。相比之下,用於散射儀疊對之代理量測結構通常在較大值下偏移(例如,節距之四分之一)以增強信號對疊對之敏感度。
在此等狀況下,使用對小的偏移、小的節距疊對具有足夠大的敏感度之感測器架構執行裝置上疊對量測。在一些實例中,在穆勒矩陣及偏光模式中操作之深紫外線光束分佈反射儀(DUV BPR)、X射線穆勒光譜橢圓偏光儀量測(XMSE)、伍德異常(Wood’s anomaly)下的量測及多離散角穆勒矩陣光譜儀架構可用以獲得對裝置上疊對敏感之一量測信號。在另一實例中,可藉由多工一次量測整個晶片。可使用採用一可傾斜參考鏡之一Linnik干涉儀偵測變形。此等感測器架構可適用於量測週期目標,而且可適用於量測非週期目標。
在擷取之後,分析所量測信號以基於所量測信號之變動判定疊對誤差。在一進一步態樣中,使用PCA分析光譜或角解析資料,且訓練一疊對模型以基於所量測信號中偵測之主分量來判定疊對。在一實例中,疊對模型係一神經網路模型。在此意義中,疊對模型並非一參數模型,且因此不精確模型化假定不會傾向於引入誤差。如前文描述,基於量測標稱上相似於裝置特徵但是具有較大偏移之專用量測結構來訓練疊對量測模型,可有助於克服敏感度問題。此等偏移可由待在光罩設計期間量測之兩層中特徵之間引入之固定設計偏移而引入。該等偏移亦可由微影曝光之移位而引入。可藉由使用多個移位目標(例如,節距/4及負(-)節距/4)更有效地自壓縮信號(例如,PCA信號)提取疊對誤差,且亦可減小底層之影響。
圖13A至圖13B分別繪示證實量測一柱疊對之X及Y偏移之結果之標繪圖181及182。當存在其他幾何參數變動時針對不同X及Y偏移合成地產生DOE光譜。圖13A繪示用以產生合成光譜之預測x偏移值(以奈米表達)至實際x偏移值之模型擬合。類似地,圖13B繪示用以產生合成光譜之預測y偏移值(以奈米表達)至實際y偏移值之模型擬合。如繪示,殘留誤差之量值小於1奈米。
在另一實施例中,在足夠緊靠的區域中執行若干量測,使得合理假定疊對在所有部位處恆定。接著使用此事實以即時校準裝置上疊對量測。此外,可使用來自該圖案之影像(即,由一成像感測器擷取之影像)之幾何形狀、EDA或遮罩資料之一比較以發現異常。
在又另一實例中,本文描述之裝置上量測之方法及系統可應用於裝置上量測導航。必須自有用的所要量測部位擷取裝置上量測資料。換言之,必須相對於裝置結構精確地定位照明光束以擷取有意義的量測資料。
在一些實施例中,一遮罩設計檔案(例如,一圖形資料庫系統(GDS)檔案)可使用由併入量測工具中之圖案辨識系統辨識之參考標記而用作裝置區域中之導航指南。然而,GDS資料表示在理想狀況下放置在一晶圓表面上之理想結構。實際結構及其等在一印刷晶圓上之放置將會不同。
在又另一態樣中,以本文描述之方式收集並分析量測資料,以判定一所要量測目標在一晶圓表面上之位置。圖14繪示適用於由一量測系統(諸如本發明之圖12中繪示之一量測系統300)實施之一方法200。在一態樣中,應認知到,可經由憑藉運算系統330或任何其他通用運算系統之一或多個處理器執行之預程式化演算法實行方法200之資料處理方塊。本文中應認知到,量測系統300之特定結構態樣不表示限制且應僅被解譯為繪示性。
在方塊201中,接收與一半導體晶圓之一表面上之一位置之量測相關聯之大量量測資料。
在方塊202中,自大量量測資料提取一或多個特徵。在一些實例中,使用主分量分析(PCA)或非線性PCA分析量測資料以提取最強烈地反映期望位於量測位置處之結構之特徵之特徵。
在方塊203中,至少部分基於該一或多個特徵與相關聯於半導體晶圓之表面上之一所要量測目標之理想特徵之一比較,來判定所量測位置對所要量測目標之接近度。
在方塊204中,基於所判定對所要量測目標之接近度而調整該量測位置。可反覆地執行此方法以逐漸移動更接近所要量測部位。
在一些其他實例中,基於所量測特徵之變化判定對所要量測目標之接近度。在一實例中,由一特定空間頻率之虛設結構包圍所要量測目標。量測光點橫越虛設結構以到達所要量測目標處。一特定光瞳位置中存在一特定繞射階將會指示量測光點係在虛設結構上。然而,特定光瞳位置中缺少特定繞射階指示到達量測部位上。
如前文描述,非週期底層結構與週期上層結構組合增強對上層之量測敏感度。因此,在一些實例中,在其中非週期底層結構與一週期上層組合之晶圓之區域中,基於提取自所收集之量測資料之特徵之導航係較佳地。
在又另一進一步態樣中,採用照明光束之短波長分量以基於結構對短波長照明之回應而強調一結構是否係週期結構。足夠短的照明波長實現將以其他方式消失之一階繞射元件之擷取。
在又另一態樣中,執行一量測系統(例如,一2D-BPR系統)之一光瞳影像之一分析,以判定一結構是否係週期或非週期結構。在一實例中,採用光瞳影像之零階對稱之一分析,以判定結構是否係週期或非週期結構。在另一實例中,可採用使用PCA分析自所收集之量測資 料提取之特徵,以判定結構是否係週期或非週期結構。特定言之,PCA分析之高階分量尤其有用於判定結構是否係週期或非週期結構。
在一些實例中,跨一靜態隨機存取記憶體(SRAM)結構執行多次量測以減小裝置上之輻射負荷並同時改良雜訊比。
在一些其他實例中,在一線端結構中及外改變光點大小或入射角。在一些實例中,光點大小或位置之控制係使用空間光調變器、可變變跡器或一光束掃描儀而達成。
在一些其他實例中,亦可執行一大的光點大小信號之一傅立葉分析。
在一些實施例中,一量測工具採用一成像感測器及一散射儀感測器,其等在該兩個感測器之間具有一已知空間偏移。在一些實例中,基於成像感測結果及感測器之間之已知空間偏移判定散射儀感測器之量測位置。
在一些實施例中,組合一CD-SEM子系統及2D BPR子系統。CD-SEM能夠直接解析設計規則特徵,且因此用作高輸貫量2D-BPR量測系統之一導航指南。
在一些實例中,使用經訓練以識別與由量測光束探測之裝置結構一致之一回應之一模型,即時分析所收集之光譜資料。分析結果用以導航至裝置區域中。
在又另一態樣中,本文描述之量測模型結果可用以將主動回饋提供給一程序工具(例如,微影工具、蝕刻工具、沈積工具等等)。例如,使用本文描述之方法判定之深度及焦點參數之值可被傳達至一微影工具以調整微影系統以達成一所要輸出。以一類似方式,蝕刻參數(例如,蝕刻時間、擴散率等等)或沈積參數(例如,時間、濃度等等)可包含在一量測模型中以將主動回饋分別提供給蝕刻工具或沈積工具。
一般而言,本文描述之系統及方法可被實施為製備一量測模型之程序之部分以用於離線或工具上量測。此外,量測模型及任何再參數化量測模型可描述一或多個目標結構及量測部位。
如本文描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角、光柵高度等等)、任何兩個或多個結構之間之一臨界尺寸(例如,兩個結構之間之距離)及兩個或多個結構之間之一移位(例如,疊對光柵結構之間之疊對移位等等)。結構可包含三維結構、圖案化結構、疊對結構等等。
如本文描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。
如本文描述,術語「量測系統」包含至少部分用以在任何態樣特徵化一樣品之任何系統,包含量測應用,諸如臨界尺寸量測、疊對量測、焦點/劑量量測及組合物量測。然而,此等技術術語並未限制如本文描述之術語「量測系統」之範疇。此外,量測系統300可經組態以量測圖案化晶圓及/或未圖案化晶圓。量測系統可被組態為一LED檢驗工具、邊緣檢驗工具、背側檢驗工具、大型檢驗工具或多模式檢驗工具(涉及同時來自一或多個平台之資料)及獲益於基於臨界尺寸資料對系統參數之校準之任何其他量測或檢驗工具。
本文描述可用於處理一樣品之一半導體處理系統(例如,一檢驗系統或一微影系統)之各個實施例。術語「樣品」在本文係用來指一晶圓、一光罩或可由此項技術已知之方式處理(例如,印刷或檢驗缺陷)之任何其他樣本。
如本文使用,術語「晶圓」大體上係指由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。此等基板可通常在半導體製造設施中發現及/或處理。在一些情況下, 一晶圓可僅包含該基板(即,裸露基板)。替代地,一晶圓可包含形成於一基板上之一或多層不同材料。形成於一晶圓上之一或多層可「經圖案化」或「未經圖案化」。例如,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「光罩」可為一光罩製程之任何階段下之一光罩或可或無法被釋放來用於一半導體製造設施之一完整的光罩。一光罩或一「晶圓」大體上被定義為上面形成且以一圖案組態實質上不透明區域之一實質上透明基板。基板可包含(例如)一玻璃材料,諸如非晶SiO2。在一微影程序之一曝光步驟期間可將一光罩安置在一覆蓋有光阻之晶圓上使得光罩上之圖案可被轉移至光阻。
形成於一晶圓上之一或多層可經圖案化或未經圖案化。例如,一晶圓可包含複數個晶粒,其等各自具有可重複圖案特徵。此等材料層之形成及處理可最終產生完整的裝置。許多不同類型的裝置可形成於一晶圓上,且如本文使用之術語晶圓旨在涵蓋上面製造此項技術已知之任何類型的裝置之一晶圓。
在一或多項例示性實施例中,所描述之功能可被實施於硬體、軟體、韌體或其等之任何組合中。若實施於軟體中,則功能可作為一或多個指令或程式碼儲存在一電腦可讀媒體上或經由該電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,通信媒體包含促進一電腦程式自一處傳送至另一處之任何媒體。一儲存媒體可為任何可用媒體(可藉由一通用或專用電腦存取)。舉例而言(且不限於),此電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存裝置,或可用以載送或儲存呈指令或資料結構之形式之所要程式碼及可藉由一通用或專用電腦或一通用或專用處理器存取之任何其他媒體。任何連接亦被適當地稱為一電腦可讀媒體。例如,若軟體使用一同軸纜線、光纖纜線、雙絞 線、數位用戶線路(DSL)或諸如紅外線、無線電及微波之無線技術自一網站、伺服器或其他遠端源傳輸,則同軸纜線、光纖纜線、雙絞線、DSL或諸如紅外線、無線電及微波之無線技術包含於媒體之定義中。如本文使用,光碟及磁碟包含光碟(CD)、雷射碟、光碟、數位多功能光碟(DVD)、軟碟及其中光碟通常磁性地複製資料而磁碟用雷射光學地複製資料之藍光光碟。上述組合應亦包含於電腦可讀媒體之範疇內。
雖然上文出於指導目的描述某些特定實施例,但是本專利文件之教示具有一般適用性且不限於上文描述之特定實施例。因此,在不脫離如申請專利範圍中陳述之本發明之範疇的情況下,可實踐所描述實施例之各種特徵之各種修改、調適及組合。

Claims (20)

  1. 一種方法,其包括:提供照明光至一第一半導體晶圓之一表面上之至少一程序參數、結構參數或兩者發生已知變動之複數個量測部位,其中該複數個量測部位中之至少一者包含一裝置結構;偵測回應於該照明光來自該複數個量測部位中之每一者之一光量;基於經偵測之該光量產生與該複數個量測部位中之每一者相關聯之一量測回應,與該複數個量測部位中之每一者相關聯之該量測回應包括第一數目個量測資料;判定該至少一已知程序參數、結構參數之各者或兩者之一期望回應模型,每一期望回應模型依據(as a function of)該第一半導體晶圓之該表面上之位置定義該參數之值;至少部分基於該第一數目個量測資料來判定一輸入-輸出量測模型;及基於由該期望回應模型判定之參數值來訓練該輸入-輸出量測模型。
  2. 如請求項1之方法,其進一步包括:接收與一第二半導體晶圓之一表面上之第二複數個部位之量測相關聯之第二數目個量測資料,其中該第二複數個部位之至少一者包含該裝置結構;基於該第二數目個量測資料與該經訓練之輸入-輸出量測模型之一擬合,判定與該第二複數個部位之各者相關聯之至少一程序參數值、至少一結構參數值或兩者;及將該至少一程序參數值、該至少一結構參數值之任一者或兩 者儲存於一記憶體中。
  3. 如請求項1之方法,其中該第一數目個量測資料與該第一半導體晶圓之該表面上方之焦點、曝光劑量、疊對及臨界尺寸之任一者發生已知變動之第一複數個部位之量測相關聯。
  4. 如請求項1之方法,其進一步包括:藉由減小該第一數目個量測資料之一規模來提取該第一數目個量測資料之一或多個特徵,且其中該判定該輸入-輸出量測模型係至少部分基於該一或多個特徵。
  5. 如請求項4之方法,其中該減小該第一數目個量測資料之該規模涉及以下項之任一者:一主分量分析、一非線性主分量分析、自該第一數目個量測資料選擇個別信號及該第一數目個量測資料之一篩選。
  6. 如請求項1之方法,其中該期望回應模型係一晶圓映圖模型,且其中該判定該晶圓映圖模型涉及將二維映圖函數擬合至與該第一複數個部位相關聯之該等已知程序參數、結構參數或兩者。
  7. 如請求項1之方法,其中該裝置結構係一專用裝置上量測結構。
  8. 如請求項7之方法,其中該第一複數個部位之至少一者包含位於該第一半導體晶圓之一劃線道中之一輔助結構。
  9. 如請求項1之方法,其進一步包括:至少部分基於提取自第二數目個量測資料之一或多個特徵而導航至該第一半導體晶圓之該表面上之一量測部位。
  10. 如請求項9之方法,其中該導航涉及:接收與該第一半導體晶圓之一表面上之一位置之量測相關聯之該第二數目個量測資料;自該大量量測資料提取一或多個特徵;至少部分基於該一或多個特徵與相關聯於該第一半導體晶圓 之該表面上之一所要量測目標之理想特徵之一比較而判定對該所要量測目標之接近度;及基於對該所要量測目標之接近度而調整該量測位置。
  11. 一種系統,其包括:一照明器,其經組態以提供照明光至一第一半導體晶圓之一表面上之至少一程序參數、結構參數或兩者發生已知變動之複數個量測部位,其中該複數個量測部位中之至少一者包含一裝置結構;一偵測器,其經組態以偵測回應於該照明光來自該複數個量測部位中之每一者之一光量及基於經偵測之該光量產生與該複數個量測部位中之每一者相關聯之一量測回應,與該複數個量測部位中之每一者相關聯之該量測回應包括第一數目個量測資料;及一運算系統,其經組態以:判定該至少一已知程序參數、結構參數之各者或兩者之一期望回應模型,每一期望回應模型依據該第一半導體晶圓之該表面上之位置定義該參數之值;至少部分基於該第一數目個量測資料來判定一輸入-輸出量測模型;及基於由該期望回應模型判定之參數值來訓練該輸入-輸出量測模型。
  12. 如請求項11之系統,其中該運算系統經進一步組態以:接收與一第二半導體晶圓之一表面上之第二複數個部位之量測相關聯之第二數目個量測資料;基於該第二數目個量測資料與該經訓練之輸入-輸出量測模型之一擬合,判定與該第二複數個部位之各者相關聯之至少一程 序參數值、至少一結構參數值或兩者;及將該至少一程序參數值、該至少一結構參數值之任一者或兩者儲存於一記憶體中。
  13. 如請求項11之系統,其中該運算系統經進一步組態以:藉由減小該第一數目個量測資料之一規模來提取該第一數目個量測資料之一或多個特徵,且其中該判定該輸入-輸出量測模型係至少部分基於該一或多個特徵。
  14. 如請求項13之系統,其中該減小該第一數目個量測資料之該規模涉及以下項之任一者:一主分量分析、一非線性主分量分析、自該第一數目個量測資料選擇個別信號及該第一數目個量測資料之一篩選。
  15. 如請求項11之系統,其中該裝置結構係一專用裝置上量測結構。
  16. 如請求項15之系統,其中該第一複數個部位之至少一者包含位於該第一半導體晶圓之一劃線道中之一輔助結構。
  17. 如請求項11之系統,其進一步包括:至少部分基於提取自第二數目個量測資料之一或多個特徵而導航至該第一半導體晶圓之該表面上之一量測部位。
  18. 一種方法,其包括:在一測量位置處將照明光提供至一第一半導體晶圓之一表面上之一量測部位,其中該量測部位包含一裝置結構;偵測回應於該照明光來自該量測部位之一光量;基於經偵測之該光量產生與該量測部位相關聯之一量測回應,該量測回應包括第一數目個量測資料;自該第一數目個量測資料提取一或多個特徵,其中該自該第一數目個量測資料提取該一或多個特徵涉及一主分量分析;至少部分基於該一或多個特徵與相關聯於該半導體晶圓之該 表面上之一所要量測目標之理想特徵之一比較而判定對該所要量測目標之接近度;及基於對該所要量測目標之接近度而調整該量測位置。
  19. 如請求項18之方法,其進一步包括:接收與該半導體晶圓之一所要量測目標之量測相關聯之第二數目個量測資料;基於該第二數目個量測資料與一經訓練之輸入-輸出量測模型之一擬合,判定至少一程序參數值、至少一結構參數值或兩者;及將該至少一程序參數值、該至少一結構參數值之任一者或兩者儲存於一記憶體中。
  20. 如請求項18之方法,其中該所要量測目標係一裝置上結構。
TW103114283A 2013-04-19 2014-04-18 用於裝置上之量測之方法及系統 TWI631476B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361814191P 2013-04-19 2013-04-19
US61/814,191 2013-04-19
US14/252,323 2014-04-14
US14/252,323 US9875946B2 (en) 2013-04-19 2014-04-14 On-device metrology

Publications (2)

Publication Number Publication Date
TW201447622A TW201447622A (zh) 2014-12-16
TWI631476B true TWI631476B (zh) 2018-08-01

Family

ID=51729661

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103114283A TWI631476B (zh) 2013-04-19 2014-04-18 用於裝置上之量測之方法及系統

Country Status (4)

Country Link
US (1) US9875946B2 (zh)
KR (1) KR102035377B1 (zh)
TW (1) TWI631476B (zh)
WO (1) WO2014172648A1 (zh)

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255877B2 (en) * 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10210606B2 (en) 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US10139352B2 (en) 2014-10-18 2018-11-27 Kla-Tenor Corporation Measurement of small box size targets
US9710728B2 (en) 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10345095B1 (en) 2014-11-20 2019-07-09 Kla- Tencor Corporation Model based measurement systems with improved electromagnetic solver performance
US10734293B2 (en) * 2014-11-25 2020-08-04 Pdf Solutions, Inc. Process control techniques for semiconductor manufacturing processes
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US9830421B2 (en) * 2014-12-31 2017-11-28 Kla-Tencor Corp. Alignment of inspection to design using built in targets
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
WO2016123552A1 (en) 2015-01-30 2016-08-04 Kla-Tencor Corporation Device metrology targets and methods
US9470639B1 (en) 2015-02-03 2016-10-18 Kla-Tencor Corporation Optical metrology with reduced sensitivity to grating anomalies
US10185303B2 (en) 2015-02-21 2019-01-22 Kla-Tencor Corporation Optimizing computational efficiency by multiple truncation of spatial harmonics
US10365225B1 (en) 2015-03-04 2019-07-30 Kla-Tencor Corporation Multi-location metrology
US10312161B2 (en) 2015-03-23 2019-06-04 Applied Materials Israel Ltd. Process window analysis
US10502549B2 (en) * 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
US10030965B2 (en) 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
CN112859541A (zh) 2015-05-19 2021-05-28 科磊股份有限公司 光学系统
US10345721B1 (en) * 2015-06-16 2019-07-09 Kla-Tencor Corporation Measurement library optimization in semiconductor metrology
US10380728B2 (en) 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
EP3171396A1 (en) 2015-11-18 2017-05-24 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method of determining an overlay error, manufacturing method and system for manufacturing of a multilayer semiconductor device, and semiconductor device manufactured thereby
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10139358B2 (en) * 2016-01-11 2018-11-27 International Business Machines Corporation Method for characterization of a layered structure
US9921152B2 (en) 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
CN107293475B (zh) * 2016-04-01 2021-01-01 上海新昇半导体科技有限公司 减少外延衬底缺陷的形成方法
US10504759B2 (en) * 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US11313809B1 (en) 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US20170337482A1 (en) * 2016-05-20 2017-11-23 Suraj Sindia Predictive system for industrial internet of things
US9921104B2 (en) 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
CN109643671B (zh) * 2016-08-26 2023-06-06 应用材料公司 自我修复式半导体晶片处理
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10215693B2 (en) 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
WO2018071716A1 (en) 2016-10-13 2018-04-19 Kla-Tencor Corporation Metrology systems and methods for process control
CN110100174B (zh) 2016-10-20 2022-01-18 科磊股份有限公司 用于图案化晶片特性化的混合度量
US10921369B2 (en) 2017-01-05 2021-02-16 Xcalipr Corporation High precision optical characterization of carrier transport properties in semiconductors
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10732516B2 (en) 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10474042B2 (en) * 2017-03-22 2019-11-12 Kla-Tencor Corporation Stochastically-aware metrology and fabrication
US11378451B2 (en) * 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US11248905B2 (en) 2017-08-16 2022-02-15 Kla-Tencor Corporation Machine learning in metrology measurements
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
US10732515B2 (en) 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
US10551166B2 (en) 2017-10-11 2020-02-04 Kla-Tencor Corporation Optical measurement of a highly absorbing film layer over highly reflective film stacks
US10580673B2 (en) * 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11036898B2 (en) 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
CN111566566B (zh) * 2018-06-14 2022-04-08 诺威有限公司 半导体制造的度量和制程控制
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US10801953B2 (en) 2019-01-11 2020-10-13 Kla-Tencor Corporation Semiconductor metrology based on hyperspectral imaging
US10804167B2 (en) 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11137350B2 (en) 2019-01-28 2021-10-05 Kla Corporation Mid-infrared spectroscopy for measurement of high aspect ratio structures
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
CN116165220B (zh) * 2023-04-25 2023-07-04 苏州鑫信腾科技有限公司 一种基于人工智能的aoi内观检测装置及方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070135959A1 (en) * 2004-09-21 2007-06-14 Timbre Technologies, Inc. Optical metrology model optimization based on goals
TW201241949A (en) * 2011-02-17 2012-10-16 Tokyo Electron Ltd Etch process control using optical metrology and sensor devices
TW201314174A (zh) * 2011-06-20 2013-04-01 Tokyo Electron Ltd 利用光學臨界尺寸計量之結構分析用光學參數模型之最佳化方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US8032349B2 (en) 2007-01-25 2011-10-04 International Business Machines Corporation Efficient methodology for the accurate generation of customized compact model parameters from electrical test data
EP2286447A2 (en) 2008-05-21 2011-02-23 KLA-Tencor Corporation Substrate matrix to decouple tool and process effects
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070135959A1 (en) * 2004-09-21 2007-06-14 Timbre Technologies, Inc. Optical metrology model optimization based on goals
TW201241949A (en) * 2011-02-17 2012-10-16 Tokyo Electron Ltd Etch process control using optical metrology and sensor devices
TW201314174A (zh) * 2011-06-20 2013-04-01 Tokyo Electron Ltd 利用光學臨界尺寸計量之結構分析用光學參數模型之最佳化方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Yu, P., Shi, S. X., & Pan, D. Z. (2007). True process variation aware optical proximity correction with variational lithography modeling and model calibration. Journal of Micro/Nanolithography, MEMS, and MOEMS, 6(3), 031004-031004,2007/09/30 *

Also Published As

Publication number Publication date
KR20160002968A (ko) 2016-01-08
TW201447622A (zh) 2014-12-16
WO2014172648A1 (en) 2014-10-23
US9875946B2 (en) 2018-01-23
KR102035377B1 (ko) 2019-10-23
US20140316730A1 (en) 2014-10-23

Similar Documents

Publication Publication Date Title
TWI631476B (zh) 用於裝置上之量測之方法及系統
US10612916B2 (en) Measurement of multiple patterning parameters
KR102468971B1 (ko) 모델 기반 단일 파라미터 측정
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
US10215559B2 (en) Metrology of multiple patterning processes
KR102382490B1 (ko) 모델 기반의 핫 스팟 모니터링
TW201626476A (zh) 用於以影像為基礎之量測及以散射術為基礎之重疊量測之信號回應度量