KR102035377B1 - 온-디바이스 계측 - Google Patents

온-디바이스 계측 Download PDF

Info

Publication number
KR102035377B1
KR102035377B1 KR1020157033000A KR20157033000A KR102035377B1 KR 102035377 B1 KR102035377 B1 KR 102035377B1 KR 1020157033000 A KR1020157033000 A KR 1020157033000A KR 20157033000 A KR20157033000 A KR 20157033000A KR 102035377 B1 KR102035377 B1 KR 102035377B1
Authority
KR
South Korea
Prior art keywords
measurement
model
measurement data
data amount
sites
Prior art date
Application number
KR1020157033000A
Other languages
English (en)
Other versions
KR20160002968A (ko
Inventor
안드레이 쉬케그로프
존 매드센
스틸리안 판데브
애디 리바이
대니얼 칸델
마이클 이 아델
오리 타드모
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20160002968A publication Critical patent/KR20160002968A/ko
Application granted granted Critical
Publication of KR102035377B1 publication Critical patent/KR102035377B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

디바이스 구조체에 대해 직접적으로 반도체 계측을 수행하기 위한 방법 및 시스템이 제시된다. 측정 모델은 적어도 하나의 디바이스 구조체로부터 수집된 측정된 트레이닝 데이터에 기초하여 생성된다. 트레이닝된 측정 모델은 다른 웨이퍼의 디바이스 구조체로부터 수집된 측정 데이터로부터 직접 프로세스 파라미터값, 구조 파라미터값 또는 양쪽을 계산하는 데 사용된다. 일부 예에서, 복수의 타겟으로부터의 측정 데이터는 모델 구축, 트레이닝 및 측정을 위해 수집된다. 일부 예에서, 복수의 타겟과 연관된 측정 데이터의 사용은 측정 결과에서의 하위층의 영향을 제거하거나 상당히 감소시키고 더욱 정확한 측정을 가능하게 한다. 모델 구축, 트레이닝 및 측정을 위해 수집된 측정 데이터는 복수의 상이한 측정 기술의 조합에 의해 수행되는 측정으로부터 도출될 수 있다.

Description

온-디바이스 계측{ON-DEVICE METROLOGY}
관련 출원에 대한 상호 참조
본 특허 출원은 그 청구물이 그 전체로서 여기에 참조로써 여기에 통합되고, 2013년 4월 19일자로 출원되고 발명의 명칭이 "온-디바이스 계측"인 미국 가특허출원번호 제61/814,191호로부터 35 U.S.C. §119 하의 우선권을 주장한다.
기술 분야
설명된 실시예는 계측 시스템 및 방법에 관한 것으로, 더욱 구체적으로는 향상된 파라미터 측정을 위한 방법 및 시스템에 관한 것이다.
배경 정보
로직 및 메모리 디바이스와 같은 반도체 디바이스는 시편에 적용되는 프로세싱 스텝의 시퀀스에 의해 통상적으로 제조된다. 반도체 디바이스의 다양한 피쳐 및 복수의 구조 레벨은 이러한 프로세싱 스텝에 의해 형성된다. 예를 들어, 그 중에서 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것과 관련된 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는 화학-기계적 연마, 에칭, 증착 및 이온 주입을 포함하지만 이에 한정되지는 않는다. 복수의 반도체 디바이스가 단일 반도체 웨이퍼 상에 제조될 수 있으며, 그 후 개별 반도체 디바이스로 분리된다.
더 높은 수율을 촉진하기 위해 웨이퍼 상의 결함을 검출하는 반도체 제조 프로세스 중의 다양한 스텝에서 계측 프로세스가 사용된다. 광 계측 기술은 샘플 파괴의 위험 없이 높은 스루풋의 측정에 대한 가능성을 제시한다. 산란 측정과 반사 측정 구현과 관련된 분석 알고리즘을 포함하는 다수의 광 계측 기반 기술이 나노스케일 구조체의 임계 치수, 막 두께, 조성 및 다른 파라미터를 특징화하기 위해 통상적으로 사용된다.
통상적으로, 광 계측은 박막 및/또는 반복된 주기의 구조체로 이루어지는 타겟에 대해 수행된다. 디바이스 제조 중에, 이러한 막 및 주기적 구조체는 통상적으로 실제 디바이스의 지오메트리와 재료 구조 또는 중간 설계를 나타낸다. 디바이스(예를 들어, 로직 및 메모리 디바이스)가 더 작은 나노미터-스케일 치수로 옮겨감에 따라, 특징화가 더욱 어려워지고 있다. 복잡한 3차원 지오메트리와 다양한 물리적 특성을 갖는 재료를 통합하는 디바이스는 특징화 곤란에 기여한다.
예를 들어, 현대의 메모리 구조체는 종종 광 방사가 바닥층을 투과하기 어렵게 만드는 높은 종횡비의 3차원 구조체이다. 또한, 복잡한 구조체(예를 들어, FinFET)를 특징화하는 데 필요한 파라미터의 증가하는 개수는 증가하는 파라미터의 상관으로 귀결된다. 그 결과, 타겟을 특징화하는 측정 모델 파라미터가 신뢰성있게 디커플링될 수 없다.
이러한 문제에 응답하여, 더 복잡한 광학 툴이 개발되었다. 측정은 몇몇 머신 파라미터(예를 들어, 파장, 입사의 방위각 및 각도 등)의 넓은 범위에 대해 종종 동시에 수행된다. 그 결과, 측정 시간, 연산 시간 및 측정 방법을 포함하는 신뢰성 있는 결과를 생성하는 전체 시간이 현저하게 증가한다. 또한, 큰 파장 범위에 대한 광 강도의 확산은 임의의 특정 파장에서의 조도를 감소시키고 그 파장에서 수행되는 측정의 신호 불확실성을 증가시킨다.
또한, 기존 모델 기반 계측 방법은 통상적으로 구조 파라미터를 모델링하고 그 후 측정하는 일련의 스텝을 포함한다. 통상적으로 측정 데이터는 특정 계측 타겟으로부터 수집된다(예를 들어, DOE 스펙트럼). 광학 시스템, 분산 파라미터 및 지오메트릭 피쳐의 정확한 모델은 공식화된다. 막 스펙트럼 측정이 재료 분산을 결정하기 위해 수집된다. 타겟 구조의 파라미터의 지오메트릭 모델은 광학 모델을 따라 생성된다. 또한, 시뮬레이션 근사화(예를 들어, 슬래빙(slabbing), 엄밀한 결합파 분석(RCWA: Rigorous Coupled Wave Analysis) 등)는 과도하게 큰 에러를 도입하는 것을 피하기 위해 주의 깊게 수행되어야 한다. 이산 및 RCWA 파라미터가 정의된다. 일련의 시뮬레이션, 분석, 및 회귀가 지오메트릭 모델을 개선하고 어느 모델 파라미터를 플로팅할지를 결정하기 위해 수행된다. 합성 스펙트럼의 라이브러리가 생성된다. 마지막으로, 라이브러리 및 지오메트릭 모델을 사용하여 측정이 수행된다. 각 스텝은 에러를 도입하고 상당량의 연산 및 사용자 시간을 소비한다. 통상적으로, 모델 구축 작업은 완료하기 위해 며칠 또는 심지어 몇 주를 필요로 한다. 또한, 측정 중에 회귀 계산을 수행하는 것과 연관된 연산 시간 및 라이브러리의 사이즈는 측정 시스템의 스루풋을 감소시킨다.
또한, 통상적인 계측 기술은 전용 계측 구조 또는 타겟에 의존한다. 반도체 제조에서, 특히 패턴화 프로세스에서, 프로세스 제어는 특정의 전용 구조에 대한 계측을 수행함으로써 가능하게 된다. 이러한 전용 구조는 다이 사이, 다이 자체 내부의 스크라이브 라인에 위치될 수 있다. 전용 계측 구조의 사용은 상당한 측정 에러를 도입할 수 있다. 실제 디바이스 구조와 전용 계측 타겟 사이의 불일치는 다이 내의 실제 디바이스 피쳐의 상태를 정확하게 반영하는 계측 데이터의 능력을 제한한다. 일례에서, 전용 계측 타겟과 실제 디바이스 구조가 병치되지 않으므로, 프로세스 로딩, 패턴 밀도 또는 수차 필드의 위치 종속 차이로 인해 불일치가 발생한다. 다른 예에서, 전용 계측 구조와 실제 디바이스 구조의 특징적인 피쳐 사이즈는 종종 상당히 다르다. 따라서, 전용 계측 타겟과 실제 디바이스 구조가 밀접하게 근사하더라도, 불일치는 사이즈의 차이에 기인한다. 또한, 전용 계측 구조는 디바이스 레이아웃에서의 공간을 필요로 한다. 샘플링 밀도 요건이 높을 때, 전용 계측 구조는 실제 디바이스 구조의 공간을 없게 만든다.
장래의 계측 어플리케이션은 점점 더 증가하는 작은 해상도 요건, 멀티-파라미터 상관, 점점 더 증가하는 복잡한 지오메트릭 구조 및 불투명 재료의 점점 더 증가하는 사용으로 인해 계측을 위한 문제를 제시한다. 따라서, 향상된 측정을 위한 방법 및 시스템이 요망된다.
디바이스 구조체에 대해 직접 반도체 계측을 수행하기 위한 방법 및 시스템이 제시된다. 측정 모델은 적어도 하나의 디바이스 구조체로부터 수집된, 측정된 트레이닝 데이터에 기초하여 생성된다. 트레이닝된 측정 모델은 다른 웨이퍼의 디바이스 구조체로부터 수집된 측정 데이터로부터 프로세스 파라미터값, 구조 파라미터값 또는 양쪽을 직접 계산하는 데 사용된다.
일 양태에서, 측정 모델은 적어도 하나의 실제 디바이스 구조체로부터 수집된 측정된 트레이닝 데이터(예를 들어, 실험 설계(DOE) 웨이퍼로부터 수집된 스펙트럼)에만 기초하여 생성된다. 그 후, 트레이닝된 측정 모델은 다른 웨이퍼의 실제 디바이스 구조체로부터 수집된 측정된 데이터(예를 들어, 스펙트럼)로부터 직접 프로세스 파라미터값, 구조 파라미터값 또는 양쪽을 계산하는 데 사용된다.
여기에서 설명되는 측정 모델은 입력으로서 직접 측정 데이터를 수신하고 출력으로서 프로세스 파라미터값, 구조 파라미터값 또는 양쪽을 제공한다. 모델링 프로세스를 간소화함으로써, 예측 결과는 연산 및 사용자 시간의 감소를 따라 향상된다.
비한정적인 예의 방식으로, 여기에 설명되는 온-디바이스 계측의 방법 및 시스템은 오버레이 계측, CD 계측, 막 계측, 성분 계측, 초점 및 노광량 계측 및 온-디바이스 내비게이션에 적용된다.
하나의 추가적인 양태에서, 온-디바이스 또는 스크라이브 라인 내에서 발견될 수 있는 지원 타겟과 온-디바이스 측정 타겟 양쪽으로부터의 측정 데이터는 모델 구축, 트레이닝, 및 측정을 위해 수집된다. 일부 예에서, 복수의 타겟과 연관된 측정 데이터의 사용은 측정 결과에서의 하위층의 영향을 제거하거나 상당히 감소시킨다. 복수의 타겟과 연관된 측정 데이터의 사용은 모델에 삽입된 샘플 및 프로세스 정보를 증가시킨다. 특히, 하나 이상의 측정 사이트에서의 복수의 상이한 타겟의 측정을 포함하는 트레이닝 데이터의 사용은 더욱 정확한 측정을 가능하게 한다.
또 다른 양태에서, 웨이퍼 표면 상의 원하는 계측 타겟의 위치를 결정하기 위해 측정 데이터가 수집 및 분석된다.
또 다른 양태에서, 복수의 상이한 측정 기술의 조합에 의해 수행되는 측정으로부터 도출되는 측정 데이터가 모델 구축, 트레이닝 및 측정을 위해 수집된다. 복수의 상이한 측정 기술과 연관된 측정 데이터의 사용은 모델에 삽입된 샘플 및 프로세스 정보를 증가시키고 더욱 정확한 측정을 가능하게 한다. 일반적으로, 임의의 측정 기술 또는 2개 이상의 측정 기술의 조합이 고려될 수 있다.
또 다른 양태에서, 여기에 설명되는 측정 모델 결과는 프로세스 툴(예를 들어, 리소그래피 툴, 에칭 툴, 증착 툴 등)에 대하여 능동 피드백을 제공하는 데 사용될 수 있다.
상술한 것은 개요이며, 따라서 필요에 의해 단순화, 일반화 및 상세사항의 생략을 포함하며, 따라서 본 기술분야의 당업자는, 개요다 단지 예시적이며 절대 한정적인 것이 아니라는 것을 이해할 것이다. 여기에 설명되는 디바이스 및/또는 프로세스의 다른 양태, 진보적인 특징 및 이점은 여기에 제시되는 비한정적인 상세한 설명에서 명백하게 될 것이다.
도 1은 여기에 설명된 측정 모델을 구축하고 트레이닝하는 방법(100)을 예시하는 흐름도이다.
도 2는 여기에 설명된 다른 예에서 측정 모델을 구축하고 트레이닝하는 방법(110)을 예시하는 흐름도이다.
도 3은 여기에 설명된 또 다른 예에서 측정 모델을 구축하고 트레이닝하는 방법(120)을 예시하는 흐름도이다.
도 4는 여기에 설명된 또 다른 예에서 측정 모델을 구축하고 트레이닝하는 방법(130)을 예시하는 흐름도이다.
도 5는 방법(100, 110, 120, 130) 중 임의의 것에 의해 생성된 측정 모델을 사용하여 반도체 웨이퍼의 프로세스 파라미터, 구조 파라미터 또는 양쪽을 측정하는 방법(140)을 예시하는 흐름도이다.
도 6a 및 6b는 FEM 웨이퍼의 노광량 및 초점 심도의 측정을 각각 나타내는 윤곽도이다.
도 6c 및 6d는 샘플 웨이퍼의 노광량 및 초점 심도의 측정을 각각 나타내는 윤곽도이다.
도 6e 및 6f는 다른 샘플 웨이퍼의 노광량 및 초점 심도의 측정을 각각 나타내는 윤곽도이다.
도 7a 및 7b는 초점 노광 매트릭스(FEM) 웨이퍼의 표면을 가로지르는 격리 구조 및 밀집 구조의 중간 임계 치수(MCD)의 측정을 각각 나타내는 윤곽도이다.
도 8a 및 8b는 샘플 웨이퍼의 표면을 가로지르는 격리 구조 및 밀집 구조의 중간 임계 치수(MCD)의 측정을 각각 나타내는 윤곽도이다.
도 9a 및 9b는 FEM 웨이퍼의 표면을 가로지르는 초점 심도 및 노광량의 측정을 각각 나타내는 윤곽도이다.
도 10a 및 10b는 샘플 웨이퍼의 표면을 가로지르는 초점 심도 및 노광량의 측정을 각각 나타내는 윤곽도이다.
도 11은 FEM 웨이퍼로부터 수집된 스펙트럼의 주성분 맵의 매트릭스(170)를 나타내는 도면이다.
도 12는 여기에 제시된 예시적인 방법에 따른 시편의 특징을 측정하기 위한 시스템(300)을 나타낸다.
도 13a 및 13b는 포스트 오버레이의 X 및 Y 오프셋의 측정을 각각 나타내는 플롯이다.
도 14는 원하는 측정 타겟으로 내비게이팅하는 방법(200)을 나타내는 흐름도이다.
그 예들이 첨부 도면에 예시되는 본 발명의 배경 예들 및 일부 실시예들이 이하 상세하게 참조될 것이다.
실제 디바이스 구조체 상에 직접 반도체 계측을 수행하기 위한 방법 및 시스템이 제시된다.
일 양태에서, 측정 모델은 적어도 하나의 실제 디바이스 구조체로부터 수집된 측정된 트레이닝 데이터(예를 들어 실험 설계(DOE) 웨이퍼로부터 수집된 스펙트럼)에 기초하여 생성된다. 그 후, 트레이닝된 측정 모델은 다른 웨이퍼의 실제 디바이스 구조체로부터 수집된 측정된 데이터(예를 들어, 스펙트럼)로부터 프로세스 파라미터값, 구조 파라미터값 또는 양쪽을 직접 계산하는 데 사용된다. 이러한 방식으로, 샘플로부터 취득된 스펙트럼만이 측정 모델을 생성하고 모델을 사용하여 측정을 수행하는 데 요구된다.
여기에 설명된 측정 모델은 입력으로서 직접 측정 데이터(예를 들어, 측정된 스펙트럼)를 수신하고, 프로세스 파라미터값, 구조 파라미터값 또는 양쪽을 출력으로서 제공한다. 모델링 프로세스를 간소화함으로써, 예측 결과가 연산 및 사용자 시간의 감소를 따라 향상된다.
추가적인 양태에서, 프로세스 파라미터는 여기에 설명되는 적어도 하나의 실제 디바이스 구조체로부터 수집된 미가공 측정 데이터(예를 들어, 스펙트럼)로부터 생성된 측정 모델에 기초하여 직접 측정된다. 따라서, 지오메트릭 파라미터로부터 프로세스 파라미터를 도출하기 위한 별도의 모델이 요구되지 않는다. 프로세스 변화가 모델에 의해 포착되므로, 기저 구조 토폴로지가 프로세스 변화로 인해 변화하고 있을 때에도 프로세스 파라미터값이 측정된다. 이것은 기존 모델 기반의 계측 방법을 사용하여 모델링하기에 불가능하거나 매우 어렵다.
실제 디바이스 구조체 상에서 직접 반도체 계측을 수행하기 위한 방법 및 시스템이 제시되지만, 이러한 방법 및 시스템은 또한 다이 내에 위치되거나 스크라이브 라인 내의 전용 계측 타겟(예를 들어, 프록시 구조)의 측정을 포함할 수도 있다.
통상적으로, 모델 기반 반도체 계측은 특정 계측 시스템과 측정 타겟의 상호 작용의 모델에 기초하여 측정된 광 신호를 예측하기를 시도하는 계측 모델을 공식화하는 것으로 이루어진다. 타겟 특정 모델은 관심 측정 타겟의 물리적 속성(예를 들어, 막 두께, 임계 치수, 굴절률, 격자 피치 등)의 관점에서의 구조의 파라미터화를 포함한다. 또한, 모델은 측정 툴 자체(예를 들어, 파장, 입사 각도, 편향 각도 등)의 파라미터화를 포함한다.
머신 파라미터(Pmachine)는 계측 도구 자체를 특징화하는 데 사용되는 파라미터이다. 예시적인 머신 파라미터는 입사 각도(AOI), 분석기 각도(Ao), 편향기 각도(Po), 조명 파장, 개구수(NA) 등을 포함한다. 시편 파라미터(Pspecimen)는 시편의 지오메트릭 및 재료 속성을 특징화하는 데 사용되는 파라미터이다. 박막 시편에 대하여, 예시적인 시편 파라미터는 굴절률, 유전 함수 텐서, 모든 층의 명목 층 두께, 층 시퀀 등을 포함한다.
측정 목적을 위해, 머신 파라미터는 알려진 고정 파라미터로서 취급되고, 시편 파라미터 또는 시편 파라미터의 서브셋은 알려지지 않은 부동 파라미터로 취급된다. 부동 파라미터는 이론적인 예측과 측정된 데이터 사이의 최적 맞춤을 생성하는 맞춤 프로세스(예를 들어, 회귀, 라이브러리 매칭 등)에 의해 해결된다. 알려지지 않은 시편 파라미터, Pspecimen은 다양하고, 시편 파라미터 값의 세트가 결정될 때까지 모델 출력값이 계산되어, 모델 출력값과 측정된 값 사이의 근접한 일치로 귀결된다.
많은 경우에, 시편 파라미터는 높게 상관된다. 이것은 계측 기반 타겟 모델의 불안정성으로 귀결될 수 있다. 일부 경우에, 이것은 특정 시편 파라미터를 고정함으로써 해결된다. 하지만, 이것은 종종 나머지 파라미터의 추정에 있어서의 상당한 에러로 귀결된다. 예를 들어, 하위층(예를 들어, 반도체 웨이퍼 상의 반도체 재료 스택의 산화물 베이스층)은 웨이퍼의 표면 위에서 균일하게 두껍지 않다. 하지만, 파라미터 상관을 감소시키기 위해, 이러한 층을 웨이퍼의 표면 위에서 고정된 두께를 갖는 것으로 취급하는 측정 모델이 구축된다. 불행하게도, 이는 다른 파라미터의 추정에 있어서 상당한 에러로 귀결될 수 있다.
여기에 설명된 프로세스 파라미터, 지오메트릭 파라미터 또는 양쪽의 모델을 단지 생성하기 위해 미가공 측정 데이터(예를 들어, 스펙트럼)를 사용함으로써, 통상적인 모델 기반 계측 방법과 연관된 에러 및 근사화가 감소된다. 복잡한 3차원 구조의 측정 및 다이 내부 측정이 지오메트릭 모델 및 시뮬레이션에 의해 추가된 복잡성 없이 가능하게 된다. 일부 예에서, 모델은 한 시간 내에 생성될 수 있다. 또한, 단순화된 모델을 채용함으로써, 측정 시간이 기존 모델 기반 계측 방법에 비해 감소된다. 일부 예에서, 측정 시간은 측정 사이트 당 100분의 수초 미만이다. 반대로, 통상 모델 기반 계측 방법을 사용한 측정 시간은 일부 경우에 1초보다 클 수 있다.
도 1은 본 발명의 도 12에 나타낸 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(100)을 나타낸다. 일 양태에서, 방법(100)의 데이터 프로세싱 블록은 컴퓨팅 시스템(330) 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 사전 프로그램된 알고리즘을 통해 수행될 수 있다는 것이 이해된다. 여기에서, 계측 시스템(300)의 특정 구조 양태는 한정을 나타내지 않고 단지 예시적인 것으로 해석되어야 한다는 것이 이해된다.
블록 101에서, 반도체 웨이퍼의 표면 상의 제1 복수의 사이트의 측정과 연관된 제1 측정 데이터량이 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(330))에 의해 수신된다. 측정된 사이트는 적어도 하나의 프로세스 파라미터, 구조 파라미터 또는 양쪽의 알려진 변화를 나타낸다.
일부 실시예에서, 프로세스 파라미터 변화는 반도체 웨이퍼(예를 들어, DOE 웨이퍼)의 표면 상의 실험 설계(DOE) 패턴에서 조직화된다. 이러한 방식으로, 측정 사이트는 상이한 프로세스 파라미터값에 부합하는 웨이퍼 표면 상의 상이한 위치를 문의한다. 일례에서, DOE 패턴은 초점/노광 매트릭스(FEM) 패턴이다. 통상적으로, FEM 패턴을 나타내는 DOE 웨이퍼는 측정 사이트의 격자 패턴을 포함한다. 하나의 격자 방향(예를 들어, x 방향)에서, 초점 심도가 일정하게 유지되면서 노광량이 변한다. 수직 격자 방향(예를 들어, y 방향)에서, 노광량이 일정하게 유지되면서 초점 심도가 변한다. 이러한 방식으로, DOE 웨이퍼로부터 수집된 측정 데이터는 초점 및 노광량 프로세스 파라미터의 알려진 변화와 연관된 데이터를 포함한다.
상술한 예에서, 측정 데이터는 초점 및 노광에서의 알려진 변화로 프로세싱된 DOE 웨이퍼와 연관된다. 하지만, 일반적으로 프로세스 파라미터, 구조 파라미터 또는 양쪽의 임의의 알려진 변화와 연관된 측정 데이터가 고려될 수 있다.
일 양태에서, 제1 측정 데이터량은 디바이스 구조체를 포함하는 반도체 웨이퍼의 표면 상의 사이트에서의 측정과 연관된 측정 데이터를 포함한다. 즉, 측정 데이터의 적어도 일부는 온-디바이스 측정을 포함한다. 일례에서, 측정 데이터는 제조의 특정 스테이지 또는 복수의 제조 스테이지에서 온-디바이스 위치에서 획득된 스펙트럼 범위에 걸쳐 2개의 타원 계측 파라미터(Ψ, Δ)를 포함한다.
모델 트레이닝의 목적을 위해, 추가적인 측정 파라미터가 예를 들어 구조 또는 프로세스 파라미터인 설계 파라미터의 알려진 교란을 갖는 다른 위치로부터 취득될 수 있다. 예를 들어, 이러한 위치는 스크라이브 라인에 있을 수 있거나, 예를 들어, 리소그래피 노광 조건 또는 레티클 설계 특징이 값들의 범위에 걸쳐 변하는 웨이퍼 상의 다른 위치에 있을 수 있다. 다른 예에서, 측정 데이터는 상이한 디바이스 위치(예를 들어, 밀집 피쳐를 갖는 위치와 격리 피쳐를 갖는 위치 또는 마스크 상의 2개의 상이한 CD를 갖는 위치)로부터 취득될 수 있다. 일반적으로, 측정 데이터는 알려진 방식으로 교란되는 상이한 위치로부터 취득된다. 교란은 마스크 데이터, 장비 데이터 취득(EDA) 데이터, 프로세스 데이터 등으로부터 알려질 수 있다.
시스템 변화의 세트는 통상적으로 실험 설계(DOE)로 칭해진다. 일례에서, 초점, 노광 및 오버레이 중 임의의 것이 디바이스 또는 웨이퍼 별로 시스템적으로 변한다. 다른 예에서, 랜덤화된 초점 및 노광 매트릭스(FEM)가 참조로써 여기에 그 전체가 통합되고 발명자가 Izikson 등인 미국 특허 제8,142,966호에 설명된 바와 같이 하위층 파라미터와의 상관을 감소시키기 위해 채용된다.
바람직한 실시예에서, 시스템 변화의 세트는 실제 DOE 웨이퍼의 제조에서 구현된다. DOE 웨이퍼는 블록 101에서 수신된 측정 데이터를 생성하기 위해 후속적으로 측정된다. 제조된 웨이퍼는 시뮬레이션에 의해 용이하게 모델링될 수 없는 시스템적인 에러를 포함한다. 예를 들어, 하위층의 영향은 실제 웨이퍼의 측정에 의해 더욱 정확하게 포착된다. 하위층 기여는 고정된 하위층 조건에 대해, 예를 들어, 초점 및 노광 변화인, 제조 중의 프로세스 파라미터를 수정함으로써 측정 응답으로부터 비상관화될 수 있다. 다른 예에서, 하위층 기여는 다양한 최상위층 토포그래피 및 일정한 하위층 조건을 갖는 피쳐로부터 복수의 데이터 세트를 취함으로써 경감될 수 있다. 일례에서, 최상위층은 주기적 구조를 포함할 수 있고 하위층은 비주기적일 수 있다.
측정 위치는 측정 감도를 증가시키도록 선택될 수 있다. 일례에서, 선 단부에서 수행되는 측정은 초점에서의 변화에 대해 가장 민감하다. 일반적으로, 측정은 측정되는 파라미터의 변화에 가장 민감한 온-디바이스 구조체에서 행해져야 한다.
DOE 웨이퍼의 실제 측정을 수행하는 것이 바람직하지만, 일부 다른 예에서 DOE 웨이퍼의 측정 응답이 시뮬레이팅될 수 있다. 이 예에서, 블록 101에서 수신된 측정 데이터는 합성되어 생성된다.
블록 102에서, 하나 이상의 피쳐가 제1 측정 데이터량으로부터 추출된다. 일부 예에서, 측정 데이터는 상이한 측정 사이트에 존재하는 프로세스 파라미터, 구조 파라미터 또는 양쪽의 변화를 가장 강하게 반영하는 피쳐를 추출하기 위해 주성분 분석(PCA) 또는 비선형 PCA를 사용하여 분석된다. 일부 다른 예에서, 신호 필터링 기술이 상이한 측정 사이트에 존재하는 파라미터 변화를 가장 강하게 반영하는 신호 데이터를 추출하기 위해 적용될 수 있다. 일부 다른 예에서, 상이한 측정 사이트에 존재하는 파라미터 변화를 가장 강하게 반영하는 개별 신호가 측정 데이터에 존재하는 복수의 신호로부터 선택될 수 있다. 후속하여 분석되는 데이터의 치수를 감소시키기 위해 측정 데이터로부터 피쳐를 추출하는 것이 바람직하지만, 이것이 엄격하게 필요하지는 않다. 이러한 관점에서, 블록 102는 선택적이다.
블록 103에서, 입력-출력 측정 모델은 측정 데이터로부터 추출된 피쳐에 기초하여 결정되거나, 대안적으로 측정 데이터로부터 직접 결정된다. 입력-출력 측정 모델은 하나 이상의 측정 사이트에서 계측 시스템에 의해 생성된 측정 데이터를 수신하고, 각각의 측정 타겟과 연관된 프로세스 파라미터값, 구조 파라미터값 또는 양쪽을 직접 결정하도록 구조화된다. 바람직한 실시예에서, 입력-출력 측정 모델은 신경망 모델로서 구현된다. 일례에서, 신경망의 노드의 개수는 측정 데이터로부터 추출된 피쳐에 기초하여 선택된다. 다른 예에서, 입력-출력 측정 모델은 다항식 모델, 응답 표면 모델 또는 다른 유형의 모델로서 구현될 수 있다.
블록 104에서, 예측된 응답 모델이 측정 데이터가 수집되는 측정 사이트에 걸쳐 변하는 것으로 알려진 각각의 파라미터에 대하여 생성된다. 일반적으로, 예측된 응답 모델은 웨이퍼 표면 상의 위치의 함수로서 알려진, 다양한 파라미터의 값을 규정한다. 이러한 방식으로, 예측된 응답 모델은 소정의 파라미터에 대하여 웨이퍼 맵의 예측된 전체 형상을 규정한다.
블록 105에서, 입력-출력 모델은 예측된 응답 모델로부터 결정된 파라미터값에 기초하여 트레이닝된다. 이러한 방식으로, 예측된 응답 모델에 삽입된 프로세스 정보가 프로세스 공간 내의 입력-출력 모델을 제한하는 데 사용된다. 이러한 방식으로, 트레이닝된 입력-출력 측정 모델이 DOE 측정 데이터와 예측된 응답 모델을 사용하여 생성된다. 그 출력이 DOE 스펙트럼에 의해 규정된 프로세스 변화 공간에서의 모든 스펙트럼에 대해 규정된 예측 응답과 맞추어지도록 모델이 트레이닝된다.
일부 예에서, 하나 이상의 프로세스 파라미터가 측정되어야 한다. 이러한 예에서, 예측된 응답 모델은 측정된 DOE 웨이퍼와 연관된 알려진 프로세스 파라미터값에 기초한다.
도 2는 다른 예에서 본 발명의 도 12에 나타내어진 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(110)을 나타낸다. 방법(110)은 도 1을 참조하여 설명한 바와 같이 동일하게 번호가 매겨진 블록을 포함한다. 도 2에서 나타낸 바와 같이, 블록 111에서, 알려진 프로세스 파라미터값은 컴퓨팅 시스템(330)과 같은 컴퓨팅 시스템에 의해 수신된다. 일부 예에서, 알려진 프로세스 파라미터값은 초점 심도와 DOE 웨이퍼를 제조하는 데 사용되는 리소그래피 시스템의 노광량이다.
블록 113에서, 컴퓨팅 시스템(330)은 각각의 프로세스 파라미터에 대해 예측된 응답 모델을 결정한다. 통상적인 DOE 웨이퍼에서, 초점 및 노광은 DOE 웨이퍼의 x 및 y 좌표에 따라 선형으로 변한다. 일부 예에서, DOE 웨이퍼에 대한 초점 파라미터에 대해 예측된 응답 형태는 웨이퍼의 중간에서 교차하는 제로를 갖는 x 방향으로 경사진 평면이다. 일례에서, 초점 파라미터값을 결정하는 예측된 응답 함수는, 초점 = a*x + b이며, a 및 b는 각각의 측정 사이트에서 알려진 초점 파라미터값에 최적으로 맞는 것을 구현하는 계수이다. 마찬가지로, DOE 웨이퍼 상의 노광 파라미터에 대한 예측된 응답 형태는 웨이퍼의 중간에서 교차하는 제로를 갖는 y 방향으로 경사진 평면이다. 다른 예에서, 노광 파라미터값을 결정하는 예측된 응답 함수는, 노광 = c*y + d이며, c 및 d는 각각의 측정 사이트에서 알려진 노광 파라미터값에 최적으로 맞는 것을 구현하는 계수이다.
다른 예에서, DOE 웨이퍼의 예측된 응답 모델은 각각의 측정 사이트에서 초점 및 노광의 알려진 값으로 2차원 맵 함수(즉, {x,y})를 맞춤으로써 결정된다.
일부 다른 예에서, 하나 이상의 구조 파라미터가 측정되어야 한다. 지오메트릭 파라미터에 대하여, 웨이퍼 맵의 형태는 더욱 복잡할 수 있으며, 종종 그 형태는 프로세스에 의해 규정된다. 이러한 예의 일부에서, 예측된 응답 모델은 측정된 DOE 웨이퍼와 연관된 알려진 프로세스 파라미터값에 기초하여 생성된다. 도 3은 또 다른 예에서의 본 발명의 도 12에 나타내어진 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(120)을 나타낸다. 방법(120)은 도 1을 참조하여 설명한 바와 같이 동일하게 번호가 붙여진 블록을 포함한다.
도 3에 나타낸 바와 같이, 블록 121에서, 알려진 프로세스 파라미터는 컴퓨팅 시스템(330)과 같은 컴퓨팅 시스템에 의해 수신된다. 일례에서, 알려진 프로세스 파라미터는 각각의 측정 사이트에 대응하는 알려진 초점 및 노광값이다.
블록 122에서, 컴퓨팅 시스템(330)은, 각각의 측정 사이트에서 알려진 프로세스 파라미터값의 각각과 연관된 예측된 구조 파라미터 값이 시뮬레이션에 기초하여 결정되는지를 결정한다. 예를 들어, 프로세스 시뮬레이터는 프로세스 파라미터값의 소정의 세트에 대하여 구조 파라미터(즉, 지오메트릭 또는 재료 파라미터)의 예측된 응답을 규정하기 위해 채용된다. 예시적인 프로세스 시뮬레이터는, 미국의 캘리포니아주, 밀피타스에 소재한 KLA-Tencor Corporation으로부터 이용가능한 PROLITH(Positive Resist Optical Lithography) 시뮬레이션 소프트웨어를 포함한다. 이러한 예시적인 리소그래피 프로세스 모델은 PROLITH 소프트웨어를 사용하여 생성되지만, 일반적으로 임의의 프로세스 모델링 기술 또는 툴이 본 특허 문서의 범위 내에서 고려될 수 있다(예를 들어, 미국 노스캐롤라이나주, 캐리에 소재한 Coventor, Inc.로부터 이용가능한 Coventor 시뮬레이션 소프트웨어). 일부 예에서, 각각의 측정 사이트에서의 예측된 구조 파라미터값은 각각의 측정 사이트에 대응하는 대응 초점 및 노광 파라미터값에 기초하여 결정된다.
블록 123에서, 컴퓨팅 시스템(330)은 각각의 구조 파라미터의 예측된 응답 모델을 결정한다. 일부 예에서, 예측된 응답 모델은 각각의 측정 사이트와 연관된 구조 파라미터값에 2차원(예를 들어, {x,y}) 맵 함수를 맞춤으로써 결정된다.
일부 다른 예에서, 구조 파라미터에 대한 예측된 응답 모델은 DOE 웨이퍼와 연관된 측정 데이터의 피쳐에 기초하여 결정된다. 도 4는 또 다른 예에서의 본 발명의 도 12에 나타내어진 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(130)을 나타낸다. 방법(130)은 도 1을 참조하여 설명한 바와 같이 동일하게 번호가 붙여진 블록을 포함한다.
도 4에 나타낸 바와 같이, 블록 131에서, DOE 웨이퍼에 대한 구조 파라미터의 측정과 연관된 기준 측정 데이터가 예를 들어 컴퓨팅 시스템(330)에 의해 수신된다. 기준 측정 데이터는 스캐닝 전자 마이크로스코프(SEM), 터널링 전자 마이크로스코프(TEM), 원자력 마이크로스코프(AFM) 또는 x-선 측정 시스템과 같은 기준 계측 시스템에 의해 DOE 웨이퍼의 하나 이상의 측정 사이트에서의 타겟의 측정으로부터 도출된다.
또한, 블록 102에서, 하나 이상의 피쳐(예를 들어, 형태 함수)가 도 1을 참조하여 설명한 바와 같이 측정 데이터로부터 추출된다. 일례에서, 측정된 스펙트럼의 제1 주성분(PC1)이 특정 구조 파라미터(예를 들어, 중간 임계 치수(MCD))와 연관된 응답 표면의 전체 형태를 설명하는 데 사용된다.
블록 132에서, 컴퓨팅 시스템(330)은 교정된 응답 표면을 생성하기 위해 기준 측정 데이터에 기초한 측정 데이터로부터 추출된 형태 함수(들)를 교정한다.
블록 133에서, 컴퓨팅 시스템(330)은 교정된 응답 표면에 대하여 2차원(예를 들어, {x,y}) 맵 함수를 맞춤으로써 알려진 구조 파라미터의 각각의 예측된 응답 모델을 결정한다. 일례에서, MCD 파라미터의 예측된 응답 모델은: MCD = a01 + a11(y + r0Y2) +a21x2이며, x 및 y는 웨이퍼 좌표이고, a01, a11, r0, a21은 교정된 형태 함수에 대해 함수를 최적으로 맞추는 계수이다.
다른 양태에서, 트레이닝 모델은 다른 웨이퍼의 측정을 위한 측정 모델로서 채용된다. 도 5는 본 발명의 도 12에 나타낸 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(140)을 나타낸다. 일 양태에서, 방법(140)의 데이터 프로세싱 블록은 컴퓨팅 시스템(330) 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 사전 프로그램된 알고리즘을 통해 수행될 수 있다는 것이 이해된다. 여기에서 계측 시스템(300)의 특정 구조 양태는 한정을 나타내지 않으며 단지 예시적인 것으로 해석되어야 한다는 것이 이해된다.
블록 141에서, 반도체 웨이퍼의 표면 상의 제2 복수의 사이트의 측정과 연관된 측정 데이터양이 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(330))에 의해 수신된다.
블록 142에서, 하나 이상의 피쳐가 측정 데이터로부터 추출된다. 일부 예에서, 측정 데이터는 상이한 측정 사이트에 존재하는 프로세스 파라미터, 구조 파라미터 또는 양쪽의 변화를 가장 강하게 반영하는 피쳐를 추출하기 위해 주성분 분석(PCA) 또는 비선형 PCA를 사용하여 분석된다. 일부 다른 예에서, 상이한 측정 사이트에 존재하는 파라미터 변화를 가장 강하게 반영하는 개별 신호가 측정 데이터에 존재하는 복수의 신호로부터 선택될 수 있다. 후속하여 분석되는 데이터의 치수를 감소시키기 위해 측정 데이터로부터 피쳐를 측정하는 것이 바람직하지만, 이것이 엄격하게 필요하지는 않다. 이러한 관점에서, 블록 142는 선택적이다. 또한, 도 1 내지 4를 참조하여 설명한 바와 같이, 블록 102에서 트레이닝 블록으로부터 피쳐를 추출하기 위해 채용된 동일 분석을 사용하여 측정 데이터로부터 피쳐를 추출하는 것이 바람직하다.
블록 143에서, 제2 복수의 사이트의 각각과 연관된 적어도 하나의 프로세스 파라미터값, 적어도 하나의 구조 파라미터값 또는 양쪽이 비한정적인 예의 방식으로, 도 1 내지 4를 참조하여 설명한 바와 같이 트레이닝된 입력-출력 측정 모델에 대한 제2 측정 데이터량의 맞춤에 기초하여 컴퓨팅 시스템(330)에 의해 결정된다.
블록 144에서, 결정된 파라미터값이 메모리에 저장된다. 예를 들어, 파라미터값은 온-보드 측정 시스템(300), 예를 들어 메모리(332)에 저장될 수 있거나, 외부 메모리 디바이스로 (예를 들어, 출력 신호(340)를 통해) 전달될 수 있다.
도 6a는 DOE 웨이퍼의 표면을 가로지르는 노광량의 측정을 나타내는 윤곽도(150)이다. 나타낸 바와 같이, 노광량은 웨이퍼를 가로질러 x 방향으로 변하고 웨이퍼를 가로질러 y 방향으로 일정하다. 도 6b는 DOE 웨이퍼의 표면을 가로지르는 리소그래피 초점 심도의 측정을 나타내는 윤곽도(151)이다. 나타낸 바와 같이, 초점 심도는 웨이퍼를 가로질러 y 방향으로 변하고 웨이퍼를 가로질러 x 방향으로 일정하다.
도 6a 및 6b에 나타낸 측정 결과는 도 5에 나타낸 방법(140)에 따라 프로세싱되고 DOE 웨이퍼 상의 복수의 측정 사이트에서 수집된 측정(즉, 측정된 스펙트럼)에 기인한다. 기저의 노광량 및 초점 측정 모델은 방법(110)에 따라 개발되었으며, 동일 DOE 웨이퍼 상의 상이한 측정 사이트로부터 수집된 측정 데이터로 트레이닝되었다.
도 6c는 샘플 웨이퍼의 표면을 가로지르는 노광량의 측정을 나타내는 윤곽도(152)이다. 도 6d는 도 6c를 참조하여 설명된 동일 웨이퍼의 표면을 가로질러 리소그래피 초점 심도의 측정을 나타내는 윤곽도(153)이다.
도 6c 및 6d에 나타내어진 측정 결과는 도 5에 나타내어진 방법(140)에 따라 프로세싱되고 DOE 웨이퍼와는 상이한 웨이퍼 상의 복수의 측정 사이트에서 수집된 측정(즉, 측정된 스펙트럼)으로부터 각각 도출된다. 기저의 노광량 및 초점 측정 모델은 방법(110)에 따라 개발되었고 도 6a 및 6b를 참조하여 설명된 DOE 웨이퍼 상의 상이한 측정 사이트로부터 수집된 측정 데이터로 트레이닝되었다.
도 6e는 또 다른 샘플 웨이퍼의 표면을 가로지르는 노광량의 측정을 나타내는 윤곽도(154)이다. 도 6f는 도 6e를 참조하여 설명된 동일 웨이퍼의 표면을 가로지르는 리소그래피 초점 심도의 측정을 나타내는 윤곽도(155)이다.
도 6e 및 6f에 나타내어진 측정 결과는 도 5에 나타내어진 방법(140)에 따라 프로세싱되고 DOE 웨이퍼와 상이한 샘플 웨이퍼 상의 복수의 측정 사이트에서 수집된 측정(즉, 측정된 스펙트럼)으로부터 도출된다. 기저의 노광량 및 초점 측정 모델은 방법(110)에 따라 개발되었고 도 6a 및 6b를 참조하여 설명된 DOE 웨이퍼 상의 상이한 측정 사이트로부터 수집된 측정 데이터로 트레이닝되었다. 도 6c 내지 6f에 나타낸 바와 같이, 상이한 초점 스텝을 갖는 상이한 웨이퍼를 가로지르는 초점 및 노광의 값의 변화는 방법(110)에 따라 개발된 측정 모델에 의해 포착된다.
도 7a는 초점 노광 매트릭스(FEM) 웨이퍼의 표면을 가로지르는 격리 구조의 중간 임계 치수(MCD)의 측정을 나타내는 윤곽도(156)이다. 웨이퍼를 가로질러 x 방향으로 노광량이 변하고, 초점 심도는 웨이퍼를 가로질러 y 방향으로 변한다. 나타낸 바와 같이, MCD 값은 웨이퍼의 표면을 가로지르는 초점 및 노광량의 차이로 인해 웨이퍼의 표면을 가로질러 변한다. 도 7b는 초점 노광 매트릭스(FEM) 웨이퍼의 표면을 가로지르는 밀집 구조의 중간 임계 치수(MCD)의 측정을 나타내는 윤곽도(157)이다. 노광량은 웨이퍼를 가로질러 x 방향으로 변하고, 초점 심도는 웨이퍼를 가로질러 y 방향으로 변한다. 나타낸 바와 같이, 밀집 구조에 대한 MCD 값도 웨이퍼의 표면을 가로지르는 초점 및 노광량의 차이로 인해 웨이퍼의 표면을 가로질러 변하지만, 격리 구조와 다른 방식으로 변한다.
도 7a 및 7b에 나타내어진 측정 결과는 도 5에 나타내어진 방법(140)에 따라 프로세싱되고 FEM 웨이퍼 상의 복수의 측정 사이트에서 수집된 측정(즉, 측정된 스펙트럼)에 기인한다. 기저의 격리 MCD 측정 모델과 밀집 MCD 측정 모델 양쪽은 방법(120)에 따라 개발되었고 동일 FEM 웨이퍼 상의 상이한 측정 사이트로부터 수집된 측정 데이터로 트레이닝되었다.
도 8a는 샘플 웨이퍼의 표면을 가로지르는 격리 구조의 MCD의 측정을 나타내는 윤곽도(158)이다. 도 8b는 동일 웨이퍼의 표면을 가로지르는 밀집 구조의 MCD의 측정을 나타내는 윤곽도(159)이다. 동일 웨이퍼가 특정 초점 심도 및 노광량에서 프로세싱되었다. 특정 초점 및 노광량값은 도 7a 및 7b에서 측정된 FEM 웨이퍼의 중간 부근의 초점 및 노광량값과 근사하여 대응한다.
도 8a 및 8b에 나타내어진 측정 결과는 도 5에 나타내어진 방법(140)에 다라 프로세싱되고 FEM 웨이퍼와 상이한 샘플 웨이퍼 상의 복수의 측정 사이트에서 수집된 측정(즉, 측정된 스펙트럼)으로부터 도출된다. 기저의 격리 MCD 측정 모델 및 밀집 MCD 측정 모델은 방법(120)에 따라 개발되었고, FEM 웨이퍼 상의 상이한 측정 사이트로부터 수집된 측정 데이터로 트레이닝되었다.
도 6a 내지 6f, 7a 및 7b, 8a 및 8b에 나타내어진 측정 결과는 각각 특정 프로세스 또는 구조 파라미터(예를 들어, 초점, 노광 및 MCD)에 대응하는 상이한 측정 모델로부터 도출되었다. 하지만, 일반적으로, 측정 모델은 하나 초과의 프로세스 파라미터, 구조 파라미터 또는 양쪽을 특징화할 수 있다.
도 12는 여기에 제시된 예시적인 방법에 따라 시편의 특징을 측정하기 위한 시스템(300)을 나타낸다. 도 12에 나타낸 바와 같이, 시스템(300)은 시편(301)의 하나 이상의 구조의 분광 타원 계측 측정을 수행하는 데 사용될 수 있다. 이러한 양태에서, 시스템(300)은 조명기(302) 및 분광기(304)가 장착된 분광 타원 계측기를 포함할 수 있다. 시스템(300)의 조명기(302)는 시편(301)의 표면 상에 배치된 구조체로 선택된 파장 범위(예를 들어, 150 내지 850 nm)의 조명을 생성하고 보내도록 구성된다. 그 후에, 분광기(304)는 시편(301)의 표면으로부터 반사된 조명을 수신하도록 구성된다. 조명기(302)로부터 생겨난 광은 편광된 조명 빔(306)을 생성하기 위해 편광 상태 생성기(307)를 사용하여 편광된다는 것에 추가로 유의한다. 시편(301) 상에 배치된 구조체에 의해 반사된 방사는 편광 상태 분석기(309)를 통해 분광기(304)로 통과한다. 수집 빔(308)에서 분광기(304)에 의해 수신된 방사는 편광 상태에 대하여 분석되어, 분석기에 의해 통과된 방사의 분광기에 의한 스펙트럼 분석을 허용한다. 이러한 스펙트럼(311)은 구조체의 분석을 위해 컴퓨팅 시스템(330)으로 전달된다.
도 12에 나타낸 바와 같이, 시스템(300)은 단일 측정 기술(즉, SE)을 포함한다. 하지만, 일반적으로, 시스템(300)은 임의의 개수의 상이한 측정 기술을 포함할 수 있다. 비한정적인 예시의 방식으로, 시스템(300)은 분광 타원 계측기(뮐러 매트릭스 타원 계측 포함), 분광 반사계, 분광 산란계, 오버레이 산란계, 각도 분해 빔 프로파일 반사계, 편광 분해 빔 프로파일 반사계, 빔 프로파일 반사계, 빔 프로파일 타원 계측기, 임의의 단일 또는 복수의 파장 타원 계측기, 또는 그 임의의 조합으로서 구성될 수 있다. 또한, 일반적으로, 상이한 측정 기술에 의해 수집되고 여기에 설명된 방법에 따라 분석되는 측정 데이터는 복수의 기술을 통합하는 하나의 툴이 아니라 복수의 툴로부터 수집죌 수 있다.
추가적인 실시예에서, 시스템(300)은 여기에 설명되는 방법에 따라 개발된 측정 모델에 기초하여 측정을 수행하도록 채용된 하나 이상의 컴퓨팅 시스템(330)을 포함할 수 있다. 하나 이상의 컴퓨팅 시스템(330)은 분광기(304)에 통신 가능하게 연결될 수 있다. 일 양태에서, 하나 이상의 컴퓨팅 시스템(330)은 시편(301)의 구조의 측정과 연관된 측정 데이터(311)를 수신하도록 구성된다.
본 발명의 전체에 걸쳐 설명된 다양한 스텝은 단일 컴퓨터 시스템(330)에 의해 수행될 수 있거나 대안적으로 복수의 컴퓨터 시스템(330)에 의해 수행될 수 있다는 것이 이해되어야 한다. 또한, 분광 타원 계측기(304)와 같은 시스템(300)의 상이한 서브시스템은 여기에 설명된 스텝들의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 따라서, 상술한 설명은 본 발명에 대해 한정으로서 해석되어서는 안되며 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(330)이 여기에 설명된 임의의 방법 실시예의 임의의 다른 스텝(들)을 수행하도록 구성될 수 있다.
또한, 컴퓨터 시스템(330)은 본 기술 분야에 알려진 임의의 방식으로 분광기(304)에 통신 가능하게 연결될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템(330)이 분광기(304)와 연관된 컴퓨팅 시스템에 연결될 수 있다. 다른 예에서, 분광기(304)는 컴퓨터 시스템(330)에 연결된 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
계측 시스템(300)의 컴퓨터 시스템(330)은 유선 및/또는 무선 부분을 포함할 수 있는 송신 매체에 의해 시스템의 서브시스템(예를 들어, 분광기(304) 등)으로부터 데이터 또는 정보를 수신 및/또는 취득하도록 구성될 수 있다. 이러한 방식으로, 송신 매체는, 컴퓨터 시스템(330)과 시스템(300)의 다른 서브시스템 사이의 데이터 링크로서의 역할을 할 수 있다.
통합된 계측 시스템(300)의 컴퓨터 시스템(330)은 유선 및/또는 무선 부분을 포함할 수 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들어, 측정 결과, 모델링 입력, 모델링 결과 등)를 수신 및/또는 취득하도록 구성될 수 있다. 이러한 방식으로, 통신 매체는 다른 시스템(예를 들어, 메모리 온-보드 계측 시스템(300), 외부 메모리, 기준 측정원(320) 또는 다른 외부 시스템)과 컴퓨터 시스템(330) 사이의 데이터 링크로서의 역할을 할 수 있다. 예를 들어, 컴퓨팅 시스템(330)은 데이터 링크를 통해 저장 매체(즉, 메모리(332) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수 있다. 예를 들어, 분광기(304)를 사용하여 획득된 스펙트럼 결과는 영구 또는 반영구 메모리 디바이스(예를 들어, 메모리(332) 또는 외부 메모리)에 저장될 수 있다. 이에 대해, 스펙트럼 결과는 온-보드 메모리로부터 또는 외부 메모리 시스템으로부터 입수될 수 있다. 또한, 컴퓨터 시스템(330)은 송신 매체를 통해 다른 시스템으로 데이터를 전송할 수 있다. 예를 들어, 컴퓨터 시스템(330)에 의해 결정되는 시편 파라미터(340) 또는 통합된 측정 모델이 외부 메모리에 전달되고 저장될 수 있다. 이에 대하여, 측정 결과가 다른 시스템으로 보내어질 수 있다.
컴퓨팅 시스템(330)은 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서 또는 본 기술분야에 알려진 임의의 다른 디바이스를 포함할 수 있지만 이에 한정되지 않는다. 일반적으로, "컴퓨팅 시스템"이라는 용어는 메모리 매체로부터의 명령을 실행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 포함하도록 폭넓게 규정될 수 있다.
여기에 설명된 것과 같은 방법을 구현하는 프로그램 명령(334)은 유선, 케이블 또는 무선 송신 링크와 같은 송신 매체를 통해 송신될 수 있다. 예를 들어, 도 12에 나타낸 바와 같이, 메모리(332)에 저장된 프로그램 명령(334)은 버스(333)를 통해 프로세서(331)로 송신된다. 프로그램 명령(334)은 컴퓨터 판독가능 매체(예를 들어, 메모리(332))에 저장된다. 예시적인 컴퓨터 판독가능 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광 디스크 또는 자기 테이프를 포함한다.
추가적인 양태에서, 복수의 타겟으로부터의 측정 데이터가 모델 구축, 트레이닝 및 측정을 위해 수집된다. 일부 예에서, 복수의 타겟과 연관된 측정 데이터의 사용은 측정 결과에서의 하위층의 영향을 제거하거나 상당히 감소시킨다. 일례에서, 2개의 타겟으로부터의 측정 신호는 각 측정 결과에서 하위층의 영향을 제거하거나 상당히 감소시키도록 감산된다. 복수의 타겟과 연관된 측정 데이터의 사용은 모델에 삽입된 샘플 및 프로세스 정보를 증가시킨다. 특히, 하나 이상의 측정 사이트에서의 복수의 상이한 타겟의 측정을 포함하는 트레이닝 데이터의 사용은 더욱 정확한 측정을 가능하게 한다.
일례에서, 측정 모델은 격리 및 밀집 타겟 양쪽에 대한 FEM 웨이퍼의 스펙트럼 측정으로부터 생성된다. 그 후, 측정 모델은 각각 초점, 노광, 격리 타겟에 대한 MCD 및 밀집 타겟에 대한 MCD에 대한 예측된 응답 모델과 스펙트럼 측정 데이터에 기초하여 트레이닝된다. 결과적인 트레이닝된 측정 모델은 샘플 웨이퍼 상의 초점, 노광, 격리 및 밀집 타겟 양쪽에 대한 MCD를 계산하도록 후속적으로 채용된다. 이러한 방식으로, 각각의 파라미터는 격리 및 밀집 타겟 양쪽과 연관된 측정된 스펙트럼(또는 추출된 피쳐)으로부터 파라미터값을 계산하는 그 자체의 트레이닝된 모델을 갖는다.
도 9a 및 9b와 도 10a 및 10b는 하위층 격자를 갖는 FEM 및 CDU 웨이퍼에 대한 초점 및 노광에 대한 측정 결과를 나타낸다.
도 9a는 FEM 웨이퍼의 표면을 가로지르는 초점 심도의 측정을 나타내는 윤곽도(161)이다. 이 예에서, 초점은 웨이퍼를 가로질러 x 방향으로 변하고 웨이퍼를 가로질러 y 방향으로 일정하다. 도 9b는 FEM 웨이퍼의 표면을 가로지르는 노광량의 측정을 나타내는 윤곽도(162)이다. 나타낸 바와 같이, 노광량은 웨이퍼를 가로질러 y 방향으로 변하고 웨이퍼를 가로질러 x 방향으로 일정하다.
도 9a 및 9b에 나타내어진 측정 결과는 도 5에 나타내어진 방법(140)에 따라 프로세싱되고 FEM 웨이퍼 상의 복수의 측정 사이트에서 수집된 측정(즉, 측정된 스펙트럼)에 기인한다. 기저의 노광량 및 초점 측정 모델은 방법(110)에 따라 개발되었고 동일 DOE 웨이퍼 상의 상이한 측정 사이트로부터 수집된 측정 데이터로 트레이닝되었다.
도 10a는 샘플 웨이퍼의 표면을 가로지르는 초점 심도의 측정을 나타내는 윤곽도(163)이다. 도 10b는 도 10a를 참조하여 설명된 동일 웨이퍼의 표면을 가로지르는 노광량의 측정을 나타내는 윤곽도(164)이다. 샘플 웨이퍼는 특징 초점 심도 및 노광량에서 프로세싱되었다. 특정 초점 및 노광량값은 도 9a 및 9b에서 측정된 FEM 웨이퍼의 중간 부근의 초점 및 노광량값에 근사하게 대응한다. 그 결과, 도 10a 및 10b에 나타낸 초점 및 노광 측정 결과는 각각 웨이퍼 표면에 대한 초점 및 노광의 최소 변화를 나타낸다는 것이 예측된다.
도 10a 및 10b에 나타내어진 측정 결과는 도 5에 나타내어진 방법(140)에 따라 프로세싱되고 FEM 웨이퍼와 상이한 웨이퍼 상의 복수의 측정 사이트에서 수집된 측정(즉, 측정된 스펙트럼)으로부터 도출된다. 기저의 노광량 및 초점 측정 모델은 방법(110)에 따라 개발되었고 도 9a 및 9b를 참조하여 설명된 DOE 웨이퍼 상의 상이한 측정 사이트로부터 수집된 측정 데이터로 트레이닝되었다.
도 11은 FEM 웨이퍼로부터 수집된 스펙트럼의 주성분 맵의 매트릭스(170)를 나타낸다. 나타낸 바와 같이, 제1의 몇몇 주성분은 초점 및 노광 매트릭스에 의해 생성된 글로벌 초점 및 노광 패턴(즉, 일 방향으로의 변화와 수직 방향으로의 일정함 및 그 반대)을 대략 반영한다. 7보다 높은 주성분 맵은 랜덤 하위층 변화, 라인 에지 러프니스(roughness) 또는 다른 유형의 노이즈와 연관된 노이즈 패턴을 나타낸다. 이 예에서, 초점 및 노광 모델을 트레이닝하기 위해 제1의 몇몇 주성분만을 이용하는 것이 바람직하다. 이러한 방식으로, 노이즈를 주로 반영하는 주성분이 모델의 구축과 후속적으로 측정 분석의 목적으로 잘려진다.
다른 추가적인 양태에서, 온-디바이스 또는 스크라이브 라인 내에서 발견될 수 있는 온-디바이스 측정 타겟 및 지원 타겟 양쪽으로부터의 측정 데이터 양쪽으로부터의 측정 데이터가 모델 구축, 트레이닝 및 측정을 위해 수집된다.
일례에서, 초점 계측은 온-디바이스 또는 스크라이브 라인 내에 위치된 추가적인 초점 지원 타겟과 온-디바이스에 위치된 전용 계측 타겟 또는 디바이스 구조 중 어느 하나의 측정 데이터를 취득함으로써 수행된다. 초점 지원 타겟은 동일 초점에 상이하게 인쇄하는 특수 설계된 구조를 가질 수 있다. 일부 예에서, 동일한 프로파일이지만 상이한 초점 오프셋에 인쇄하는 타겟을 설계할 수 있다. 추가적인 상세사항은 그 전체가 참조로써 여기에 통합되고, 캘리포니아주, 밀피타스에 소재한 KLA-Tencor Corporation에 양도되고 발명자가 Levinsky 등인 미국 특허출원 제14/074,412호에 설명되어 있다. 이러한 멀티-타겟 계측은 초점의 온-디바이스 측정을 가능하게 한다. 유사한 계측이 노광량 계측에 적용될 수 있다.
다른 예에서, 온-디바이스 타겟과 함께 지원 타겟의 측정은 리소 또는 에칭 사용의 경우에서의 CD 측정 및 성분 측정을 수행하도록 채용될 수 있다. 스크라이브 라인에 위치된 지원 타겟은 온-디바이스 측정을 위한 교정 기준을 제공하도록 최적화될 수 있다. 일부 예에서, 지원 타겟은 CD 파라미터 오프셋 또는 성분 파라미터 오프셋을 갖는다(예를 들어, 온-디바이스 주입 영역은 지원 타겟에서 주입되지 않은 채로 있을 수 있음). 이러한 타겟으로부터 취득된 측정 데이터는 온-디바이스 측정을 가능하게 하기 위해 상술한 멀티-타겟 분석에서 결합된다.
다른 추가적인 양태에서, 복수의 상이한 측정 기술의 조합에 의해 수행되는 측정으로부터 도출되는 측정 데이터가 모델 구축, 트레이닝 및 측정을 위해 수집된다. 복수의 상이한 측정 기술과 연관된 측정 데이터의 사용은 모델에 삽입된 샘플 및 프로세스 정보를 증가시키고 더욱 정확한 측정을 가능하게 한다. 측정 데이터는 복수의 상이한 측정 기술의 임의의 조합에 의해 수행되는 측정으로부터 도출될 수 있다. 이러한 방식으로, 상이한 측정 사이트가 복수의 상이한 측정 기술에 의해 측정될 수 있어 반도체 구조의 특징화를 위해 이용가능한 측정 정보를 향상시킨다.
일반적으로, 임의의 측정 기술 또는 2개 이상의 측정 기술의 조합이 본 특허 문서의 범위 내에서 고려될 수 있다. 예시적인 측정 기술은 뮐러 매트릭스 분광 계측, 분광 반사 계측, 분광 산란 계측, 산란 계측 오버레이, 빔 프로파일 반사 계측, 각도 분해 및 편광 분해 양쪽의 빔 프로파일 타원 계측, 단일 또는 복수의 이산 파장 타원 계측, 송신 소각도 x-선 산란계(TSAXS), 소각도 x-선 산란(SAXS), 경사 입사 소각도 x-선 산란(GISAXS), 광각도 x-선 산란(WAXS), x-선 반사(XRR), x-선 회절(XRD), 경사 입사 x-선 회절(GIXRD), 고해상 x-선 회절(HRXRD), x-선 광전자 분광(XPS), x-선 형광(XRF), 경사 입사 x-선 형광(GIXRF), 저에너지 전자 유도 x-선 방출 산란 계측(LEXES), x-선 토모그래피 및 x-선 타원 계측을 포함하는 분광 타원 계측을 포함하지만 이에 한정되지는 않는다. 일반적으로, 이미지 기반 계측 기술을 포함하여 반도체 구조의 특징화에 적용가능한 임의의 계측 기술이 고려될 수 있다. 추가적인 센서 옵션은 디바이스를 바이어싱하고 결과적인 바이어스를 광 센서로 검출하거나(또는 그 역), XRD, XRF, XPS, LEXES, SAXS 및 펌프 프로브 기술과 같은 지원되는 광학 기술로 검출하는 비접촉 커패시턴스/전압 또는 전류/전압 센서와 같은 전기 센서를 포함한다. 일 실시예에서, 2차원 빔 프로파일 반사계(동공 이미저)가 소형 스폿 사이즈로 각도 분해 및/또는 멀티-스펙트럼 데이터 양쪽을 수집하는 데 사용될 수 있다. UV 린닉(Linnik) 간섭계가 또한 뮐러 매트릭스 스펙트럼 동공 이미저로서 사용될 수 있다.
일부 예에서, 여기에 설명되는 모델 구축, 트레이닝 및 측정 방법은 미국 캘리포니아주 밀피타스에 소재한 KLA-Tencor Corporation으로부터 이용가능한 SpectraShape® 광 임계-치수 계측 시스템의 요소로서 구현된다. 이러한 방식으로, DOE 웨이퍼 스펙트럼이 시스템에 의해 수집된 직후에 모델이 생성되고 사용을 위해 준비된다.
일부 다른 예에서, 여기에 설명되는 모델 구축 및 트레이닝 방법은 예를 들어, 미국 캘리포니아주 밀피타스에 소재한 KLA-Tencor Corporation으로부터 이용가능한 AcuShape® 소프트웨어를 구현하는 컴퓨팅 시스템에 의해 오프-라인으로 구현된다. 결과적인 트레이닝된 모델은 측정을 수행하는 계측 시스템에 의해 액세스 가능한 AcuShape® 라이브러리의 요소로서 통합될 수 있다.
몇몇 예가 리소그래피 프로세스 모델 및 연관된 초점 및 노광 계측을 참조하여 상술되었지만, 여기에 설명되는 방법 및 시스템은 다른 프로세스 모델(예를 들어, 에칭 또는 증착 프로세싱) 및 다른 계측(예를 들어, 에칭 및 증착 계측)을 포함할 수 있다. 여기에 설명되는 방법 및 시스템은 또한 다른 기준 계측 기술(예를 들어, SEM, TEM, AFM, X-선)을 포함할 수 있다. 또한, 여기에 설명되는 시스템 및 방법은 광 계측 시스템(예를 들어, 분광 타원 계측기, 반사계, BPR 시스템 등)을 참조하여 설명되지만, 다른 모델 기반 계측(예를 들어, 오버레이, CD-SAXS, XRR 등)에도 적용될 수 있다.
다른 예에서, 여기에 설명되는 온-디바이스 계측의 방법 및 시스템은 오버레이 계측에 적용될 수 있다. 온-디바이스 계측은 특히 오버레이의 측정에 적절하다. 오버레이 계측의 목적은 상이한 리소그래피 노광 스텝 사이의 시프트를 결정하는 것이다. 하지만, 온-디바이스 오버레이 계측을 수행하는 것은 온-디바이스 구조체의 소형 사이즈 및 통상적으로 소형 오버레이값으로 인해 곤란하다.
예를 들어, 통상적인 스크라이브 라인 오버레이 계측 구조의 피치는 200 나노미터 내지 2,000 나노미터로 변한다. 하지만, 온-디바이스의 피치, 오버레이 계측 구조는 통상적으로 100 나노미터 이하이다. 또한, 명목상의 생산 환경에서, 디바이스 오버레이는 디바이스 구조체의 주기성의 단지 작은 부분이다. 반대로, 산란 계측 오버레이에 사용되는 프록시 계측 구조는 빈번하게 더 큰 값, 예를 들어 피치의 1/4로 오프셋되어, 오버레이에 대한 신호 감도를 향상시킨다.
이러한 조건 하에서, 온-디바이스, 오버레이 계측은 작은 오프셋, 작은 피치 오버레이에 대해 충분한 감도를 갖는 센서 아키텍처로 수행된다. 일부 예에서 뮐러 매트릭스 및 편광 모드에서 동작하는 깊은 자외 빔 프로파일 반사 계측(DUV BPR), X-선 뮐러 분광 타원 계측(XMSE), 우즈 이상(Wood's anomaly)에서의 계측 및 멀티 이산 각도 뮐러 매트릭스 분광계 아키텍처가 온-디바이스 오버레이에 민감한 측정 신호를 획득하기 위해 채용될 수 있다. 다른 예에서, 전체 칩이 멀티플렉싱에 의해 즉시 측정될 수 있다. 결함이 경사가능한 기준 미러를 채용하는 린닉 간섭계로 검출될 수 있다. 이러한 센서 아키텍처는 주기적 타겟의 측정에 적합할 수 있지만, 또한 비주기적 타겟의 측정에도 적합할 수 있다.
취득 후에, 측정된 신호는 측정된 시호의 변화에 기초하여 오버레이 에러를 결정하기 위해 분석된다. 하나의 추가적인 양태에서, 스펙트럼 또는 각도 분해 데이터는 PCA를 사용하여 분석되고, 오버레이 모델은 측정된 신호에서 검출된 주성분에 기초하여 오버레이를 결정하도록 트레이닝된다. 일례에서, 오버레이 모델은 신경망 모델이다. 이러한 관점에서, 오버레이 모델은 파라미터 모델이 아니므로 부정확한 모델링 가정에 의해 도입된 에러일 경향이 적다. 상술한 바와 같이, 디바이스 피쳐와 명목적으로 동일하지만 더 큰 오프셋을 갖는 전용 계측 구조의 측정에 기초한 오버레이 계측 모델의 트레이닝은 감도 문제를 극복하는 것을 도울 수 있다. 이러한 오프셋은 레티클 설계 중에 측정되는 2개의 층의 피쳐 사이에 도입된 고정된 설계 오프셋에 의해 도입될 수 있다. 또한, 오프셋은 리소그래피 노광에서 시프트에 의해 도입될 수 있다. 오버레이 에러는 복수의 시프트된 타겟(예를 들어, 피치/4 및 -피치/4)을 사용하여 압축된 신호(예를 들어, PCA 신호)로부터 더욱 효율적으로 추출될 수 있으며, 하위층의 영향 또한 감소될 수 있다.
도 13a 및 13b는 포스트 오버레이의 X 및 Y 오프셋을 각각 측정한 결과를 증명하는 플롯(181, 182)을 나타낸다. DOE 스펙트럼은 다른 지오메트릭 파라미터 변화의 존재 시에 상이한 X 및 Y 오프셋에 대해 합성되어 생성되었다. 도 13a는 합성 스펙트럼을 생성하는 데 사용되는 실제 x 오프셋값에 대한 나노미터의 예측된 x 오프셋값의 모델 맞춤을 나타낸다. 마찬가지로, 도 13b는 합성 스펙트럼을 생성하는 데 사용되는 실제 y 오프셋값에 대한 나노미터의 예측된 y 오프셋값의 모델 맞춤을 나타낸다. 나타낸 바와 같이, 나머지 에러는 크기에서 1 나노미터 미만이다.
다른 실시예에서, 오버레이가 모든 사이트에서 일정하다고 상정하기에 합당한 충분히 근접한 영역에서 몇몇 측정이 수행된다. 이러한 사실은 그 후에 온-디바이스 오버레이 측정의 플라이(fly) 교정에 대하여 행하는 데 사용된다. 또한, 패턴의 이미지(즉, 이미징 센서에 의해 취득된 이미지)로부터의 지오메트리, EDA 또는 마스크 데이터의 비교가 이상(anomaly)을 찾는 데 사용될 수 있다.
또 다른 예에서, 여기에 설명되는 온-디바이스 계측의 방법 및 시스템은 온-디바이스 계측 내비게이션에 적용될 수 있다. 온-디바이스 측정 데이터는 유용한 원하는 측정 사이트로부터 취득되어야 한다. 즉, 조명 빔이 의미 있는 측정 데이터를 취득하기 위해 디바이스 구조에 대해 정확하게 위치되어야 한다.
일부 실시예에서, 예를 들어, 그래픽 데이터베이스 시스템(GDS) 파일인 마스크 설계 파일이 계측 툴로 통합된 패턴 인식 시스템에 의해 인식된 기준 마크를 사용하여 디바이스 영역으로의 내비게이션의 가이드로서 사용될 수 있다. 하지만, GDS 데이터는 웨이퍼 표면 상의 이상적인 배치를 갖는 이상적인 구조를 나타낸다. 이상적인 구조 및 인쇄된 웨이퍼 상의 그 배치는 상이할 것이다.
또 다른 양태에서, 측정 데이터는 웨이퍼 표면 상의 원하는 계측 타겟의 위치를 결정하기 위해 여기에 설명되는 방식으로 수집 및 분석된다. 도 14는 본 발명의 도 12에 나타내어진 계측 방법(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(200)을 나타낸다. 일 양태에서, 방법(200)의 데이터 프로세싱 블록은 컴퓨팅 시스템(330) 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 사전 프로그램된 알고리즘을 통해 수행될 수 있다는 것이 이해된다. 여기에서, 계측 시스템(300)의 특정 구조의 양태는 한정을 나타내지 않으며 단지 예시적인 것으로 해석되어야 한다는 것이 이해된다.
블록 201에서, 반도체 웨이퍼의 표면 상의 위치의 측정과 연관된 측정 데이터양이 수신된다.
블록 202에서, 하나 이상의 피쳐가 측정 데이터양으로부터 추출된다. 일부 예에서, 측정 데이터는 측정 위치에 위치되는 것으로 예측되는 구조체의 피쳐를 가장 강하게 반영하는 피쳐를 추출하기 위해 주성분 분석(PCA) 또는 비선형 PCA를 사용하여 분석된다.
블록 203에서, 반도체 웨이퍼의 표면 상의 원하는 측정 타겟에 대한 측정된 위치의 근사는 원하는 측정 타겟과 연관된 이상적인 피쳐와 하나 이상의 피쳐의 비교에 적어도 일부 기초하여 결정된다.
블록 204에서, 측정 위치는 원하는 측정 타겟에 대해 결정된 근사에 기초하여 조정된다. 이러한 방법은 원하는 측정 사이트에 계속하여 더 가까이 이동하도록 반복하여 수행될 수 있다.
일부 다른 예에서, 원하는 측정 타겟에 대한 근사는 측정된 피쳐에 대한 변화에 기초하여 결정된다. 일례에서, 원하는 측정 타겟은 특정 공간 주파수의 더미(dummy) 구조체에 의해 둘러싸인다. 계측 스폿(spot)은 원하는 측정 타겟에 도달하기 위해 더미 구조체를 가로지른다. 특정 동공 위치에서의 특정 회절 순서의 존재는, 계측 스폿이 더미 구조체 상에 있다는 것을 나타낼 것이다. 하지만, 특정 동공 위치의 특정 회절 순서의 부재는 측정 사이트에의 도달을 나타낸다.
상술한 바와 같이, 주기적 상위 구조체와 결합된 비주기적 하위층 구조체는 상위층에 대한 측정 감도를 향상시킨다. 따라서, 일부 예에서, 수집된 측정 데이터로부터 추출된 피쳐에 기반한 내비게이션이, 비주기적 하위층 구조체가 주기적 상위층과 결합되는 웨이퍼의 영역에서 바람직하다.
또 다른 추가적인 양태에서, 조명 빔의 단파장 성분이, 구조가 단파장 조명에 대한 구조의 응답에 기초하여 주기적인지를 강조하기 위해 채용된다. 충분히 짧은 조명 파장은 그렇지 않으면 미미할 것인 1차 회절 요소의 포착을 가능하게 한다.
또 다른 양태에서, 측정 시스템(예를 들어, 2D-BPR 시스템)의 동공 이미지의 분석이, 구조가 주기적인지 또는 비주기적인지를 결정하기 위해 수행된다. 일례에서, 동공 이미지의 0차 대칭의 분석이, 구조가 주기적인지 또는 비주기적인지를 결정하기 위해 채용된다. 다른 예에서, PCA 분석을 사용하여 수집된 측정 데이터로부터 추출된 피쳐가, 구조가 주기적인지 또는 비주기적인지를 결정하기 위해 채용될 수 있다. 특히, 더 높은 차수의 PCA 분석의 성분이, 구조가 주기적인지 또는 비주기적인지를 결정하는 데 특히 유용하다.
일부 예에서, 복수의 측정이 신호 대 잡음을 향상시키면서 디바이스 상의 방사 부하를 감소시키기 위해 정적 랜덤 액세스 메모리(SRAM) 구조체에 대해 수행된다.
일부 다른 예에서 스폿 사이즈 또는 입사 각도는 선단 구조의 내부 및 외부에서 변한다. 일부 예에서, 스폿 사이즈 또는 위치의 제어는 공간 광 변조기, 가변 아포다이저(apodizer) 또는 빔 스캐너를 사용하여 달성된다.
일부 다른 예에서, 대형 스폿 사이즈 신호의 푸리에 분석이 또한 수행될 수 있다.
일분 실시예에서, 계측 툴은 2개의 센서 사이의 알려진 공간 오프셋을 갖는 이미징 센서 및 산란 계측 센서를 채용한다. 일부 예에서, 산란 계측 센서의 측정 위치는 이미징 감지 결과 및 센서들 사이의 알려진 공간 오프셋에 기초하여 결정된다.
일부 실시예에서, CD-SEM 서브시스템 및 2D BPR 서브시스템이 결합된다. CD-SEM은 설계 룰 피쳐를 직접 해결할 수 있어, 높은 스루풋의 2D-BPR 계측 시스템에 대한 내비게이션의 가이드로서 사용된다.
일부 예에서, 수집된 스펙트럼 데이터는 측정 빔에 의해 조명되는 디바이스 구조와 일치하는 응답을 식별하기 위해 트레이닝된 모델을 사용하여 실시간으로 분석된다. 분석의 결과가 디바이스 영역으로 내비게이팅하는 데 사용된다.
또 다른 양태에서, 여기에 설명되는 측정 모델 결과가 프로세스 툴(예를 들어, 리소그래피 툴, 에칭 툴, 증착 툴 등)에 대한 능동 피드백을 제공하는 데 사용될 수 있다. 예를 들어, 여기에 설명되는 방법을 사용하여 결정된 심도 및 초점 파라미터의 값은 원하는 출력을 획득하도록 리소그래피 시스템을 조정하기 위해 리소그래피 툴로 전달될 수 있다. 유사한 방식으로 에칭 파라미터(예를 들어, 에칭 시간, 확산성 등) 또는 증착 파라미터(예를 들어, 시간, 농도 등)가 에칭 툴 또는 증착 툴에 각각 능동 피드백을 제공하기 위해 측정 모델에 포함될 수 있다.
일반적으로, 여기에 설명되는 시스템 및 방법은 오프-라인 또는 온-툴 측정에 대한 측정 모델을 준비하는 프로세스의 일부로서 구현될 수 있다. 또한, 측정 모델과 임의의 재파라미터화된 측정 모델은 하나 이상의 타겟 구조 및 특정 사이트를 설명할 수 있다.
여기에 설명되는 "임계 치수"라는 용어는 구조체의 임의의 임계 치수(예를 들어, 최하부 임계 치부, 중간 임계 치수, 최상부 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2개 이상의 구조체 사이의 임계 치수(예를 들어, 2개 구조체 사이의 거리) 및 2개 이상의 구조체 사이의 배치(예를 들어, 중첩하는 격자 구조체 사이의 오버레이 배치 등)를 포함한다. 구조체는 3차원 구조체, 패턴화된 구조체, 오버레이 구조체 등을 포함할 수 있다.
여기에 설명되는 "임계 치수 어플리케이션" 또는 "임계 치수 측정 어플리케이션"이라는 용어는 임의의 임계 치수 측정을 포함한다.
여기에 설명되는 "계측 시스템"이라는 용어는 임계 치수 계측, 오버레이 계측, 초점/노광량 계측 및 성분 계측과 같은 측정 어플리케이션을 포함하여 임의의 양태에서 시편을 특징화하기 위해 적어도 일부 채용되는 임의의 시스템을 포함한다. 하지만, 본 기술의 이러한 용어는 여기에 설명되는 "계측 시스템"이라는 용어의 범위를 한정하지 않는다. 또한, 계측 시스템(100)은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수 있다. 계측 시스템은, LED 검사 툴, 에지 검사 툴, 배면측 검사 툴, 마크로-검사 툴 또는 멀티-모드 검사 툴(하나 이상의 플랫폼으로부터의 동시의 데이터 포함) 및 임계 치수 데이터에 기초하여 시스템 파라미터의 교정으로부터 이익을 받는 임의의 다른 계측 또는 검사 툴로서 구성될 수 있다.
시편을 프로세싱하기 위해 사용될 수 있는 반도체 프로세싱 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)에 대해 다양한 실시예가 여기에 설명되었다. "시편"이라는 용어는 여기에서 웨이퍼, 레티클 또는 본 기술의 알려진 수단에 의해 프로세싱될 수 있는(예를 들어, 결함에 대해 인쇄 또는 검사될 수 있는) 임의의 다른 샘플을 나타내도록 사용된다.
여기에서 사용되는 "웨이퍼"라는 용어는 일반적으로 반도체 또는 비반도체 재료로 형성된 물질을 나타낸다. 예들은 단결정 실리콘, 갈륨 아세나이드 및 인듐 포스파이드를 포함하지만 이에 한정되지 않는다. 이러한 물질은 반도체 제조 설비에서 통상적으로 발견 및/또는 프로세싱될 수 있다. 일부 경우에, 웨이퍼는 단지 기판(즉, 베어(bare) 웨이퍼)을 포함할 수 있다. 대안적으로, 웨이퍼는 기판 상에 형성된 상이한 재료의 하나 이상의 층을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 층은 "패턴화" 또는 "비패턴화"될 수 있다. 예를 들어, 웨이퍼는 반복가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클 또는 반도체 제조 설비에서의 사용을 위해 릴리징될 수 있거나 되지 않을 수 있는 완성된 레티클일 수 있다. 레티클 또는 "마스크"는 그 상에 형성된 실질적으로 불투명한 영역을 갖고 패턴으로 구성되는 실질적으로 투명한 기판으로서 일반적으로 규정된다. 기판은 예를 들어, 비정질 SiO2와 같은 글래스 재료를 포함할 수 있다. 레티클은, 레티클 상의 패턴이 레지스트로 전사될 수 있도록 리소그래피 프로세스의 노광 스텝 중에 레지스트 피복된 웨이퍼 상에 배치될 수 있다.
웨이퍼 상에 형성된 하나 이상의 층이 패턴화 또는 비패턴화될 수 있다. 예를 들어, 웨이퍼는 각각 반복가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수 있다. 재료의 이러한 층의 형성 및 프로세싱은 궁극적으로 완성된 디바이스로 귀결될 수 있다. 다수의 상이한 유형의 디바이스가 웨이퍼 상에 형성될 수 있으며, 여기에 사용되는 웨이퍼라는 용어는, 그 위에 본 기술분야에서 알려진 임의의 유형의 디바이스가 제조되고 있는 웨이퍼를 포함하는 것으로 의도된다.
하나 이상의 예시적인 실시예에서, 설명된 기능은 하드웨어, 소프트웨어, 펌웨어 또는 그 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현되는 경우, 기능은 컴퓨터 판독가능 매체 상의 하나 이상의 명령 또는 코드로서 송신되거나 저장될 수 있다. 컴퓨터 판독가능 매체는 하나의 장소로부터 다른 장소로 컴퓨터 프로그램의 전달을 촉진하는 임의의 매체를 포함하는 통신 매체와 컴퓨터 저장 매체 양쪽을 포함한다. 저장 매체는 범용 또는 특수 목적 컴퓨터에 의해 액세스 가능한 임의의 이용가능한 매체일 수 있다. 한정이 아니라 예시의 방식으로, 이러한 컴퓨터 판독가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스, 또는 명령 또는 데이터 구조의 형태로 원하는 프로그램 코드 수단을 전달하거나 저장하는 데 사용될 수 있고 범용 또는 특수 목적 컴퓨터 또는 범용 또는 특수 목적 프로세서에 의해 액세스 가능한 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속이 컴퓨터 판독가능 매체로 적절히 칭해진다. 예를 들어, 소프트웨어가 동축 케이블, 광섬유 케이블, 꼬인 쌍, 디지털 가입자 회선(DSL) 또는 적외선, 라디오, 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버 또는 다른 원격 소스로부터 송신되면, 동축 케이블, 광섬유 케이블, 꼬인 쌍, DSL 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술이 매체의 정의에 포함된다. 여기에서 사용되는 디스크(disk) 및 디스크(disc)는 컴팩트 디스크(CD), 레이저 디스크, 광 디스크, 디지털 버서타일 디스크(DVD), 플로피 디스크 및 블루-레이 디스크를 포함하며, 디스크(disk)는 통상적으로 데이터를 자기적으로 재생하며, 디스크(disc)는 레이저로 광학적으로 데이터를 재생한다. 상술한 것의 조합이 또한 컴퓨터 판독가능 매체의 범위 내에 포함되어야 한다.
특정의 구체적인 실시예가 교육적인 목적으로 상술되었지만, 본 특허 문서의 교시는 일반적인 적용성을 갖고, 상술한 구체적인 실시예에 한정되지 않는다. 따라서, 설명된 실시예의 다양한 특징의 다양한 수정, 개조 및 조합이 청구항에 제시된 본 발명의 범위를 벗어나지 않고 실시될 수 있다.

Claims (20)

  1. 디바이스 구조체의 계측 방법에 있어서,
    적어도 하나의 프로세스 파라미터, 구조 파라미터 또는 이들 모두의 알려진 변화를 갖는 반도체 웨이퍼의 표면 상의 제1 복수의 측정 사이트(site)들에 조명광을 제공하는 단계 - 상기 제1 복수의 측정 사이트들 중 적어도 하나는 디바이스 구조체를 포함함 -;
    상기 조명광에 응답해서 상기 제1 복수의 측정 사이트들 각각으로부터의 광량을 검출하는 단계;
    상기 검출된 광량에 기초해 상기 제1 복수의 측정 사이트들 각각과 연관된 측정된 응답을 생성하는 단계 - 상기 제1 복수의 측정 사이트들 각각과 연관된 측정된 응답은 제1 측정 데이터량을 포함함 -;
    알려진 상기 적어도 하나의 프로세스 파라미터, 구조 파라미터, 또는 이들 모두의 각각의 예측된 응답 모델을 결정하는 단계 - 각각의 예측된 응답 모델은 상기 반도체 웨이퍼의 표면 상의 위치의 함수로서 상기 파라미터의 값을 규정함 -;
    상기 제1 측정 데이터량에 적어도 부분적으로 기초해 입력-출력 측정 모델을 결정하는 단계;
    상기 예측된 응답 모델로부터 결정되는 파라미터 값에 기초해 상기 입력-출력 측정 모델을 트레이닝(training)하는 단계;
    상기 반도체 웨이퍼 또는 또 다른 반도체 웨이퍼의 표면 상의 위치의 측정과 연관되는 제2 측정 데이터량을 수신하는 단계;
    상기 제2 측정 데이터량으로부터 하나 이상의 피쳐(feature)를 추출하는 단계;
    원하는 측정 타겟과 연관된 이상적인(ideal) 피쳐와 상기 하나 이상의 피쳐와의 비교에 적어도 부분적으로 기초하여, 측정된 상기 반도체 웨이퍼의 표면 상의 상기 원하는 측정 타겟에 대한 근사(proximity)를 결정하는 단계;
    상기 원하는 측정 타겟에 대한 상기 근사에 기초하여 측정 위치를 조정하는 단계;
    상기 측정된 반도체 웨이퍼의 표면 상의 제2 복수의 측정 사이트들의 측정과 연관된 제3 측정 데이터량을 수신하는 단계 - 상기 제2 복수의 측정 사이트들 중 적어도 하나는 상기 디바이스 구조체를 포함함 -; 및
    상기 트레이닝된 입력-출력 측정 모델에 대한 상기 제3 측정 데이터량의 맞춤(fitting)에 기초하여, 상기 제2 복수의 측정 사이트들 각각과 연관된 적어도 하나의 프로세스 파라미터 값, 적어도 하나의 구조 파라미터 값, 또는 이들 모두를 결정하는 단계; 및
    상기 적어도 하나의 프로세스 파라미터 값, 상기 적어도 하나의 구조 파라미터 값 또는 이들 모두 중 임의의 파라미터 값을 메모리에 저장하는 단계
    를 포함하는, 디바이스 구조체의 계측 방법.
  2. 제1항에 있어서,
    상기 제1 측정 데이터량은 상기 반도체 웨이퍼의 표면에 대한 초점, 노광량, 오버레이(overlay), 및 임계 치수의 임의의 알려진 변화를 갖는 제1 복수의 측정 사이트들의 측정과 연관되는 것인, 디바이스 구조체의 계측 방법.
  3. 제1항에 있어서,
    상기 제1 측정 데이터량의 치수(dimension)를 감소시킴으로써 상기 제1 측정 데이터량의 하나 이상의 피쳐를 추출하는 단계를 더 포함하고, 상기 입력-출력 측정 모델을 결정하는 단계는 상기 하나 이상의 피쳐에 적어도 부분적으로 기초하는 것인, 디바이스 구조체의 계측 방법.
  4. 제3항에 있어서,
    상기 제1 측정 데이터량의 치수를 감소시키는 것은, 주성분 분석(principal components analysis), 비선형 주성분 분석, 상기 제1 측정 데이터량으로부터의 개별 신호들의 선택, 및 상기 제1 측정 데이터량의 필터링 중 임의의 것을 포함하는 것인, 디바이스 구조체의 계측 방법.
  5. 제1항에 있어서,
    상기 예측된 응답 모델은 웨이퍼 맵(map) 모델이고, 상기 웨이퍼 맵 모델을 결정하는 것은, 상기 제1 복수의 측정 사이트들과 연관된 알려진 상기 프로세스 파라미터, 구조 파라미터, 또는 이들 모두에 대하여 2차원 맵 함수를 맞추는 것을 포함하는 것인, 디바이스 구조체의 계측 방법.
  6. 제1항에 있어서,
    상기 디바이스 구조체는 전용 온-디바이스(on-device) 계측 구조체인 것인, 디바이스 구조체의 계측 방법.
  7. 제6항에 있어서,
    상기 제1 복수의 측정 사이트들 중 적어도 하나는, 상기 반도체 웨이퍼의 스크라이브 라인(scribe line)에 위치된 지원(assist) 구조체를 포함하는 것인, 디바이스 구조체의 계측 방법.
  8. 디바이스 구조체의 계측 시스템에 있어서,
    적어도 하나의 프로세스 파라미터, 구조 파라미터, 또는 이들 모두의 알려진 변화를 갖는 반도체 웨이퍼의 표면 상의 제1 복수의 측정 사이트들에 조명광을 제공하도록 구성된 조명기 - 상기 제1 복수의 측정 사이트들 중 적어도 하나는 디바이스 구조체를 포함함 -;
    상기 조명광에 응답해서 상기 제1 복수의 측정 사이트들 각각으로부터의 광량을 검출하고, 상기 검출된 광량에 기초해 상기 제1 복수의 측정 사이트들 각각과 연관된 측정된 응답을 생성하도록 구성된 검출기 - 상기 제1 복수의 측정 사이트들 각각과 연관된 측정된 응답은 제1 측정 데이터량을 포함함 -; 및
    컴퓨팅 시스템
    을 포함하고,
    상기 컴퓨팅 시스템은,
    알려진 상기 적어도 하나의 프로세스 파라미터, 구조 파라미터, 또는 이들 모두 각각의 예측된 응답 모델을 결정하고 - 각각의 예측된 응답 모델은 상기 반도체 웨이퍼의 표면 상의 위치의 함수로서 상기 파라미터의 값을 규정함 -;
    상기 제1 측정 데이터량에 적어도 부분적으로 기초해 입력-출력 측정 모델을 결정하고;
    상기 예측된 응답 모델로부터 결정되는 파라미터 값에 기초해 상기 입력-출력 측정 모델을 트레이닝하고;
    상기 반도체 웨이퍼 또는 또 다른 반도체 웨이퍼의 표면 상의 제2 복수의 측정 사이트들의 측정과 연관된 제2 측정 데이터량을 수신하고;
    상기 제2 복수의 측정 사이트들 중 하나 이상에서의 원하는 측정 타겟에 대한 근사에 기초해, 측정된 상기 반도체 웨이퍼 상의 측정 위치를 조정하고;
    상기 트레이닝된 입력-출력 측정 모델에 대한 상기 제2 측정 데이터량의 맞춤에 기초해, 상기 제2 복수의 측정 사이트들과 연관된 적어도 하나의 프로세스 파라미터 값, 적어도 하나의 구조 파라미터 값, 또는 이들 모두를 결정하며;
    상기 적어도 하나의 프로세스 파라미터 값, 상기 적어도 하나의 구조 파라미터 값, 또는 이들 모두 중 임의의 파라미터 값을 메모리에 저장하도록
    구성된 것인, 디바이스 구조체의 계측 시스템.
  9. 제8항에 있어서,
    상기 컴퓨팅 시스템은 또한, 상기 제1 측정 데이터량의 치수를 감소시킴으로써 상기 제1 측정 데이터량의 하나 이상의 피쳐를 추출하도록 구성되고, 상기 입력-출력 측정 모델을 결정하는 것은 상기 하나 이상의 피쳐에 적어도 부분적으로 기초하는 것인, 디바이스 구조체의 계측 시스템.
  10. 제9항에 있어서,
    상기 제1 측정 데이터량의 치수를 감소시키는 것은, 주성분 분석, 비선형 주성분 분석, 상기 제1 측정 데이터량으로부터의 개별 신호들의 선택, 및 상기 제1 측정 데이터량의 필터링 중 임의의 것을 포함하는 것인, 디바이스 구조체의 계측 시스템.
  11. 제8항에 있어서,
    상기 디바이스 구조체는 전용 온-디바이스 계측 구조체인 것인, 디바이스 구조체의 계측 시스템.
  12. 제11항에 있어서,
    상기 제1 복수의 측정 사이트들 중 적어도 하나는 상기 반도체 웨이퍼의 스크라이브 라인에 위치된 지원 구조체를 포함하는 것인, 디바이스 구조체의 계측 시스템.
  13. 제8항에 있어서,
    상기 측정 위치의 조정은, 상기 제2 측정 데이터량으로부터 추출된 하나 이상의 피쳐에 적어도 부분적으로 기초하는 것인, 디바이스 구조체의 계측 시스템.
  14. 디바이스 구조체의 계측 방법에 있어서,
    제1 반도체 웨이퍼의 표면 상의 측정 사이트로의 측정 위치에서 조명광을 제공하는 단계 - 상기 측정 사이트는 디바이스 구조체를 포함함 -;
    상기 조명광에 응답해서 상기 측정 사이트로부터의 광량을 검출하는 단계;
    상기 검출된 광량에 기초해 상기 측정 사이트와 연관된 측정된 응답을 생성하는 단계 - 상기 측정된 응답은 제1 측정 데이터량을 포함함 -;
    컴퓨팅 시스템에 의해 상기 제1 측정 데이터량으로부터 하나 이상의 피쳐를 추출하는 단계 - 상기 제1 측정 데이터량으로부터 하나 이상의 피쳐를 추출하는 단계는 주성분 분석을 수반함 -;
    원하는 측정 타겟과 연관된 이상적인 피쳐와 상기 하나 이상의 피쳐와의 비교에 적어도 부분적으로 기초하여, 컴퓨터 시스템에 의해 상기 반도체 웨이퍼의 표면 상의 상기 원하는 측정 타겟에 대한 근사를 결정하는 단계; 및
    상기 원하는 측정 타겟에 대한 상기 근사에 기초하여 상기 측정 위치를 조정하는 단계
    를 포함하는, 디바이스 구조체의 계측 방법.
  15. 제14항에 있어서,
    상기 반도체 웨이퍼 상의 원하는 측정 타겟의 측정과 연관된 제2 측정 데이터량을 수신하는 단계;
    트레이닝된 입력-출력 측정 모델에 대한 상기 제2 측정 데이터량의 맞춤에 기초하여, 적어도 하나의 프로세스 파라미터 값, 적어도 하나의 구조 파라미터 값, 또는 이들 모두를 결정하는 단계; 및
    상기 적어도 하나의 프로세스 파라미터 값, 상기 적어도 하나의 구조 파라미터 값, 또는 이들 모두 중 임의의 것을 메모리에 저장하는 단계
    를 더 포함하는, 디바이스 구조체의 계측 방법.
  16. 제14항에 있어서,
    상기 원하는 측정 타겟은 온-디바이스 구조체인 것인, 디바이스 구조체의 계측 방법.
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020157033000A 2013-04-19 2014-04-18 온-디바이스 계측 KR102035377B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361814191P 2013-04-19 2013-04-19
US61/814,191 2013-04-19
US14/252,323 US9875946B2 (en) 2013-04-19 2014-04-14 On-device metrology
US14/252,323 2014-04-14
PCT/US2014/034668 WO2014172648A1 (en) 2013-04-19 2014-04-18 On-device metrology

Publications (2)

Publication Number Publication Date
KR20160002968A KR20160002968A (ko) 2016-01-08
KR102035377B1 true KR102035377B1 (ko) 2019-10-23

Family

ID=51729661

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157033000A KR102035377B1 (ko) 2013-04-19 2014-04-18 온-디바이스 계측

Country Status (4)

Country Link
US (1) US9875946B2 (ko)
KR (1) KR102035377B1 (ko)
TW (1) TWI631476B (ko)
WO (1) WO2014172648A1 (ko)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255877B2 (en) * 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10210606B2 (en) 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US10139352B2 (en) 2014-10-18 2018-11-27 Kla-Tenor Corporation Measurement of small box size targets
US9710728B2 (en) 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10345095B1 (en) 2014-11-20 2019-07-09 Kla- Tencor Corporation Model based measurement systems with improved electromagnetic solver performance
KR102521159B1 (ko) * 2014-11-25 2023-04-13 피디에프 솔루션즈, 인코포레이티드 반도체 제조 공정을 위한 개선된 공정 제어 기술
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US9830421B2 (en) * 2014-12-31 2017-11-28 Kla-Tencor Corp. Alignment of inspection to design using built in targets
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
WO2016123552A1 (en) 2015-01-30 2016-08-04 Kla-Tencor Corporation Device metrology targets and methods
US9470639B1 (en) 2015-02-03 2016-10-18 Kla-Tencor Corporation Optical metrology with reduced sensitivity to grating anomalies
US10185303B2 (en) 2015-02-21 2019-01-22 Kla-Tencor Corporation Optimizing computational efficiency by multiple truncation of spatial harmonics
US10365225B1 (en) 2015-03-04 2019-07-30 Kla-Tencor Corporation Multi-location metrology
US10312161B2 (en) * 2015-03-23 2019-06-04 Applied Materials Israel Ltd. Process window analysis
US10502549B2 (en) * 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
SG10201912822UA (en) * 2015-05-19 2020-02-27 Kla Tencor Corp Topographic phase control for overlay measurement
US10345721B1 (en) 2015-06-16 2019-07-09 Kla-Tencor Corporation Measurement library optimization in semiconductor metrology
US10380728B2 (en) * 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
EP3171396A1 (en) * 2015-11-18 2017-05-24 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method of determining an overlay error, manufacturing method and system for manufacturing of a multilayer semiconductor device, and semiconductor device manufactured thereby
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10139358B2 (en) * 2016-01-11 2018-11-27 International Business Machines Corporation Method for characterization of a layered structure
US9921152B2 (en) 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
CN107293475B (zh) * 2016-04-01 2021-01-01 上海新昇半导体科技有限公司 减少外延衬底缺陷的形成方法
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US11313809B1 (en) 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US20170337482A1 (en) * 2016-05-20 2017-11-23 Suraj Sindia Predictive system for industrial internet of things
US9921104B2 (en) 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
WO2018038892A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Self-healing semiconductor wafer processing
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10215693B2 (en) 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
WO2018071716A1 (en) 2016-10-13 2018-04-19 Kla-Tencor Corporation Metrology systems and methods for process control
US10712145B2 (en) 2016-10-20 2020-07-14 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
US10921369B2 (en) 2017-01-05 2021-02-16 Xcalipr Corporation High precision optical characterization of carrier transport properties in semiconductors
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10732516B2 (en) 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10474042B2 (en) * 2017-03-22 2019-11-12 Kla-Tencor Corporation Stochastically-aware metrology and fabrication
US11378451B2 (en) 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US11248905B2 (en) 2017-08-16 2022-02-15 Kla-Tencor Corporation Machine learning in metrology measurements
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
US10732515B2 (en) 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
US10551166B2 (en) 2017-10-11 2020-02-04 Kla-Tencor Corporation Optical measurement of a highly absorbing film layer over highly reflective film stacks
US10580673B2 (en) * 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11036898B2 (en) 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
WO2019239380A1 (en) * 2018-06-14 2019-12-19 Nova Measuring Instruments Ltd. Metrology and process control for semiconductor manufacturing
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US10801953B2 (en) 2019-01-11 2020-10-13 Kla-Tencor Corporation Semiconductor metrology based on hyperspectral imaging
US10804167B2 (en) * 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11137350B2 (en) 2019-01-28 2021-10-05 Kla Corporation Mid-infrared spectroscopy for measurement of high aspect ratio structures
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
CN116165220B (zh) * 2023-04-25 2023-07-04 苏州鑫信腾科技有限公司 一种基于人工智能的aoi内观检测装置及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080049226A1 (en) 2002-12-05 2008-02-28 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20110051116A1 (en) 2008-05-21 2011-03-03 Kla-Tencor Corporation Substrate Matrix To Decouple Tool And Process Effects

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7171284B2 (en) 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US8032349B2 (en) 2007-01-25 2011-10-04 International Business Machines Corporation Efficient methodology for the accurate generation of customized compact model parameters from electrical test data
US8193007B1 (en) 2011-02-17 2012-06-05 Tokyo Electron Limited Etch process control using optical metrology and sensor devices
US9310296B2 (en) 2011-06-20 2016-04-12 Kla-Tencor Corporation Optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080049226A1 (en) 2002-12-05 2008-02-28 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20110051116A1 (en) 2008-05-21 2011-03-03 Kla-Tencor Corporation Substrate Matrix To Decouple Tool And Process Effects

Also Published As

Publication number Publication date
TWI631476B (zh) 2018-08-01
TW201447622A (zh) 2014-12-16
US20140316730A1 (en) 2014-10-23
WO2014172648A1 (en) 2014-10-23
US9875946B2 (en) 2018-01-23
KR20160002968A (ko) 2016-01-08

Similar Documents

Publication Publication Date Title
KR102035377B1 (ko) 온-디바이스 계측
KR102035376B1 (ko) 통계적 모델 기반 계측
US10612916B2 (en) Measurement of multiple patterning parameters
KR102382490B1 (ko) 모델 기반의 핫 스팟 모니터링
KR102245698B1 (ko) 다중 패턴화 프로세스의 계측
CN107408519B (zh) 基于模型的单个参数测量的系统和方法
KR102245695B1 (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
KR102184029B1 (ko) 이미지 기반 오버레이 측정을 위한 신호 응답 계측
KR20180037281A (ko) 이미지를 이용한 모델 기반 계측
KR20150018535A (ko) 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화
KR102644768B1 (ko) 확률 도메인 지식에 기초하는 측정 레시피 최적화 및 물리적 실현

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant