KR102184029B1 - 이미지 기반 오버레이 측정을 위한 신호 응답 계측 - Google Patents

이미지 기반 오버레이 측정을 위한 신호 응답 계측 Download PDF

Info

Publication number
KR102184029B1
KR102184029B1 KR1020167022985A KR20167022985A KR102184029B1 KR 102184029 B1 KR102184029 B1 KR 102184029B1 KR 1020167022985 A KR1020167022985 A KR 1020167022985A KR 20167022985 A KR20167022985 A KR 20167022985A KR 102184029 B1 KR102184029 B1 KR 102184029B1
Authority
KR
South Korea
Prior art keywords
image
model
images
image data
metrology
Prior art date
Application number
KR1020167022985A
Other languages
English (en)
Other versions
KR20160124775A (ko
Inventor
스틸리안 이바노프 판데프
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20160124775A publication Critical patent/KR20160124775A/ko
Application granted granted Critical
Publication of KR102184029B1 publication Critical patent/KR102184029B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/28Determining representative reference patterns, e.g. by averaging or distorting; Generating dictionaries
    • G06K9/6255
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/772Determining representative reference patterns, e.g. averaging or distorting patterns; Generating dictionaries
    • G06K2209/19
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30168Image quality inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Quality & Reliability (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Evolutionary Biology (AREA)
  • General Engineering & Computer Science (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • Multimedia (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

측정된 이미지 기반 트레이닝 데이터에만 기초하여 이미지 기반 측정 모델을 생성하기 위한 방법 및 시스템이 제공된다. 그 후, 트레이닝된 이미지 기반 측정 모델은 다른 웨이퍼들로부터 수집된, 측정된 이미지 데이터로부터 직접적으로 관심대상의 하나 이상의 파라미터들의 값들을 계산하는 데에 이용된다. 이미지 기반 측정 모델들은 이미지 데이터를 직접적으로 입력으로서 수신하고 관심대상의 파라미터들의 값들을 출력으로서 제공한다. 몇몇의 실시예들에서, 이미지 기반 측정 모델은 오버레이 오차의 직접적 측정을 가능하게 해준다. 몇몇의 실시예들에서, 오버레이 오차는 온 디바이스(on-device) 구조물들의 이미지들로부터 결정된다. 몇몇의 다른 실시예들에서, 오버레이 오차는 특수화된 타겟 구조물들의 이미지들로부터 결정된다. 몇몇의 실시예들에서, 모델 구축, 트레이닝, 및 측정을 위해, 다중 타겟들로부터의 이미지 데이터, 다중 계측들에 의해 수집된 이미지 데이터, 또는 이 둘 다가 이용된다. 몇몇의 실시예들에서, 최적화 알고리즘은 이미지 기반 측정 모델 구축 및 트레이닝 공정을 자동화한다.

Description

이미지 기반 오버레이 측정을 위한 신호 응답 계측{SIGNAL RESPONSE METROLOGY FOR IMAGE BASED OVERLAY MEASUREMENTS}
본 출원은 “Signal Response Metrology For On-Device Image Based Overlay Measurements”이라는 명칭으로 2014년 2월 20일에 출원된 미국 가특허 출원 61/942,204로부터의 우선권을 35 U.S.C. §119 하에서 청구하며, 이 가특허 출원 내용 전체는 참조로서 본 명세서내에 병합된다.
설명되는 실시예들은 계측(metrology) 시스템 및 방법에 관한 것이며, 보다 구체적으로는, 개선된 이미지 기반 측정을 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스들은 일반적으로 표본(specimen)에 가해진 처리 단계들의 시퀀스에 의해 제조된다. 이러한 처리 단계들에 의해 반도체 디바이스들의 다양한 피처(feature)들 및 다중 구조적 레벨들이 형성된다. 예를 들어, 다른 것들 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 공정이다. 반도체 제조 공정들의 추가적인 예시들은, 비제한적인 예시로서, 화학적 기계적 폴리싱, 에칭, 퇴적, 및 이온 주입을 포함한다. 다중 반도체 디바이스들이 단일 반도체 웨이퍼 상에서 제조되고, 그 후 개별적인 반도체 디바이스들로 분리될 수 있다.
반도체 제조 공정 동안 웨이퍼들 상의 결함들을 검출하여 더 높은 수율(yield)을 도모시키기 위해 다양한 단계들에서 계측 공정들이 이용된다. 광학적 계측 기술들은 종종 샘플 파괴의 위험없이 높은 쓰루풋(throughput)의 가능성을 제공한다. 임계 디멘션(dimension), 막 두께, 조성, 오버레이 및 나노스케일 구조물들의 기타 파라미터들을 특징화(characterize)하기 위해 산란계측(scatterometry) 및 반사계측(reflectometry) 구현들 및 관련 분석 알고리즘들을 비롯한 복수의 광학 계측 기반 기술들이 통상적으로 이용된다.
오버레이 오차(overlay error)는 웨이퍼의 상이한 층들 상에서의 구조물들의 상대적인 위치를 말한다. 오버레이 오차가 클수록, 구조물들은 더 많이 오정렬된다. 오버레이 오차가 너무 크면, 제조된 전자 디바이스의 성능은 손상될 수 있다.
일반적으로, 오버레이 오차는 리소그래피 툴에 의해 웨이퍼 상의 다양한 위치들에서 형성된 특수화된 타겟 구조물들의 측정들에 기초하여 평가된다. 타겟 구조물들은 박스 내 박스(box in box) 구조물과 같은, 많은 형태들을 취할 수 있다. 이 형태에서, 박스는 웨이퍼의 하나의 층 상에서 생성되며, 두번째의 더 작은 박스는 다른 층 상에서 생성된다. 두 개의 박스들의 중심들간의 정렬을 비교함으로써 국부화된 오버레이 오차가 측정된다. 이러한 측정들은 타겟 구조물들이 이용가능한 웨이퍼 상의 위치들에서 행해진다.
이미지 기반 오버레이 오차 측정은 일반적으로 오버레이 오차를 추정하기 위해 특수화된 타겟 구조물들의 이미지들의 분석을 수반한다. 일반적으로, 이미지 분석은 이미지 내에서의 특정 타겟 피처들(예컨대, 라인 세그먼트들, 박스들 등)의 인식을 수반하며, 오버레이 오차는 이러한 피처들의 상대적인 위치들에 기초하여 계산된다. 일반적으로, 특수화된 타겟 구조물들은 이미지 처리 알고리즘에 특유적이다. 예를 들어, 오버레이 타겟(예컨대, 박스 내 박스 타겟, 프레임 내 프레임 타겟, AIM(advanced imaging metrology) 타겟)과 연관된 라인 세그먼트들은 알고리즘의 특성에 따르도록 특수하게 설계된다. 이러한 이유로, 종래의 이미지 기반 오버레이 계측 분석 알고리즘들은 임의적인 오버레이 타겟들 또는 디바이스 구조물들에 대해서는 신뢰성 있게 수행할 수 없다.
또한, 알고리즘들은 이미지의 특정 영역들에 대해서만 작용하기 때문에 몇몇의 정보는 손실된다. 달리 말하면, 오버레이 오차를 평가하기 위한 초점으로서 특정 라인 엣지들 등의 선택은 이미지 내의 다른 픽셀들에 의해 행해질 수 있는 기여들을 무시한다.
또한, 종래의 이미지 기반 알고리즘들은 캡처된 이미지들 상에서의 이러한 오차 소스들의 영향을 캡처하기 위한 체계적인 방법이 결여되어 있기 때문에 이러한 알고리즘들은 공정 변동(variation)들, 비대칭성, 및 광학 시스템 오차들에 민감하다.
미래의 오버레이 계측 응용들은 계속해서 작아지는 분해능(resolution) 요건들 및 계속해서 높아지는 웨이퍼 영역 값으로 인해 계측에 대한 해결과제를 제시한다. 따라서, 개선된 오버레이 측정을 위한 방법 및 시스템이 요망된다.
측정된 이미지 기반 트레이닝(training) 데이터(예컨대, DOE(Design of Experiment) 웨이퍼로부터 수집된 이미지들)에만 기초하여 이미지 기반 측정 모델을 생성하기 위한 방법 및 시스템이 제공된다. 그 후, 트레이닝된 이미지 기반 측정 모델은 다른 웨이퍼들로부터 수집된, 측정된 이미지 데이터로부터 직접적으로 관심대상의 하나 이상의 파라미터들의 값들을 계산하는 데에 이용된다.
일 양태에서, 여기서 설명된 트레이닝된, 이미지 기반 측정 모델들은 이미지 데이터를 직접적으로 입력으로서 수신하고 출력으로서 관심대상의 하나 이상의 파라미터들의 값들을 제공한다. 측정 공정을 간소화함으로써, 계산 및 사용자 시간의 감소와 더불어, 예측 결과들이 개선된다.
다른 양태에서, 관심대상의 파라미터들의 값들이 온 디바이스(on-device) 구조물들의 이미지들로부터 결정될 수 있다. 몇몇의 실시예들에서, 온 디바이스 구조물들의 이미지들은 여기서 설명된 이미지 기반 측정 모델을 트레이닝하는 데에 이용된다. 그 후, 트레이닝된 이미지 기반 측정 모델은 다른 웨이퍼들로부터 수집된, 동일한 온 디바이스 구조물들의 이미지들로부터 직접적으로 관심대상의 하나 이상의 파라미터들의 값들을 계산하기 위해 이용된다.
이미지 기반 측정 모델을 생성하기 위해 원시(raw) 이미지 데이터만을 이용함으로써, 여기서 설명된 바와 같이, 종래의 이미지 기반 계측 방법들과 연관된 오차들 및 근사치(approximation)들은 감소된다. 또한, 이미지 기반 측정 모델은 특정 계측 시스템으로부터 수집된 이미지 데이터에 기초하여 트레이닝되고, 동일한 계측 시스템으로부터 수집된 이미지들에 기초하여 측정들을 수행하는 데에 이용되기 때문에, 이미지 기반 측정 모델은 시스템 오차, 비대칭성 등에 민감하지 않다.
추가적인 양태에서, 여기서 설명된 바와 같이, 연속적인 리소그래피 공정들에 의해 기판 상에 형성된 구조물들간의 오버레이 오차는 원시 이미지 데이터로부터 생성된 이미지 기반 측정 모델에 직접 기초하여 측정된다. 이미지 기반 측정 모델은 알려진 오버레이 변동들을 갖는 이미지들의 세트에 기초하여 트레이닝된다. 그 후, 트레이닝된 이미지 기반 측정 모델은 미지의 이미지로부터의 오버레이 오차들을 예측하는 데에 이용된다. 일반적으로, 구조물들은 기판의 동일층 상에 또는 상이한 층들 상에 위치할 수 있다.
다른 추가적인 양태에서, 여기서 설명되는 방법들 및 시스템들은 오버레이 오차의 측정에만 국한되지 않는다. 일반적으로, 앞서언급한 이미지 기반 측정 기술들은 다른 공정, 구조물, 분산 파라미터들, 또는 이러한 파라미터들의 임의의 조합에 적용될 수 있다. 비제한적인 예시로서, 여기서 설명된 기술들을 이용하여 임계 디멘션, 오버레이 오차, 초점, 및 도즈(dose) 중 임의의 것이 측정될 수 있다.
다른 추가적인 양태에서, 이미지 기반 측정 모델을 트레이닝하기 위한 방법 및 시스템은 트레이닝된 이미지 기반 측정 모델에 도달하는 데에 필요한 엘리먼트들 모두 또는 그 중 일부를 자동화하기 위한 최적화 알고리즘을 포함한다.
추가적인 양태에서, 상이한 구조물을 갖지만 동일한 공정 조건들에 의해 형성된 다중 타겟들로부터의 이미지 데이터가 모델 구축, 트레이닝, 및 측정을 위해 수집된다. 이것은 모델 내에 임베딩된 정보를 증가시키고, 공정 또는 다른 파라미터 변동들에 대한 오버레이 상관을 감소시킨다.
다른 추가적인 양태에서, 다중의 상이한 측정 기술들의 조합에 의해 수행된 측정들로부터 유도된 이미지 데이터가 모델 구축, 트레이닝, 및 측정을 위해 수집된다. 다중의 상이한 특정 기술들과 연관된 측정 데이터의 이용은 신호들의 결합 세트 내에서 정보 콘텐츠를 증가시키고, 공정 또는 다른 파라미터 변동들에 대한 오버레이 상관을 감소시킨다.
또다른 양태에서, 여기서 설명된 이미지 기반 측정 모델 결과들이 능동 피드백을 공정 툴(예컨대, 리소그래피 툴, 에칭 툴, 퇴적 툴 등)에 제공하는 데에 이용될 수 있다.
전술한 내용은 요약이며, 이에 따라, 필연적으로, 상세사항의 단순화, 일반화 및 생략을 포함하며, 결과적으로, 본 업계의 당업자는 본 요약이 예시에 불과하며 어떠한 방식으로든 제한적인 것이 아님을 알 것이다. 여기서 설명된 디바이스들 및/또는 공정들의 다른 양태들, 발명적 특징들, 및 장점들이 여기서 진술된 비제한적인 상세한 설명에서 명백해질 것이다.
도 1은 여기서 설명된 이미지 기반 측정 모델을 트레이닝하는 방법(100)을 나타내는 흐름도이다.
도 2는 방법(100)에 의해 생성된 트레이닝된 이미지 기반 측정 모델을 이용하여 관심대상의 파라미터를 측정하는 방법(110)을 나타내는 흐름도이다.
도 3은 반도체 웨이퍼의 상이한 층 상에 각각 배치된, 두 개의 격자(grating)들의 시뮬레이션된 이미지(120)를 도시한다.
도 4는 오버레이 오차 내에서의 알려진 변동들을 포함하는 DOE 웨이퍼로부터 수집된 이미지들의 주요 성분 맵들의 매트릭스(130)를 나타낸다.
도 5는 도 3을 참조하여 설명된 시뮬레이션을 위한 y축 상의 실제 오버레이 오차 및 x축 상의 대응하는 예측된 오버레이 오차를 표시하는 시뮬레이션 결과들의 도표(120)를 도시한다.
도 6은 도 5에서 도시된 각각의 측정점과 연관된 잔차(residual) 오버레이 오차 값들을 표시하는 도표(121)를 도시한다.
도 7은 트레이닝 이미지들과 연관된 측정점들에 대한 도 6에서 도시된 잔차 값들의 분포를 나타내는 도표(122)를 도시한다.
도 8은 트레이닝 데이터 세트에 관여하지 않았던 이미지들과 연관된 측정점들에 대한 도 6에서 도시된 잔차 값들의 분포를 나타내는 도표(123)를 도시한다.
도 9는 다른 실시예에서의 반도체 웨이퍼의 상이한 층 상에 각각 배치된, 두 개의 격자들의 시뮬레이션된 이미지(130)를 도시한다.
도 10은 도 9를 참조하여 설명된 시뮬레이션을 위한 y축 상의 실제 오버레이 오차 및 x축 상의 대응하는 예측된 오버레이 오차를 표시하는 시뮬레이션 결과들의 도표(131)를 도시한다.
도 11은 도 10에서 도시된 각각의 측정점과 연관된 잔차 오버레이 오차 값들을 표시하는 도표(132)를 도시한다.
도 12는 트레이닝 이미지들과 연관된 측정점들에 대한 도 11에서 도시된 잔차 값들의 분포를 나타내는 도표(133)를 도시한다.
도 13은 트레이닝 데이터 세트에 관여하지 않았던 이미지들과 연관된 측정점들에 대한 도 10에서 도시된 잔차 값들의 분포를 나타내는 도표(134)를 도시한다.
도 14a 내지 도 14d는 몇몇의 실시예들에서의 오버레이 오차들의 이미지 기반 측정에 이로울 수 있는, 계측 타겟들(140~143)을 각각 나타낸다.
도 15a 및 도 15b는 몇몇의 실시예들에서의 오버레이 오차들의 이미지 기반 측정에 이로울 수 있는, 계측 타겟들(150~151)을 각각 나타낸다.
도 16a 및 도 16b는 몇몇의 실시예들에서의 오버레이 오차들의 이미지 기반 측정에 이로울 수 있는, 계측 타겟들(160~161)을 각각 나타낸다.
도 17a 및 도 17b는 몇몇의 실시예들에서의 오버레이 오차들의 이미지 기반 측정에 이로울 수 있는, 계측 타겟들(170~171)을 각각 나타낸다.
도 18은 하나의 실시예에서의 오버레이 오차에서의 알려진 변동들을 나타내는 타겟들의 그리드(grid)를 갖는 DOE 웨이퍼(180)를 도시한다.
도 19는 여기서 제공된 예시적인 방법들에 따른 표본으로부터 수집된 이미지들로부터의 관심대상의 파라미터들을 추정하기 위한 시스템(300)을 나타낸다.
이제부터, 본 발명의 배경적인 예시들과 몇몇의 실시예들에 대해 상세한 설명을 할 것이며, 이러한 예시들은 첨부된 도면들에 나타나 있다.
측정된 이미지 기반 트레이닝 데이터(예컨대, DOE(Design of Experiment) 웨이퍼로부터 수집된 이미지들)에만 기초하여 이미지 기반 측정 모델을 생성하기 위한 방법 및 시스템이 제공된다. 그 후, 트레이닝된 이미지 기반 측정 모델은 다른 웨이퍼들로부터 수집된, 측정된 이미지 데이터로부터 직접적으로 관심대상의 하나 이상의 파라미터들의 값들을 계산하는 데에 이용된다.
일 양태에서, 여기서 설명된 트레이닝된, 이미지 기반 측정 모델들은 이미지 데이터를 직접적으로 입력으로서 수신하고 출력으로서 관심대상의 하나 이상의 파라미터들의 값들을 제공한다. 측정 공정을 간소화함으로써, 계산 및 사용자 시간의 감소와 더불어, 예측 결과들이 개선된다.
다른 양태에서, 관심대상의 파라미터들의 값들이 온 디바이스(on-device) 구조물들의 이미지들로부터 결정될 수 있다. 몇몇의 실시예들에서, 온 디바이스 구조물들의 이미지들은 여기서 설명된 이미지 기반 측정 모델을 트레이닝하는 데에 이용된다. 그 후, 트레이닝된 이미지 기반 측정 모델은 다른 웨이퍼들로부터 수집된, 동일한 온 디바이스 구조물들의 이미지들로부터 직접적으로 관심대상의 하나 이상의 파라미터들의 값들을 계산하기 위해 이용된다. 이러한 실시예들에서는, 특수화된 타겟들의 이용이 회피된다. 하나의 예시에서, 인 다이(in-die) 디바이스 구조물들을 오버레이 계측 타겟으로서 이용하여 오버레이 측정들이 수행된다. 이것은 인 다이 측정들을 가능하게 하고, 특수화된 오버레이 계측 타겟들의 이용 및 이러한 특수화된 타겟들로의 이미지 분석 알고리즘들의 튜닝의 복잡성을 회피시킨다. 몇몇의 예시들에서, 이미지 기반 측정 모델은 한 시간 미만 내에 생성될 수 있다. 또한, 단순화된 모델을 활용함으로써, 측정 시간은 기존의 이미지 기반 계측 방법과 비교하여 감소된다.
이미지 기반 측정 모델을 생성하기 위해 원시 이미지 데이터만을 이용함으로써, 여기서 설명된 바와 같이, 종래의 이미지 기반 계측 방법들과 연관된 오차들 및 근사치들은 감소된다. 또한, 이미지 기반 측정 모델은 특정 계측 시스템으로부터 수집된 이미지 데이터에 기초하여 트레이닝되고, 동일한 계측 시스템으로부터 수집된 이미지들에 기초하여 측정들을 수행하는 데에 이용되기 때문에, 이미지 기반 측정 모델은 시스템 오차, 비대칭성 등에 민감하지 않다.
추가적인 양태에서, 여기서 설명된 바와 같이, 연속적인 리소그래피 공정들에 의해 기판 상에 형성된 구조물들간의 오버레이 오차는 원시 이미지 데이터로부터 생성된 이미지 기반 측정 모델에 직접 기초하여 측정된다. 이미지 기반 측정 모델은 알려진 오버레이 변동들을 갖는 이미지들의 세트에 기초하여 트레이닝된다. 그 후, 이미지 기반 측정 모델은 미지의 이미지로부터의 오버레이 오차들을 예측하는 데에 이용된다. 일반적으로, 구조물들은 기판의 동일층 상에 또는 상이한 층들 상에 위치할 수 있다.
일반적으로, 여기서 설명되는 방법들 및 시스템들은 이미지를 전체적으로 분석한다. 이미지 내의 개별적인 피처들을 인식하는 것 대신에, 각각의 픽셀은 오버레이 오차들에 관한(또는 이에 민감한) 정보, 및 기타 파라미터들(예컨대, 구조적 파라미터들, 공정 파라미터들, 분산 파라미터들 등)을 포함한 개별적인 신호로서 간주된다.
도 1은 본 발명의 도 19에서 나타난 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적절한 방법(100)을 나타낸다. 하나의 양태에서, 방법(100)의 데이터 처리 블록들은 컴퓨팅 시스템(330), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서들에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 수행될 수 있다는 것이 인식된다. 여기서 계측 시스템(300)의 특정 구조적 양태들은 제한성들을 나타내지 않으며 예시용으로서만 해석되어야 한다는 것이 인식된다.
블록(101)에서, 반도체 웨이퍼의 표면 상의 복수의 제1 사이트(site)들의 이미지들과 연관된 제1 양의 이미지 데이터가 컴퓨팅 시스템(예컨대, 컴퓨팅 시스템(330))에 의해 수신된다. 이미지들은 관심대상의 적어도 하나의 파라미터의 알려진 변동들을 나타낸다. 이미지 데이터는 적어도 하나의 계측 기술에 의해 수행된 측정들로부터 유도된다. 이미지들은 광학 이미징 시스템, 전자 주사 현미경, 또는 기타 이미지 형성 시스템들로부터 획득될 수 있다.
몇몇의 실시예들에서, 관심대상의 파라미터(들)의 변동들은 반도체 웨이퍼(예컨대, DOE(Design of Experiment) 웨이퍼)의 표면 상의 DOE 패턴으로 조직화된다. 이러한 방식으로, 측정 사이트들은 관심대상의 파라미터(들)의 상이한 값들에 해당하는 웨이퍼 표면 상의 상이한 위치들을 얻어낸다. 하나의 예시에서, DOE 패턴은 오버레이 오차 패턴이다. 일반적으로, 오버레이 오차 패턴을 나타내는 DOE 웨이퍼는 측정 사이트들의 그리드 패턴을 포함한다. 하나의 그리드 방향(예컨대, x방향)에서, 오버레이는 x방향으로 변동되는 반면에, y방향으로는 일정하게 유지된다. 직교하는 그리드 방향(예컨대, y방향)에서, 오버레이 오차는 y방향으로 변동되는 반면에, x방향으로는 일정하게 유지된다. 이러한 방식으로, DOE 웨이퍼로부터 수집된 이미지 데이터는 x방향과 y방향 둘 다에서 알려진 오버레이 변동들과 연관된 데이터를 포함한다. 도 18은 알려진 오버레이 오차 변동들을 나타내는 타겟들의 그리드(예컨대, 타겟(181))를 갖는 DOE 웨이퍼(180)를 도시한다. x방향 오버레이 오차들은 x방향으로의 DOE 웨이퍼(180) 상의 위치의 함수로서 변화한다. y방향 오버레이 오차들은 y방향으로의 DOE 웨이퍼(180) 상의 위치의 함수로서 변화한다. 몇몇의 예시들에서, x와 y 오버레이 오차들은 -20 나노미터 내지 약 20 나노미터의 범위에 있다. 몇몇의 다른 예시들에서, x와 y 오버레이 오차들은 -80 나노미터 내지 약 80 나노미터의 범위에 있다.
앞서 언급한 예시에서, 이미지 데이터는 알려진 오버레이 오차 변동들을 갖고 처리된 DOE 웨이퍼와 연관된다. 하지만, 일반적으로, 공정 파라미터들, 구조적 파라미터, 분산 등의 임의의 알려진 변동과 연관된 이미지 데이터가 구상가능할 수 있다. DOE 웨이퍼의 이미지들은 관심대상의 파라미터(들)(예컨대, 오버레이 오차)의 범위들을 나타내야 하고, 또한 공정 변동들(예컨대, 초점/도즈) 및 광학 시스템 오차들(예컨대, 카메라 오프셋)과 같은 다른 노이즈 소스들의 범위들을 나타내야 한다.
도 3은 반도체 웨이퍼의 상이한 층 상에 각각 배치된, 두 개의 격자들의 시뮬레이션된 이미지(120)를 도시한다. 이 시뮬레이션에서, 격자 피치(pitch)는 1마이크론이다. 격자의 각각의 라인의 폭(즉, 격자의 임계 디멘션)은 500나노미터이다. 오버레이 오차의 범위는 3백 개의 상이한 이미지들에 걸쳐 x와 y방향 둘 다로 16나노미터이다. 추가적인 오차들을 분석에 도입하기 위해, 격자의 각각의 라인의 폭은 3백 개 이미지들에 걸쳐 5나노미터만큼 변동되고, 카메라 오프셋 오차(즉, 전체 이미지 쉬프트)는 3백 개의 이미지들에 걸쳐 x와 y방향 둘 다로 네 개의 픽셀들만큼 변동된다. 이 시뮬레이션에서, 픽셀 분해능은 10나노미터 당 1개 픽셀이다.
도 9는 반도체 웨이퍼의 상이한 층 상에 각각 배치된, 두 개의 격자들의 시뮬레이션된 이미지(130)를 도시한다. 이 시뮬레이션에서, 격자 피치는 400나노미터이다. 격자의 각각의 라인의 폭(즉, 격자의 임계 디멘션)은 200나노미터이다. 오버레이 오차의 범위는 2백 개의 상이한 이미지들에 걸쳐 x와 y방향 둘 다로 100나노미터이다. 추가적인 오차들을 분석에 도입하기 위해, 격자의 각각의 라인의 폭은 2백 개 이미지들에 걸쳐 5나노미터만큼 변동되고, 카메라 오프셋 오차(즉, 전체 이미지 쉬프트)는 2백 개의 이미지들에 걸쳐 x와 y방향 둘 다로 다섯 개의 픽셀들만큼 변동된다. 이 시뮬레이션에서, 픽셀 분해능은 10나노미터 당 1개 픽셀이다.
택일적 사항의 블록(102)에서, 블록(101)에서 수신된 이미지들 각각은 하나 이상의 이미지 필터들에 의해 필터링된다. 이미지 필터들은 노이즈 감소, 콘트라스트 강화 등을 위해 활용될 수 있다. 활용되는 이미지 필터들은 사용자에 의해 또는 자동 프로시저에 의해 선택될 수 있다. 과도한 연산적 부담 없이 최종적인 측정 결과를 개선시키기 위해 상이한 이미지 필터들 및 각각의 선택된 필터와 연관된 파라미터들의 개수가 선택된다. 비록, 이미지 기반 필터들의 이용이 이로울 수 있지만, 일반적으로, 이미지 기반 필터들은 불필요하다. 이런 의미에서, 블록(102)은 택일적 사항이다.
블록(103)에서, 제1 양의 이미지 데이터의 복수의 이미지들 각각의 적어도 일부분에 기초하여 피처 추출 모델이 결정된다. 피처 추출 모델은 제1 양의 이미지 데이터의 디멘션을 감소시킨다. 피처 추출 모델은 오리지널 신호들을 신규의 감소된 신호 세트에 매핑시킨다. 제1 양의 이미지 데이터에서의 관심대상의 파라미터(들)의 변동들에 기초하여 변환이 결정된다. 각각의 이미지의 각각의 픽셀은 제1 양의 이미지 데이터 내의 상이한 이미지들에 대한 공정 범위 내에서 변화하는 오리지널 신호로서 취급된다. 피처 추출 모델은 이미지 픽셀들 모두, 또는 이미지 픽셀들의 서브세트에 적용될 수 있다. 몇몇의 예시들에서, 피처 추출 모델에 의해 분석되는 픽셀들은 무작의적으로 선택된다. 몇몇의 다른 예시들에서, 피처 추출 모델에 의해 분석되는 픽셀들은 관심대상의 파라미터(들)에서의 변화들에 비교적 높은 각자의 민감도를 근거로 선택된다. 예를 들어, 관심대상의 파라미터(들)에서의 변화들에 민감하지 않는 픽셀들은 무시될 수 있다. 도 3 및 도 9에서 도시된 예시들에서는, 5천개의 픽셀들이 분석을 위해 무작위적으로 샘플링되었다.
비제한적인 예시로서, 피처 추출 모델은 주성분 분석(principal component analysis; PCA) 모델, 커넬(kernel) PCA 모델, 비선형 PCA 모델, 독립 성분 분석(independent component analysis; ICA) 모델 또는 사전들을 이용하는 다른 디멘션 감소 방법, 이산 코사인 변환(discrete cosine transform; DCT) 모델, 고속 푸리에 변환(fast fourier transform; FFT) 모델, 웨이브릿(wavelet) 모델 등을 포함할 수 있다.
제1 양의 이미지 데이터로부터 하나 이상의 피처들이 추출된다. 몇몇의 예시들에서, 상이한 측정 사이트들에 존재하는 관심대상의 파라미터(들)에서의 변동들을 가장 강하게 반영한 피처들을 추출하기 위해 주성분 분석(PCA), 또는 비선형 PCA를 이용하여 이미지 데이터가 분석된다. 몇몇의 다른 예시들에서, 상이한 측정 사이트들에 존재하는 파라미터 변동들을 가장 강하게 반영한 신호 데이터를 추출하기 위해 신호 필터링 기술이 적용될 수 있다. 몇몇의 다른 예시들에서, 상이한 측정 사이트들에 존재하는 파라미터 변동들을 가장 강하게 반영한 개별적인 신호들이 이미지 데이터 내에 존재하는 다중 신호들로부터 선택될 수 있다.
도 4는 오버레이 오차 내에서의 알려진 변동들을 포함하는 DOE 웨이퍼로부터 수집된 이미지들의 주요 성분 맵들의 매트릭스(130)를 나타낸다. 예시된 바와 같이, 제1 주성분과 제2 주성분은 강하게 상관되어 있다. 이것은 이미지 데이터 세트에 걸친 체계적 거동(systematic behavior)의 존재 및 제1 주성분과 제2 주성분이 오버레이 오차에 반응적임을 나타낸다. 도 4는 또한 제3 주성분이 처음의 두 개의 주성분들과 약하게 상관되어 있다는 것을 나타낸다. 이것은 제3 주성분이 처음의 두 개의 주성분들보다 노이즈 또는 다른 원치않는 섭동(perturbation)들에 더 반응적임을 나타낸다. 이 예시에서는, 이미지 기반 측정 모델을 트레이닝하기 위해서 단지 처음 두 개의 주성분들만을 활용하는 것이 바람직하다. 이러한 방식으로, 주로 노이즈를 반영한 주성분들은 모델 구축, 및 후속하는 이미지 기반 측정 분석을 목적으로 잘라내어진다.
블록(104)에서, 관심대상의 적어도 하나의 파라미터의 알려진 값들 및 복수의 이미지들로부터 추출된 피처들에 기초하여 이미지 기반 측정 모델이 트레이닝된다. 이미지 기반 측정 모델은 하나 이상의 측정 사이트들에서 계측 시스템에 의해 생성된 이미지 데이터를 수신하고, 각각의 측정 타겟과 연관된 관심대상의 파라미터(들)을 직접적으로 결정하도록 구축된다. 몇몇의 실시예들에서, 이미지 기반 측정 모델은 신경망 모델로서 구현된다. 하나의 예시에서, 신경망의 노드들의 개수는 이미지 데이터로부터 추출된 피처들에 기초하여 선택된다. 다른 예시들에서, 이미지 기반 측정 모델은 선형 모델, 다각형 모델, 반응 표면 모델, 지지 벡터 머신(support vector machine) 모델, 또는 다른 유형들의 모델들로서 구현될 수 있다. 몇몇의 예시들에서, 이미지 기반 측정 모델은 모델들의 조합으로서 구현될 수 있다. 선택된 모델은 피처 추출 모델로부터 결정된 감소된 신호 세트 및 관심대상의 파라미터(들)에서의 알려진 변동들에 기초하여 트레이닝된다. 모델은 모델의 출력이, DOE 이미지들에 의해 정의된 파라미터 변동 공간에서의 모든 이미지들에 대한 관심대상의 파라미터(들)에서의 정의된 변동들에 피팅(fit)되도록 트레이닝된다.
다른 양태에서, 트레이닝된 모델은 다른 웨이퍼들의 측정을 위한 측정 모델로서 활용된다. 도 2는 본 발명의 도 19에서 나타난 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적절한 방법(110)을 나타낸다. 하나의 양태에서, 방법(110)의 데이터 처리 블록들은 컴퓨팅 시스템(330), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서들에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 수행될 수 있다는 것이 인식된다. 여기서 계측 시스템(300)의 특정 구조적 양태들은 제한성들을 나타내지 않으며 예시용으로서만 해석되어야 한다는 것이 인식된다.
블록(111)에서, 반도체 웨이퍼의 표면 상의 복수의 사이트들의 이미지들과 연관된 일정량의 이미지 데이터가 컴퓨팅 시스템(예컨대, 컴퓨팅 시스템(330))에 의해 수신된다. 이미지 데이터는 방법(100)을 참조하여 설명된 것과 동일한 계측 기술, 또는 계측 기술들의 조합에 의해 수행된 측정들로부터 유도된다. 마찬가지로, 이미지 데이터는 방법(100)을 참조하여 설명된 것과 동일한 유형들의 구조물들의 이미지들이되, 미지의 오버레이 오차들을 갖는 이미지들을 포함한다.
택일적 사항의 블록(112)에서, 블록(111)에서 수신된 이미지들 각각은 방법(100)을 참조하여 설명된 것과 동일한 이미지 필터, 또는 이미지 필터들의 조합에 의해 필터링된다. 비록, 이미지 기반 필터들의 이용이 이로울 수 있지만, 일반적으로, 이미지 기반 필터들은 불필요하다. 이런 의미에서, 블록(112)은 택일적 사항이다.
블록(113)에서, 일정량의 이미지 데이터의 적어도 일부분으로부터의 이미지 피처들이 트레이닝된 피처 추출 모델(예컨대, 방법(100)을 참조하여 설명된 트레이닝된 피처 추출 모델)의 적용에 기초하여 결정된다. 방법(100)에서 트레이닝 데이터로부터 피처들을 추출하기 위해 활용된 것과 동일한 분석을 이용하여 이미지 데이터로부터 피처들을 추출하는 것이 바람직하다. 이러한 방식으로, 획득된 이미지 데이터의 디멘션 감소는 트레이닝 데이터의 디멘션을 감소시키기 위해 이용된 것과 동일한 피처 추출 모델에 의해 수행된다.
블록(114)에서, 트레이닝된 이미지 기반 측정 모델(예컨대, 방법(100)을 참조하여 설명된 트레이닝된 이미지 기반 측정 모델)에 대한 결정된 이미지 피처들의 피팅에 기초하여 복수의 사이트들 각각과 연관된 관심대상의 적어도 하나의 파라미터의 값이 결정된다. 이러한 방식으로, 관심대상의 파라미터(들)은 트레이닝된 이미지 기반 측정 모델 및 감소된 이미지 신호 세트에 기초하여 결정된다.
블록(115)에서, 관심대상의 파라미터(들)의 결정된 값(들)은 메모리 내에 저장된다. 예를 들어, 파라미터 값들은 측정 시스템(300)의 온보드 상에, 예컨대 메모리(332) 상에 저장될 수 있거나, 또는 (예컨대, 출력 신호(340)를 통해) 외부 메모리 디바이스에 전달될 수 있다.
몇몇의 예시들에서, 트레이닝된 이미지 기반 측정 모델의 측정 성능은 트레이닝 데이터 세트의 일부로서 관여하지 않았지만 알려진 오버레이 오차를 갖는 이미지들의 세트를 측정하기 위해 상기 모델을 이용함으로써 결정된다. 예상된 오버레이와 측정된 오버레이간의 차이들은 모델 성능을 표시한다.
도 5는 도 3을 참조하여 설명된 시뮬레이션을 위한 y축 상의 실제 오버레이 오차(즉, 알려진 오버레이 오차 값들) 및 x축 상의 대응하는 예측된 오버레이 오차(즉, 트레이닝된 이미지 기반 측정 모델에 의해 측정됨)를 표시하는 시뮬레이션 결과들의 도표(120)를 도시한다. 도표(120)에서 도시된 결과들은 트레이닝 세트에 관여했던 이미지들 및 트레이닝 세트에 관여하지 않았던 이미지들의 측정치들을 포함한다. 도 5에서 도시된 바와 같이, 시뮬레이팅된 측정 결과들은 대응하는 알려진 값들과 밀접하게 그룹화된다.
도 6은 도 5에서 도시된 각각의 측정점과 연관된 잔차(residual) 오버레이 오차 값들을 표시하는 도표(121)를 도시한다. 잔차 오버레이 값은 실제 오버레이 오차 값과 예측된 오버레이 오차 값간의 차이이다.
도 7은 트레이닝 이미지들과 연관된 측정점들에 대한 도 6에서 도시된 잔차 값들의 분포를 나타내는 도표(122)를 도시한다. 이 이미지들의 세트에 대한 잔차 값의 시그마 값은 0.54나노미터이다.
도 8은 트레이닝 데이터 세트에 관여하지 않았던 이미지들과 연관된 측정점들에 대한 도 6에서 도시된 잔차 값들의 분포를 나타내는 도표(123)를 도시한다. 이 이미지들의 세트에 대한 잔차 값의 시그마 값은 0.57나노미터이다.
도 10은 도 9를 참조하여 설명된 시뮬레이션을 위한 y축 상의 실제 오버레이 오차(즉, 알려진 오버레이 오차 값들) 및 x축 상의 대응하는 예측된 오버레이 오차(즉, 트레이닝된 이미지 기반 측정 모델에 의해 측정됨)를 표시하는 시뮬레이션 결과들의 도표(131)를 도시한다. 도표(131)에서 도시된 결과들은 트레이닝 세트에 관여했던 이미지들 및 트레이닝 세트에 관여하지 않았던 이미지들의 측정치들을 포함한다. 도 10에서 도시된 바와 같이, 시뮬레이팅된 측정 결과들은 대응하는 알려진 값들과 밀접하게 그룹화된다.
도 11은 도 10에서 도시된 각각의 측정점과 연관된 잔차 오버레이 오차 값들을 표시하는 도표(132)를 도시한다.
도 12는 트레이닝 이미지들과 연관된 측정점들에 대한 도 11에서 도시된 잔차 값들의 분포를 나타내는 도표(133)를 도시한다. 이 이미지들의 세트에 대한 잔차 값의 시그마 값은 0.06나노미터이다.
도 13은 트레이닝 데이터 세트에 관여하지 않았던 이미지들과 연관된 측정점들에 대한 도 10에서 도시된 잔차 값들의 분포를 나타내는 도표(134)를 도시한다. 이 이미지들의 세트에 대한 잔차 값의 시그마 값은 0.14나노미터이다.
여기서 이전에 설명된 바와 같이, 여기서 설명되는 측정 방법들 및 시스템들은 특수화된 타겟들로 제약되지 않는다. 일반적으로, 이용가능한 이미징 시스템에 의해 이미지화될 때 관심대상의 파라미터에 대한 민감도를 나타내는 그 어떠한 타겟들도 여기서 설명된 방법들과 시스템들에 따라 활용될 수 있다.
하지만, 몇몇의 예시들에서, 이미지 기반 측정 성능을 강화시키기 위해, 이용가능한 이미징 시스템에 의해 이미지화될 때 관심대상의 파라미터에 대한 높은 민감도를 나타내는 특수화된 측정 타겟들을 활용하는 것이 이롭다. 예를 들어, 여기서 설명된 오버레이 오차의 측정에 신호 응답 계측이 적용될 때, x방향 및 y방향으로의 오버레이 오차의 변화들로 인해 변화하는 픽셀들의 개수를 최대화하는 것이 바람직하다.
도 14a 내지 도 14d는 오버레이 오차들의 이미지 기반 측정에 이로울 수 있는, 계측 타겟들(140~143)을 각각 나타낸다. 몇몇의 실시예들에서, 도 14a 내지 도 14d에서 도시된 계측 타겟들은 1마이크론 x 1마이크론이다. 몇몇의 다른 실시예들에서, 도 14a 내지 도 14d에서 도시된 계측 타겟들은 3마이크론 x 3마이크론이다. 일반적으로, 도 14a 내지 도 14d에서 도시된 계측 타겟들은 임의의 적절한 디멘션일 수 있다. 도 14a 내지 도 14d에서 도시된 예시들에서, 어두운 영역들이 반도체 웨이퍼의 하나의 층 상에 인쇄되고, 밝은 영역들이 반도체 층의 다른 층 상에 인쇄된다. 몇몇의 실시예들에서, 밝은 영역과 어두운 영역은 속이 꽉 찬 블록들이다. 하지만, 몇몇의 다른 실시예들에서, 밝은 영역과 어두운 영역은 격자 구조물들이다. 격자 구조물들 사이에 중첩이 있을 때, 격자 구조물들은 상호작용할 것이다. 결과적인 세기 변화들은 밝은 영역과 어두운 영역이 속이 꽉 찬 블록들인 실시예들에 비해 추가적이고 상이한 신호들을 제공한다. 도 14a는 각각의 엘리먼트 사이에 공간을 갖는 계측 타겟(140)을 도시한다. 이러한 방식으로, 하나의 층 상의 임의의 엘리먼트들이 다른 층 상의 임의의 다른 엘리먼트들과 중첩하지 않고서 일정량의 오버레이 오차가 발생할 수 있다. 이러한 방식으로, 오버레이 오차는 주로 엘리먼트들 사이에 위치한 픽셀들의 변화들에 의해 결정된다. 도 14b는 x방향과 y방향 둘 다에서의 임의의 오버레이 오차가 하나의 층 상의 엘리먼트들과 다른 층 상의 엘리먼트들 간의 중첩을 초래시키도록 각각의 층의 엘리먼트들이 배열된 계측 타겟(141)을 도시한다. 도 14c는 임의의 방향들에서의 임의의 오버레이 오차가 하나의 층 상의 엘리먼트들과 다른 층 상의 엘리먼트들 간의 중첩의 변화들을 초래시키도록 각각의 층의 엘리먼트들이 배열된 계측 타겟(142)을 도시한다. 도 14d는 각각의 층 상에 인쇄된 엘리먼트들의 수가 더 적고, 그 크기가 더 큰 것을 제외하고는, 도 14a에서 도시된 계측 타겟(140)과 유사한 계측 타겟(143)을 도시한다. 이것은 계측 시스템의 분해능이 도 14a 내지 도 14c에서 도시된 더 작은 엘리먼트들을 명료하게 분해시키기에는 불충분할 때 유리할 수 있다.
도 15a 및 도 15b는 오버레이 오차들의 이미지 기반 측정에 이로울 수 있는, 계측 타겟들(150~151)을 각각 나타낸다. 몇몇의 실시예들에서, 도 15a 및 도 15b에서 도시된 계측 타겟들은 3마이크론 x 3마이크론이다. 몇몇의 다른 실시예들에서, 도 15a 및 도 15b에서 도시된 계측 타겟들은 5마이크론 x 5마이크론이다. 일반적으로, 도 15a 및 도 15b에서 도시된 계측 타겟들은 임의의 적절한 디멘션일 수 있다. 도 15a 및 도 15b에서 도시된 예시들에서, 어두운 영역들이 반도체 웨이퍼의 하나의 층 상에 인쇄되고, 밝은 영역들이 반도체 층의 다른 층 상에 인쇄된다. 몇몇의 실시예들에서, 밝은 영역과 어두운 영역은 속이 꽉 찬 블록들이다. 하지만, 몇몇의 다른 실시예들에서, 밝은 영역과 어두운 영역은 격자 구조물들이다.
도 15a는 각각의 엘리먼트 사이에 공간을 갖는 계측 타겟(150)을 도시한다. 이러한 방식으로, 하나의 층 상의 임의의 엘리먼트들이 다른 층 상의 임의의 다른 엘리먼트들과 중첩하지 않고서 일정량의 오버레이 오차가 발생할 수 있다. 이러한 방식으로, 오버레이 오차는 주로 엘리먼트들 사이에 위치한 픽셀들의 변화들에 의해 결정된다. 또한, 계측 타겟(150)은 상이한 크기의 엘리먼트들을 포함한다. 이러한 타겟은, 상이한 이미징 분해능들을 갖는 다양한 계측 시스템들을 갖고 하나의 계측 타겟을 이용하는 것이 요망될 때 이로울 수 있다.
도 15b는 x방향과 y방향 둘 다에서의 임의의 오버레이 오차가 하나의 층 상의 엘리먼트들과 다른 층 상의 엘리먼트들간의 중첩을 초래시키도록 각각의 층의 엘리먼트들이 배열된 계측 타겟(151)을 도시한다.
도 16a 및 도 16b는 오버레이 오차들의 이미지 기반 측정에 이로울 수 있는, 계측 타겟들(160~161)을 각각 나타낸다. 몇몇의 실시예들에서, 도 16a 및 도 16b에서 도시된 계측 타겟들은 7마이크론 x 7마이크론이다. 일반적으로, 도 16a 및 도 16b에서 도시된 계측 타겟들은 임의의 적절한 디멘션일 수 있다. 도 16a 및 도 16b에서 도시된 예시들에서, 어두운 영역들이 반도체 웨이퍼의 하나의 층 상에 인쇄되고, 밝은 영역들이 반도체 층의 다른 층 상에 인쇄된다. 몇몇의 실시예들에서, 밝은 영역과 어두운 영역은 속이 꽉 찬 블록들이다. 하지만, 몇몇의 다른 실시예들에서, 밝은 영역과 어두운 영역은 격자 구조물들이다.
도 16a는 각각의 엘리먼트 사이에 공간을 갖는 계측 타겟(160)을 도시한다. 이러한 방식으로, 하나의 층 상의 임의의 엘리먼트들이 다른 층 상의 임의의 다른 엘리먼트들과 중첩하지 않고서 일정량의 오버레이 오차가 발생할 수 있다. 이러한 방식으로, 오버레이 오차는 주로 엘리먼트들 사이에 위치한 픽셀들의 변화들에 의해 결정된다.
도 16b는 서로 인접하게 배치된 각각의 층의 다중 엘리먼트들을 갖는 계측 타겟(161)을 도시한다.
도 17a 및 도 17b는 오버레이 오차들의 이미지 기반 측정에 이로울 수 있는, 계측 타겟들(170~171)을 각각 나타낸다. 몇몇의 실시예들에서, 도 17a 및 도 17b에서 도시된 계측 타겟들은 10마이크론 x 10마이크론이다. 하지만, 도 17a 및 도 17b에서 도시된 계측 타겟들은 임의의 적절한 디멘션일 수 있다. 도 17a 및 도 17b에서 도시된 예시들에서, 어두운 영역들이 반도체 웨이퍼의 하나의 층 상에 인쇄되고, 밝은 영역들이 반도체 층의 다른 층 상에 인쇄된다. 몇몇의 실시예들에서, 밝은 영역과 어두운 영역은 속이 꽉 찬 블록들이다. 하지만, 몇몇의 다른 실시예들에서, 밝은 영역과 어두운 영역은 격자 구조물들이다.
도 17a는 각각의 엘리먼트 사이에 공간을 갖는 계측 타겟(170)을 도시한다. 이러한 방식으로, 하나의 층 상의 임의의 엘리먼트들이 다른 층 상의 임의의 다른 엘리먼트들과 중첩하지 않고서 일정량의 오버레이 오차가 발생할 수 있다. 이러한 방식으로, 오버레이 오차는 주로 엘리먼트들 사이에 위치한 픽셀들의 변화들에 의해 결정된다. 또한, 계측 타겟(170)은 상이한 크기의 엘리먼트들을 포함한다. 이러한 타겟은, 상이한 이미징 분해능들을 갖는 다양한 계측 시스템들을 갖고 하나의 계측 타겟을 이용하는 것이 요망될 때 이로울 수 있다.
도 17b는 x방향과 y방향 둘 다에서의 임의의 오버레이 오차가 하나의 층 상의 엘리먼트들과 다른 층 상의 엘리먼트들간의 중첩을 초래시키도록 각각의 층의 엘리먼트들이 배열된 계측 타겟(171)을 도시한다.
다른 추가적인 양태에서, 여기서 설명되는 방법들 및 시스템들은 오버레이 오차의 측정에만 국한되지 않는다. 일반적으로, 앞서언급한 이미지 기반 측정 기술들은 다른 공정, 구조물, 분산 파라미터들, 또는 이러한 파라미터들의 임의의 조합에 적용될 수 있다. 비제한적인 예시로서, 앞서 언급한 기술들을 이용하여 임계 디멘션, 오버레이 오차, 초점, 및 도즈 중 임의의 것이 측정될 수 있다.
다른 추가적인 양태에서, 이미지 기반 측정 모델을 트레이닝하기 위한 방법 및 시스템은 트레이닝된 이미지 기반 측정 모델에 도달하는 데에 필요한 엘리먼트들 모두 또는 그 중 일부를 자동화하기 위한 최적화 알고리즘을 포함한다.
몇몇의 예시들에서, 최적화 알고리즘은 다음의 파라미터들 모두 또는 그 중에서 임의의 파라미터를 최적화함으로써 (비용 함수에 의해 정의된) 측정의 성능을 최대화하도록 구성된다: 이미지 필터들의 리스트, 필터들의 파라미터들, 피처 추출 모델의 유형, 선택된 피처 추출 모델의 파라미터들, 측정 모델의 유형, 및 선택된 측정 모델의 파라미터들. 최적화 알고리즘은 사용자가 정의하는 휴리스틱(heuristic)을 포함할 수 있고, 네스팅된(nested) 최적화들의 조합(예컨대, 조합적 연속 최적화)일 수 있다.
추가적인 양태에서, 상이한 타겟들로부터의 이미지 데이터가 모델 구축, 트레이닝, 및 측정을 위해 수집된다. 상이한 구조물을 갖되, 동일한 공정 조건들에 의해 형성된 다중 타겟들과 연관된 이미지 데이터의 이용은 모델 내에 임베딩된 정보를 증가시키고, 공정 또는 다른 파라미터 변동들에 대한 오버레이 상관을 감소시킨다. 특히, 하나 이상의 측정 사이트들에서의 다중의 상이한 타겟들의 이미지들을 포함하는 트레이닝 데이터의 이용은 관심대상의 파라미터들의 값들의 더 정확한 추정을 가능하게 한다.
다른 추가적인 양태에서, 다중 타겟들로부터의 신호들은 공정 변동들에 대한 민감도를 감소시키고 관심대상의 파라미터들에 대한 민감도를 증가시키도록 처리될 수 있다. 몇몇의 예시들에서, 상이한 타겟들의 이미지들, 또는 이미지들의 일부분들로부터의 신호들이 서로로부터 감산된다. 몇몇의 다른 예시들에서, 상이한 타겟들의 이미지들, 또는 이미지들의 일부분들로부터의 신호들은 모델에 피팅되고, 잔차들이 여기서 설명된 이미지 기반 측정 모델을 구축하고, 트레이닝하고, 이용하는 데에 이용된다. 하나의 예시에서, 두 개의 상이한 타겟들로부터의 이미지 신호들은, 각각의 측정 결과에서의 공정 노이즈의 효과를 제거하거나, 또는 상당히 감소시키기 위해 감산된다. 일반적으로, 공정 변동들에 대한 감소된 민감도 및 관심대상의 파라미터들에 대한 증가된 민감도를 갖는 이미지 신호들을 결정하기 위해, 상이한 타겟 이미지들, 또는 타겟 이미지들의 일부분들로부터의 신호들 사이에 다양한 수학적 연산들이 적용될 수 있다.
다른 추가적인 양태에서, 다중의 상이한 측정 기술들의 조합에 의해 수행된 측정들로부터 유도된 측정 데이터가 모델 구축, 트레이닝, 및 측정을 위해 수집된다. 다중의 상이한 특정 기술들과 연관된 측정 데이터의 이용은 신호들의 결합 세트 내에서 정보 콘텐츠를 증가시키고, 공정 또는 다른 파라미터 변동들에 대한 오버레이 상관을 감소시킨다. 측정 데이터는 다중의 상이한 측정 기술들의 임의의 조합에 의해 수행된 측정들로부터 유도될 수 있다. 이러한 방식으로, 관심대상의 파라미터들의 추정에 이용가능한 측정 정보를 강화시키기 위해 다중의 상이한 측정 기술들(예컨대, CD-SEM, 2-D BPR과 같은 이미징 기술들, 산란계측 등)에 의해 상이한 측정 사이트들이 측정될 수 있다.
일반적으로, 트레이닝 및 측정을 위한 피처 추출 모델 및 이미지 기반 측정 모델에 의해 처리된 데이터가 벡터 형태로 있기 때문에 임의의 측정 기술, 또는 두 개 이상의 측정 기술들의 조합이 본 특허 명세서의 범위 내에서 구상가능할 수 있다. 여기서 설명된 신호 응답 계측 기술들은 데이터의 벡터들에 대해서 작용하기 때문에, 이미지 데이터의 각각의 픽셀은 독립적으로 취급된다. 또한, 데이터가 이차원 이미지 데이터인지, 일차원 이미지 데이터인지, 또는 심지어 단일점 데이터인지에 상관없이, 다중의 상이한 계측들로부터 데이터를 연결(concatenate)시키는 것이 가능하다.
여기서 설명된 신호 응답 계측 기술들에 따른 분석을 위한 데이터를 제공할 수 있는 예시적인 측정 기술들은, 비제한적인 예시로서, 뮐러 행렬 타원편광계측(Mueller matrix ellipsometry), 분광 반사계측(spectroscopic reflectometry), 분광 산란계측(spectroscopic scatterometry), 산란계측 오버레이(scatterometry overlay), 빔 프로파일 반사계측, 각도 분해형 및 편광 분해형 둘 다의 빔 프로파일 타원편광계측, 단일 또는 다중 이산 파장 타원편광계측, TSAXS(transmission small angle x-ray scatterometer), SAXS(small angle x-ray scattering), GISAXS(grazing incidence small angle x-ray scattering), WAXS(wide angle x-ray scattering), XRR(x-ray reflectivity), XRD(x-ray diffraction), GIXRD(grazing incidence x-ray diffraction), HRXRD(high resolution x-ray diffraction), XPS(x-ray photoelectron spectroscopy), XRF(x-ray fluorescence), GIXRF(grazing incidence x-ray fluorescence), x선 단층촬영, 및 x선 타원편광계측을 비롯한 분광 타원편광계측(spectroscopic ellipsometry)을 포함한다. 일반적으로, 이미지 기반 계측 기술들을 비롯하여, 반도체 구조물들의 특징화에 적용가능한 임의의 계측 기술이 개별적으로, 또는 임의의 조합으로 구상가능할 수 있다.
다른 추가적인 양태에서, 다중 계측들에 의해 측정된 신호들은 공정 변동들에 대한 민감도를 감소시키고 관심대상의 파라미터들에 대한 민감도를 증가시키도록 처리될 수 있다. 몇몇의 예시들에서, 상이한 계측들에 의해 측정된 타겟들의 이미지들, 또는 이미지들의 일부분들로부터의 신호들이 서로로부터 감산된다. 몇몇의 다른 예시들에서, 상이한 계측들에 의해 측정된 타겟들의 이미지들, 또는 이미지들의 일부분들로부터의 신호들은 모델에 피팅되고, 잔차들이 여기서 설명된 이미지 기반 측정 모델을 구축하고, 트레이닝하고, 이용하는 데에 이용된다. 하나의 예시에서, 두 개의 상이한 계측들에 의해 측정된 타겟으로부터의 이미지 신호들은, 각각의 측정 결과에서의 공정 노이즈의 효과를 제거하거나, 또는 상당히 감소시키기 위해 감산된다. 일반적으로, 공정 변동들에 대한 감소된 민감도 및 관심대상의 파라미터들에 대한 증가된 민감도를 갖는 이미지 신호들을 결정하기 위해, 상이한 계측들에 의해 측정된 타겟 이미지들, 또는 타겟 이미지들의 일부분들로부터의 신호들 사이에 다양한 수학적 연산들이 적용될 수 있다.
일반적으로, 다중의 계측 기술들에 의해 각각 측정된 다중 타겟들로부터의 이미지 신호들은 신호들의 결합 세트 내에서 정보 콘텐츠를 증가시키고, 공정 또는 다른 파라미터 변동들에 대한 오버레이 상관을 감소시킨다.
도 19는 여기서 제공된 예시적인 방법들에 따른 표본의 특성들을 측정하기 위한 시스템(300)을 나타낸다. 도 19에서 도시된 바와 같이, 시스템(300)은 표본(301)의 하나 이상의 구조물들의 분광 타원편광계측 측정들을 수행하기 위해 이용될 수 있다. 이 양태에서, 시스템(300)은 조명기(302)와 분광기(304)가 구비된 분광 타원편광계측기를 포함할 수 있다. 시스템(300)의 조명기(302)는 선택된 파장 범위(예컨대, 150㎚~850㎚)의 조명을 생성하여, 이 조명을 표본(301)의 표면 상에 배치된 구조물에 조사시키도록 구성된다. 이어서, 분광기(304)는 표본(301)의 표면으로부터 반사된 조명을 수신하도록 구성된다. 조명기(302)로부터 출사된 광은 편광된 조명 빔(306)을 생성하도록 편광 상태 생성기(307)를 이용하여 편광된다는 것을 추가로 유념해야 한다. 표본(301) 상에 배치된 구조물에 의해 반사된 복사선은 편광 상태 분석기(309)를 통과하여 분광기(304)에 이른다. 분광기(304)에 의해 수신된 수집 빔(308) 내의 복사선은, 분석기를 통과한 복사선의 분광기에 의한 스펙트럼 분석을 가능하게 하도록, 편광 상태와 관련하여 분석된다. 이러한 스펙트럼(311)은 구조물의 분석을 위해 컴퓨팅 시스템(330)에 전달된다.
도 19에서 도시된 바와 같이, 시스템(300)은 단일 측정 기술(single measurement technology, 즉, SE)을 포함한다. 하지만, 일반적으로, 시스템(300)은 임의의 개수의 상이한 측정 기술들을 포함할 수 있다. 비제한적인 예시로서, 시스템(300)은 (뮐러 행령 편광타원계측을 비롯한) 분광 편광타원계측기, 분광 반사계측기, 분광 산란계측기, 오버레이 산란계측기, 각도 분해형 빔 프로파일 반사계측기, 편광 분해형 빔 프로파일 반사계측기, 빔 프로파일 반사계측기, 빔 프로파일 타원편광계측기, 임의의 단일 또는 다중 파장 타원편광계측기, 또는 이들의 임의의 조합으로서 구성될 수 있다. 또한, 일반적으로, 상이한 측정 기술들에 의해 수집되고 여기서 설명된 방법들에 따라 분석된 측정 데이터는 다중 기술들을 통합시킨 하나의 툴보다는 다중 툴들로부터 수집될 수 있다.
추가적인 실시예에서, 시스템(300)은 여기서 설명된 방법들에 따라 개발된 이미지 기반 측정 모델들에 기초하여 오버레이 측정들을 수행하기 위해 활용된 하나 이상의 컴퓨팅 시스템들(330)을 포함할 수 있다. 하나 이상의 컴퓨팅 시스템들(330)은 분광기(304)에 통신가능하게 결합될 수 있다. 하나의 양태에서, 하나 이상의 컴퓨팅 시스템들(330)은 표본(301)의 구조물의 측정들과 연관된 측정 데이터(311)를 수신하도록 구성된다.
본 발명개시 전반에 걸쳐 설명된 다양한 단계들은 단일 컴퓨터 시스템(300)에 의해 수행될 수 있거나, 또는 이와 달리, 다중 컴퓨터 시스템들(330)에 의해 수행될 수 있다는 것을 알아야 한다. 또한, 분광 타원편광계측기(304)와 같은, 시스템(300)의 상이한 서브시스템들은 여기서 설명된 단계들의 적어도 일부분을 수행하는 데에 적절한 컴퓨터 시스템을 포함할 수 있다. 그러므로, 앞서 언급한 설명은 본 발명에 대한 제한으로서 해석되어서는 안되고 단순한 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템들(330)이 여기서 설명된 임의의 방법 실시예들의 임의의 다른 단계(들)을 수행하도록 구성될 수 있다.
또한, 컴퓨터 시스템(330)은 본 업계에 알려진 임의의 방법으로 분광기(304)에 통신가능하게 결합될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템들(330)은 분광기(304)와 연관된 컴퓨팅 시스템들에 결합될 수 있다. 다른 예시에서, 분광기(304)는 컴퓨터 시스템(330)에 결합된 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
계측 시스템(300)의 컴퓨터 시스템(330)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 시스템(예컨대, 분광기(304) 등)의 서브시스템들로부터 데이터 또는 정보를 수신하고/수신하거나 획득하도록 구성될 수 있다. 이러한 방법으로, 전송 매체는 시스템(300)의 컴퓨터 시스템(330)과 다른 서브시스템들 간의 데이터 링크로서 역할을 할 수 있다.
통합형 계측 시스템(300)의 컴퓨터 시스템(330)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예컨대, 측정 결과들, 모델링 입력들, 모델링 결과들 등)를 수신하고/수신하거나 획득하도록 구성될 수 있다. 이러한 방법으로, 전송 매체는 컴퓨터 시스템(330)과 다른 서브시스템들(예컨대, 메모리 온보드 계측 시스템(300), 외부 메모리, 기준 측정 소스(320), 또는 다른 외부 시스템들) 간의 데이터 링크로서 역할을 할 수 있다. 예를 들어, 컴퓨팅 시스템(330)은 데이터 링크를 통해 저장 매체(즉, 메모리(332) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수 있다. 예컨대, 분광기(304)를 이용하여 획득된 스펙트럼 결과들은 영구적 또는 반영구적 메모리 디바이스(예컨대, 메모리(332) 또는 외부 메모리) 내에 저장될 수 있다. 이와 관련하여, 스펙트럼 결과들은 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 불러오기될 수 있다. 또한, 컴퓨터 시스템(330)은 전송 매체를 통해 데이터를 다른 시스템들에 보낼 수 있다. 예컨대, 컴퓨터 시스템(330)에 의해 결정된 통합형 측정 모델 또는 표본 파라미터(340)는 전달되어 외부 메모리 내에 저장될 수 있다. 이와 관련하여, 측정 결과들은 다른 시스템으로 내보내기될 수 있다.
컴퓨팅 시스템(330)은 비제한적인 예시로서, 개인 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 본 업계에서 알려진 임의의 다른 디바이스를 포함할 수 있다. 일반적으로, 용어 "컴퓨팅 시스템"은 메모리 매체로부터의 명령어들을 실행하는 하나 이상의 프로세서들을 갖는 임의의 디바이스를 망라하도록 광범위하게 정의될 수 있다.
여기서 설명된 것과 같은 방법들을 구현하는 프로그램 명령어들(334)은 유선, 케이블, 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 예를 들어, 도 19에서 예시된 바와 같이, 메모리(332) 내에 저장된 프로그램 명령어들(334)은 버스(333)를 통해 프로세서(331)에 전송된다. 프로그램 명령어들(334)은 컴퓨터 판독가능한 매체(예컨대, 메모리(332)) 내에 저장된다. 예시적인 컴퓨터 판독가능한 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기적 또는 광학적 디스크, 또는 자기적 테이프를 포함한다.
몇몇의 예시들에서, 여기서 설명된 모델 구축, 트레이닝, 및 측정 방법들은 미국 캘리포니아주 밀피타스에 있는 케이엘에이 텐코 회사로부터 입수가능한 SpectraShape® 광학 임계 디멘션 계측 시스템의 엘리먼트로서 구현된다. 이러한 방법으로, 시스템에 의해 DOE 웨이퍼 스펙트럼이 수집된 직후의 이용을 위해 모델은 생성되고 준비된다.
몇몇의 다른 예시들에서, 여기서 설명된 모델 구축 및 트레이닝 방법들은 예컨대, 미국 캘리포니아주 밀피타스에 있는 케이엘에이 텐코 회사로부터 입수가능한 AcuShape® 소프트웨어를 구현하는 컴퓨팅 시스템에 의해 오프라인으로 구현된다. 결과적인 트레이닝된 모델은 측정들을 수행하는 계측 시스템에 의해 액세스가능한 AcuShape® 라이브러리의 엘리먼트로서 병합될 수 있다.
여기서는 이미지 기반 오버레이 측정 모델을 참조하여 앞서 여러가지 예시들이 설명되었지만, 여기서 설명된 방법들 및 시스템들은 다른 공정 모델들(예컨대, 초점, 도즈, 에칭 또는 퇴적 처리)을 포함할 수 있다. 여기서 설명된 방법들 및 시스템들은 또한 다른 계측 기술들(예컨대, SEM, TEM, AFM, X선)을 포함할 수 있다. 또한, 여기서 설명된 방법들 및 시스템들은 이미징 계측 시스템들을 참조하여 논의되었지만, 다른 계측들(예컨대, 분광 타원편광계측기, 반사계측기, BPR 시스템, CD-SAXS, XRR 등)에도 적용될 수 있다.
또다른 양태에서, 여기서 설명된 이미지 기반 측정 모델 결과들이 능동 피드백을 공정 툴(예컨대, 리소그래피 툴, 에칭 툴, 퇴적 툴 등)에 제공하는 데에 이용될 수 있다. 예를 들어, 희망하는 출력을 달성하기 위해 리소그래피 시스템을 조정하도록, 여기서 설명된 방법들을 이용하여 결정된 오버레이 오차의 값들이 리소그래피 툴에 전달될 수 있다. 마찬가지 방식으로, 에칭 툴들 또는 퇴적 툴들에 각각 능동 피드백을 제공하기 위해 에칭 파라미터들(예컨대, 에칭 시간, 확산도 등) 또는 퇴적 파라미터들(예컨대, 시간, 농도 등)이 측정 모델 내에 포함될 수 있다.
일반적으로, 여기서 설명된 방법들 및 시스템들은 오프라인 또는 온 툴 측정을 위한 측정 모델을 준비하는 과정의 일부로서 구현될 수 있다. 또한, 측정 모델들 및 임의의 재파라미터화된 측정 모델 둘 다는 하나 이상의 타겟 구조물들 및 측정 사이트들을 기술할 수 있다.
여기서 설명된 용어 “임계 디멘션”은 구조물의 임의의 임계 디멘션(예컨대, 바닥 임계 디멘션, 중앙 임계 디멘션, 최상단 임계 디멘션, 측벽 각도, 격자 높이 등), 임의의 두 개 이상의 구조물들 간의 임계 디멘션(예컨대, 두 개의 구조물들 간의 거리), 및 두 개 이상의 구조물들 사이의 변위(예컨대, 오버레이 격자 구조물들 사이의 오버레이 변위 등)를 포함한다. 구조물들은 삼차원 구조물들, 패터닝된 구조물들, 오버레이 구조물들 등을 포함할 수 있다.
여기서 설명된 용어 “임계 디멘션 응용” 또는 “임계 디멘션 측정 응용”은 임의의 임계 디멘션 측정을 포함한다.
여기서 설명된 용어 “계측 시스템”은 임계 디멘션 계측, 오버레이 계측, 초점/도즈 계측, 및 조성 계측과 같은 측정 응용들을 비롯하여, 표본을 임의의 양태로 특징화하기 위해 적어도 부분적으로 활용된 임의의 시스템을 포함한다. 하지만, 이러한 기술적 용어들은 여기서 설명된 용어 “계측 시스템”의 범위를 제한시키지 않는다. 또한, 계측 시스템(100)은 패터닝된 웨이퍼들 및/또는 패터닝되지 않은 웨이퍼들의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 엣지 검사 툴, 후면 검사 툴, 매크로 검사 툴, 또는 (하나 이상의 플랫폼들로부터의 데이터를 동시적으로 포함하는) 멀티 모드 검사 툴, 및 임계 디멘션 데이터에 기초한 시스템 파라미터들의 교정으로부터 이로운 임의의 다른 계측 또는 검사 툴로서 구성될 수 있다.
여기서는 표본을 처리하기 위해 이용될 수 있는 반도체 프로세싱 시스템(예컨대, 검사 시스템 또는 리소그래피 시스템)을 위한 다양한 실시예들이 설명된다. 여기서는, 본 업계에 알려진 수단들에 의해 처리될 수 있는(예컨대, 결함들을 위해 인쇄되거나 또는 검사되는) 웨이퍼, 레티클, 또는 임의의 다른 샘플을 가리키기 위해 용어 “표본”이 이용된다.
여기서 이용된 용어 "웨이퍼"는 일반적으로 반도체 또는 비반도체 물질로 형성된 기판들을 가리킨다. 그 예시들은, 비제한적인 예시로서, 단결정 실리콘, 갈륨 비소, 및 인듐 인을 포함한다. 이러한 기판들은 통상적으로 반도체 제조 설비들에서 발견되고/발견되거나 처리될 수 있다. 몇몇의 경우들에서, 웨이퍼는 기판만을 포함할 수 있다(즉, 베어 웨이퍼). 대안적으로, 웨이퍼는 기판 상에 형성된 상이한 물질들의 하나 이상의 층들을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 층들은 “패터닝”될 수 있거나 또는 “비패터닝”될 수 있다. 예를 들어, 웨이퍼는 반복가능한 패턴 피처들을 갖는 복수의 다이들을 포함할 수 있다.
“레티클”은 레티클 제조 공정의 임의의 스테이지에서의 레티클, 또는 반도체 제조 설비 내에서의 이용을 위해 릴리즈되거나 또는 릴리즈되지 않을 수 있는 완성된 레티클일 수 있다. 레티클, 또는 “마스크”는 일반적으로, 패턴으로 구성되거나 또는 그 위에 실질적으로 불투명한 영역들이 형성되어 있는 실질적으로 투명한 기판으로서 정의된다. 기판은, 예컨대, 비정질 SiO2와 같은 유리 물질을 포함할 수 있다. 레티클 상의 패턴이 레지스트에 전사될 수 있도록 리소그래피 공정의 노광 단계 동안에 레티클은 레지스트가 덮혀진 웨이퍼 위에 배치될 수 있다.
웨이퍼 상에 형성된 하나 이상의 층들은 패터닝될 수 있거나 또는 비패터닝될 수 있다. 예를 들어, 웨이퍼는 반복가능한 패턴 피처들을 각각 갖는 복수의 다이들을 포함할 수 있다. 이러한 물질층들의 형성 및 처리는 궁극적으로 완성된 디바이스들을 초래시킬 수 있다. 많은 상이한 유형들의 디바이스들이 웨이퍼 상에 형성될 수 있고, 여기서 이용된 용어 웨이퍼는 본 업계에서 알려진 임의의 유형의 디바이스가 그 위에 제조되고 있는 웨이퍼를 망라하도록 의도된 것이다.
하나 이상의 예시적인 실시예들에서, 설명된 기능들은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 만약 소프트웨어로 구현되면, 기능들은 컴퓨터 판독가능한 매체 상에서 하나 이상의 명령어들 또는 코드로서 저장되거나 또는 이러한 명령어들 또는 코드를 통해서 전송될 수 있다. 컴퓨터 판독가능한 매체는 하나의 장소에서 다른 장소로 컴퓨터 프로그램의 전달을 용이하게 해주는 임의의 매체를 포함하는 통신 매체 및 컴퓨터 저장 매체 둘 다를 포함한다. 저장 매체는 범용 또는 특수목적용 컴퓨터에 의해 액세스될 수 있는 임의의 이용가능한 매체일 수 있다. 비제한적인 예시로서, 이러한 컴퓨터 판독가능한 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장 장치, 자기 디스크 저장 장치 또는 다른 자기 저장 디바이스, 또는 범용 또는 특수목적용 컴퓨터 또는 범용 또는 특수목적용 프로세서에 의해 액세스될 수 있고, 명령어 또는 데이터 구조의 형태로 원하는 프로그램 코드 수단을 운송하거나 또는 저장하는데 이용될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 이와 관련물은 그 어떤 것이든지 컴퓨터 판독가능한 매체로서 적절하게 칭한다. 예를 들어, 소프트웨어가 동축 케이블, 광섬유 케이블, 트위스티드 페어, DSL(digital subscriber line) 또는 적외선, 무선파, 및 마이크로파와 같은 무선 기술들을 이용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 전송된다면, 동축 케이블, 광섬유 케이블, 트위스티드 페어, DSL, 또는 적외선, 무선파, 및 마이크로파와 같은 무선 기술들은 매체의 정의 내에 포함된다. 여기서 이용된 디스크 또는 디스켓은 컴팩트 디스크(CD), 레이저 디스크, 광학 디스크, DVD (digital versatile disc), 플로피 디스켓, 및 블루레이 디스크를 포함하며, 여기서 디스켓은 통상 데이터를 자기적으로 재현시키며, 디스크는 레이저를 이용하여 광학적으로 데이터를 재현시킨다. 위의 조합들은 또한 컴퓨터로 판독가능한 매체의 범위 내에 포함될 수 있다.
위에서는 교육을 목적으로 어떠한 특정의 실시예들을 설명하였지만, 본 특허 명세서의 교시내용은 범용적인 적용성을 가지며, 위에서 설명된 특정의 실시예들로 제한되지 않는다. 이에 따라, 설명된 실시예들의 다양한 특징들의 다양한 수정들, 개조들, 및 조합들이 청구항들에서 진술된 본 발명의 범위로부터 벗어나지 않고서 실시될 수 있다.

Claims (25)

  1. 방법에 있어서,
    적어도 하나의 관심 파라미터의 알려진 값들을 갖는, 반도체 웨이퍼의 표면 상의 복수의 제1 사이트(site)들의 이미지들과 연관된 제1 이미지 데이터를 수신하는 단계 - 상기 제1 이미지 데이터는 적어도 하나의 계측 기술에 의해 수행된 측정들로부터 유도됨 -;
    상기 제1 이미지 데이터의 복수의 이미지들 각각의 적어도 일부분에 기초하여 피처(feature) 추출 모델을 결정하는 단계 - 상기 피처 추출 모델은 상기 제1 이미지 데이터의 디멘션(dimension)을 감소시켜 감소된 데이터양(data amount)을 갖는 이미지 데이터를 생성함 -; 및
    이미지 기반 측정 모델에 대한 입력으로서의 상기 감소된 데이터양을 갖는 이미지 데이터와, 출력 트레이닝(training) 데이터로서의 상기 적어도 하나의 관심 파라미터의 알려진 값들에 기초하여 상기 이미지 기반 측정 모델을 트레이닝하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 이미지들은 오버레이(overlay) 이미지들이며, 상기 관심 파라미터는 오버레이 오차(overlay error)인 것인 방법.
  3. 제1항에 있어서,
    상기 적어도 하나의 관심 파라미터의 알려진 값들 각각은, 공정 파라미터 값, 구조적 파라미터 값, 분산(dispersion) 파라미터 값, 및 레이아웃 파라미터 값 중 임의의 값인 것인 방법.
  4. 제1항에 있어서,
    상기 복수의 제1 사이트들의 이미지들은 각각 실험 웨이퍼의 설계 상의 상이한 위치들로부터 캡처된 것인 방법.
  5. 제1항에 있어서,
    상기 복수의 제1 사이트들의 이미지들 각각을 필터링하는 단계
    를 더 포함하는 방법.
  6. 제1항에 있어서,
    상기 피처 추출 모델은, 주성분 분석(principal component analysis; PCA) 모델, 독립 성분 분석(independent component analysis; ICA) 모델, 커넬(kernel) PCA 모델, 비선형 PCA 모델, 고속 푸리에 변환(fast fourier transform; FFT) 모델, 이산 코사인 변환(discrete cosine transform; DCT) 모델, 및 웨이브릿(wavelet) 모델 중 임의의 모델인 것인 방법.
  7. 제1항에 있어서,
    상기 이미지 기반 측정 모델은, 선형 모델, 다각형 모델, 신경망 모델, 지지 벡터 머신(support vector machine) 모델, 결정 트리 모델, 및 랜덤 포레스트(forest) 모델 중 임의의 모델인 것인 방법.
  8. 제1항에 있어서,
    상기 제1 이미지 데이터는 동일한 공정 조건들에 의해 형성된 복수의 상이한 계측 타겟들의 이미지들의 조합을 포함하는 것인 방법.
  9. 제1항에 있어서,
    상기 제1 이미지 데이터는 복수의 상이한 계측 기술들에 의해 획득된 이미지 또는 이미지들의 조합을 포함하는 것인 방법.
  10. 제1항에 있어서,
    상기 제1 이미지 데이터는 상기 복수의 제1 사이트들 중 임의의 제1 사이트에서의 하나보다 많은 타겟 피처와 연관된 이미지 신호들을 포함하는 것인 방법.
  11. 제1항에 있어서,
    상기 제1 이미지 데이터는 하나보다 많은 계측 기술에 의한 측정들과 연관된 이미지 신호들을 포함하는 것인 방법.
  12. 제1항에 있어서,
    상기 피처 추출 모델을 결정하는 단계는, 상이한 타겟들의 이미지들로부터의 이미지 신호들 간의 차이, 상이한 계측 기술들에 의해 획득된 이미지들로부터의 이미지 신호들 간의 차이, 또는 이 둘 다의 조합을 결정하는 단계를 포함하는 것인 방법.
  13. 제1항에 있어서,
    상기 피처 추출 모델을 결정하는 단계는, 상이한 타겟들의 이미지들로부터의 이미지 신호들에 피팅(fit)된 모델의 잔차(residual)들, 상이한 계측 기술들에 의해 획득된 이미지들로부터의 이미지 신호들에 피팅된 모델의 잔차들, 또는 이 둘 다의 조합을 결정하는 단계를 포함하는 것인 방법.
  14. 제8항에 있어서,
    상기 복수의 상이한 계측 타겟들은 온 디바이스(on-device) 구조물들인 것인 방법.
  15. 제1항에 있어서,
    복수의 제2 계측 타겟들의 이미지들과 연관된 제2 이미지 데이터를 수신하는 단계 - 상기 제2 이미지 데이터는 상기 적어도 하나의 계측 기술과 동일한 기술에 의해 수행된 측정들로부터 유도됨 -;
    상기 트레이닝된 이미지 기반 측정 모델에 대한 상기 제2 이미지 데이터의 피팅에 기초하여 상기 복수의 제2 계측 타겟들 각각과 연관된 적어도 하나의 관심 파라미터의 값들을 결정하는 단계; 및
    상기 적어도 하나의 관심 파라미터의 값들을 메모리 내에 저장하는 단계
    를 더 포함하는 방법.
  16. 시스템에 있어서,
    타겟 구조물의 측정들을 수행하도록 구성된 검출기 및 조명 소스를 포함한 계측 툴; 및
    컴퓨팅 시스템
    을 포함하고,
    상기 컴퓨팅 시스템은,
    적어도 하나의 관심 파라미터의 알려진 값들을 갖는, 반도체 웨이퍼의 표면 상의 복수의 제1 사이트들의 이미지들과 연관된 제1 이미지 데이터를 수신하고 - 상기 제1 이미지 데이터는 적어도 하나의 계측 기술에 의해 수행된 측정들로부터 유도됨 -;
    상기 제1 이미지 데이터의 복수의 이미지들 각각의 적어도 일부분에 기초하여 피처 추출 모델을 결정하며 - 상기 피처 추출 모델은 상기 제1 이미지 데이터의 디멘션을 감소시켜 감소된 데이터양을 갖는 이미지 데이터를 생성함 -;
    이미지 기반 측정 모델에 대한 입력으로서의 상기 감소된 데이터양을 갖는 이미지 데이터와, 출력 트레이닝 데이터로서의 상기 적어도 하나의 관심 파라미터의 알려진 값들에 기초하여 상기 이미지 기반 측정 모델을 트레이닝하도록 구성된 것인 시스템.
  17. 제16항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    복수의 제2 계측 타겟들의 이미지들과 연관된 제2 이미지 데이터를 수신하고 - 상기 제2 이미지 데이터는 상기 적어도 하나의 계측 기술과 동일한 기술에 의해 수행된 측정들로부터 유도됨 -;
    상기 트레이닝된 이미지 기반 측정 모델에 대한 상기 제2 이미지 데이터의 피팅에 기초하여 상기 복수의 제2 계측 타겟들 각각과 연관된 적어도 하나의 관심 파라미터의 값들을 결정하며;
    상기 적어도 하나의 관심 파라미터의 값들을 메모리 내에 저장하도록 구성된 것인 시스템.
  18. 제16항에 있어서,
    상기 컴퓨팅 시스템은 또한, 상기 복수의 제1 사이트들의 이미지들 각각을 필터링하도록 구성된 것인 시스템.
  19. 제16항에 있어서,
    상기 제1 이미지 데이터는 동일한 공정 조건들에 의해 형성된 복수의 상이한 계측 타겟들의 이미지들의 조합을 포함하는 것인 시스템.
  20. 제16항에 있어서,
    상기 제1 이미지 데이터는 복수의 상이한 계측 기술들에 의해 획득된 이미지 또는 이미지들의 조합을 포함하는 것인 시스템.
  21. 제16항에 있어서,
    상기 피처 추출 모델을 결정하는 것은, 상이한 타겟들의 이미지들로부터의 이미지 신호들 간의 차이, 상이한 계측 기술들에 의해 획득된 이미지들로부터의 이미지 신호들 간의 차이, 또는 이 둘 다의 조합을 결정하는 것을 포함하는 것인 시스템.
  22. 제16항에 있어서,
    상기 피처 추출 모델을 결정하는 것은, 상이한 타겟들의 이미지들로부터의 이미지 신호들에 피팅된 모델의 잔차들, 상이한 계측 기술들에 의해 획득된 이미지들로부터의 이미지 신호들에 피팅된 모델의 잔차들, 또는 이 둘 다의 조합을 결정하는 것을 포함하는 것인 시스템.
  23. 제19항에 있어서,
    상기 복수의 상이한 계측 타겟들은 온 디바이스 구조물들인 것인 시스템.
  24. 방법에 있어서,
    계측 시스템의 조명 소스로부터, 반도체 웨이퍼의 표면 상의 복수의 측정 사이트들에, 조명 광을 제공하는 단계;
    상기 계측 시스템의 검출기에 의해, 상기 조명 광에 응답하여 상기 복수의 측정 사이트들 각각으로부터의 광의 양을 검출하는 단계;
    상기 검출된 광의 양에 기초하여 상기 복수의 측정 사이트들의 복수의 이미지들을 생성하는 단계 - 상기 복수의 이미지들은 이미지 데이터를 포함함 -;
    트레이닝된 피처 추출 모델의 적용에 기초하여 상기 이미지 데이터의 적어도 일부분으로부터의 이미지 피처들을 결정하는 단계;
    트레이닝된 이미지 기반 측정 모델에 대한 상기 결정된 이미지 피처들의 피팅에 기초하여 상기 복수의 측정 사이트들 각각과 연관된 적어도 하나의 관심 파라미터의 값을 결정하는 단계; 및
    상기 적어도 하나의 관심 파라미터의 값을 메모리 내에 저장하는 단계
    를 포함하고,
    상기 방법은,
    상기 트레이닝된 이미지 기반 측정 모델을 생성하는 단계
    를 더 포함하고,
    상기 생성하는 단계는,
    적어도 하나의 관심 파라미터의 알려진 값들을 갖는, 반도체 웨이퍼의 표면 상의 복수의 제1 사이트들의 이미지들과 연관된 제1 이미지 데이터를 수신하는 단계 - 상기 제1 이미지 데이터는 적어도 하나의 계측 기술에 의해 수행된 측정들로부터 유도됨 -;
    상기 제1 이미지 데이터의 복수의 이미지들 각각의 적어도 일부분에 기초하여 피처 추출 모델을 결정하는 단계 - 상기 피처 추출 모델은 상기 제1 이미지 데이터의 디멘션을 감소시킴 -;
    상기 적어도 하나의 관심 파라미터의 알려진 값들 및 상기 복수의 이미지들로부터 추출된 피처들에 기초하여 이미지 기반 측정 모델을 트레이닝하는 단계
    를 포함하는 것인 방법.
  25. 삭제
KR1020167022985A 2014-02-20 2015-02-20 이미지 기반 오버레이 측정을 위한 신호 응답 계측 KR102184029B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461942204P 2014-02-20 2014-02-20
US61/942,204 2014-02-20
US14/624,485 2015-02-17
US14/624,485 US10152654B2 (en) 2014-02-20 2015-02-17 Signal response metrology for image based overlay measurements
PCT/US2015/016841 WO2015127220A1 (en) 2014-02-20 2015-02-20 Signal response metrology for image based overlay measurements

Publications (2)

Publication Number Publication Date
KR20160124775A KR20160124775A (ko) 2016-10-28
KR102184029B1 true KR102184029B1 (ko) 2020-11-27

Family

ID=53798387

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167022985A KR102184029B1 (ko) 2014-02-20 2015-02-20 이미지 기반 오버레이 측정을 위한 신호 응답 계측

Country Status (6)

Country Link
US (1) US10152654B2 (ko)
KR (1) KR102184029B1 (ko)
CN (1) CN106062939B (ko)
IL (1) IL246915B (ko)
TW (2) TWI688829B (ko)
WO (1) WO2015127220A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102441250B1 (ko) 2022-02-21 2022-09-07 (주)네온테크 레이저를 사용하여 소재의 들뜸을 측정하는 검출 시스템 및 이를 사용한 소재의 들뜸을 측정하는 방법

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
NL2017943A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology methods, metrology apparatus and device manufacturing method
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
TWI577965B (zh) * 2016-01-27 2017-04-11 高瞻資訊股份有限公司 模具狀態檢測方法
TW202303095A (zh) * 2016-02-24 2023-01-16 美商克萊譚克公司 光學計量之準確度提升
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
CN112255887B (zh) * 2016-07-19 2023-09-22 应用材料公司 分段对准建模方法
US10712145B2 (en) 2016-10-20 2020-07-14 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
KR102370339B1 (ko) 2017-02-22 2022-03-04 에이에스엠엘 네델란즈 비.브이. 전산 계측
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
WO2018182470A1 (en) 2017-03-28 2018-10-04 Essity Hygiene And Health Aktiebolag An array of disposable pant-type gender-specific absorbent articles
US10795268B2 (en) * 2017-09-29 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for measuring overlay errors using overlay measurement patterns
CN111033382B (zh) * 2017-10-22 2021-12-14 科磊股份有限公司 在成像叠加计量中利用叠加错位误差估计
KR20230147216A (ko) * 2017-11-29 2023-10-20 케이엘에이 코포레이션 디바이스 검사 시스템을 사용한 오버레이 에러의 측정
US10580673B2 (en) * 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
US10533848B2 (en) * 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
KR20230144122A (ko) * 2018-06-14 2023-10-13 노바 엘티디. 반도체 제조용 측정 및 공정 제어
CN112689802B (zh) * 2018-08-28 2024-03-29 Asml荷兰有限公司 最佳量测指导的系统和方法
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
US11061318B2 (en) * 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
US11340060B2 (en) * 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
KR102224276B1 (ko) * 2019-07-24 2021-03-05 금오공과대학교 산학협력단 영상데이터의 학습방법 및 장치
US11580631B2 (en) * 2020-02-20 2023-02-14 The Board Of Trustees Of The Leland Stanford Junior University Platform and methods for dynamic thin film measurements using hyperspectral imaging
US11481922B2 (en) * 2020-04-07 2022-10-25 Kla Corporation Online navigational drift correction for metrology measurements
US20240094641A1 (en) * 2020-12-10 2024-03-21 Asml Holding N.V. Intensity order difference based metrology system, lithographic apparatus, and methods thereof
CN113252523B (zh) * 2021-05-12 2022-03-15 中国农业大学 基于rgb相机的植物叶片雾滴沉积量的测量装置及方法
US20230045223A1 (en) * 2021-08-05 2023-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement pattern and method for measuring overlay shift of bonded wafers
EP4328670A1 (en) * 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232478A1 (en) * 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002279343A (ja) 2001-03-16 2002-09-27 Ricoh Co Ltd 認識結果修正装置、認識結果修正方法および記録媒体
US20040267397A1 (en) 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
JP2007101320A (ja) 2005-10-03 2007-04-19 Toshiba Corp 超音波探傷画像処理装置及び超音波探傷画像処理方法
US20080281545A1 (en) 2007-05-08 2008-11-13 Mcintyre Michael G Determining die test protocols based on process health

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US6340602B1 (en) 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6563324B1 (en) 2000-11-30 2003-05-13 Cognex Technology And Investment Corporation Semiconductor device image inspection utilizing rotation invariant scale invariant method
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
KR100576752B1 (ko) * 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
JP5055385B2 (ja) * 2007-02-28 2012-10-24 ルドルフテクノロジーズ インコーポレイテッド エロージョンにさらされる可能性のある試料の金属部分のピコ秒超音波を用いた特性化
US8111376B2 (en) 2007-05-30 2012-02-07 Kla-Tencor Corporation Feedforward/feedback litho process control of stress and overlay
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8693050B2 (en) * 2009-08-06 2014-04-08 Xerox Corporation Controlling process color in a color adjustment system
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002279343A (ja) 2001-03-16 2002-09-27 Ricoh Co Ltd 認識結果修正装置、認識結果修正方法および記録媒体
US20040267397A1 (en) 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
JP2007101320A (ja) 2005-10-03 2007-04-19 Toshiba Corp 超音波探傷画像処理装置及び超音波探傷画像処理方法
US20080281545A1 (en) 2007-05-08 2008-11-13 Mcintyre Michael G Determining die test protocols based on process health

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102441250B1 (ko) 2022-02-21 2022-09-07 (주)네온테크 레이저를 사용하여 소재의 들뜸을 측정하는 검출 시스템 및 이를 사용한 소재의 들뜸을 측정하는 방법

Also Published As

Publication number Publication date
CN106062939A (zh) 2016-10-26
TW201539149A (zh) 2015-10-16
KR20160124775A (ko) 2016-10-28
IL246915A0 (en) 2016-09-29
CN106062939B (zh) 2020-01-10
US10152654B2 (en) 2018-12-11
US20150235108A1 (en) 2015-08-20
WO2015127220A1 (en) 2015-08-27
IL246915B (en) 2020-03-31
TWI688829B (zh) 2020-03-21
TW202018430A (zh) 2020-05-16

Similar Documents

Publication Publication Date Title
KR102184029B1 (ko) 이미지 기반 오버레이 측정을 위한 신호 응답 계측
JP6983944B2 (ja) 画像ベースの測定のための方法および測定システム
JP7071562B2 (ja) 画像を用いたモデル依拠計量システム及び方法
US9710728B2 (en) Image based signal response metrology
KR102035376B1 (ko) 통계적 모델 기반 계측
KR102579585B1 (ko) 다중 패턴화 파라미터의 측정
KR102196370B1 (ko) 프록시 구조의 측정에 기초한 신호 응답 계측
US9875946B2 (en) On-device metrology
KR102382490B1 (ko) 모델 기반의 핫 스팟 모니터링
KR102245698B1 (ko) 다중 패턴화 프로세스의 계측

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant