CN106062939A - 用于基于图像的叠对测量的信号响应计量 - Google Patents

用于基于图像的叠对测量的信号响应计量 Download PDF

Info

Publication number
CN106062939A
CN106062939A CN201580009428.5A CN201580009428A CN106062939A CN 106062939 A CN106062939 A CN 106062939A CN 201580009428 A CN201580009428 A CN 201580009428A CN 106062939 A CN106062939 A CN 106062939A
Authority
CN
China
Prior art keywords
image
measurement
model
view data
amount
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580009428.5A
Other languages
English (en)
Other versions
CN106062939B (zh
Inventor
S·I·潘戴夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN106062939A publication Critical patent/CN106062939A/zh
Application granted granted Critical
Publication of CN106062939B publication Critical patent/CN106062939B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/28Determining representative reference patterns, e.g. by averaging or distorting; Generating dictionaries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/772Determining representative reference patterns, e.g. averaging or distorting patterns; Generating dictionaries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30168Image quality inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Quality & Reliability (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Evolutionary Biology (AREA)
  • General Engineering & Computer Science (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • Multimedia (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

本发明提出用于仅基于所测量的基于图像的训练数据来产生基于图像的测量模型的方法及系统。接着,所述经训练的基于图像的测量模型用于直接根据从其它晶片收集的测量图像数据来计算一或多个所关注参数的值。所述基于图像的测量模型直接接收图像数据作为输入,且提供所关注参数的值作为输出。在一些实施例中,所述基于图像的测量模型实现叠对误差的直接测量。在一些实施例中,从装置上结构的图像确定叠对误差。在一些其它实施例中,从专用目标结构的图像确定叠对误差。在一些实施例中,来自多个目标的图像数据、通过多个计量收集的图像数据或两者用于模型建立、训练及测量。在一些实施例中,优化算法自动化基于图像的测量模型建立及训练过程。

Description

用于基于图像的叠对测量的信号响应计量
相关申请案的交叉参考
本专利申请案根据35U.S.C.§119规定主张在2014年2月20日申请的题为“用于基于装置上图像的叠对测量的信号响应计量(Signal Response Metrology For On-DeviceImage Based Overlay Measurements)”的第61/942,204号美国临时专利申请案的优先权,所述申请案的标的物的全部内容以引用的方式并入本文中。
技术领域
所描述的实施例涉及计量系统及方法,且更特定地说涉及用于改进基于图像的测量的方法及系统。
背景技术
通常通过应用于样本的一系列处理步骤制造半导体装置(例如逻辑及存储器装置)。通过这些处理步骤形成半导体装置的各种特征及多个结构层级。举例而言,光刻尤其是涉及产生半导体晶片上的图案的半导体制造工艺。半导体制造工艺的额外实例包含但不限于化学机械抛光、蚀刻、沉积及离子植入。多个半导体装置可制造在单个半导体晶片上,且接着分为个别半导体装置。
在半导体制造工艺期间在各种步骤处使用计量过程来检测晶片上缺陷,以促进更高良率。光学计量技术提供实现高处理量的可能而无破坏样品的风险。包含散射测量及反射测量实施方案及相关联分析算法的数种基于光学计量技术常用于特征化临界尺寸、薄膜厚度、组成物、叠对及纳米尺度结构的其它参数。
叠对误差是指晶片的不同层上结构的相对位置。叠对误差越大,结构未对准越多。如果叠对误差过大,那么可损及所制造的电子装置的性能。
通常基于测量通过光刻工具形成于晶片上的各种位置处的专用目标结构来评估叠对误差。目标结构可采取许多形式,例如格中格(box in box)结构。在此形式中,格产生于晶片的一个层上且第二、更小格产生于另一层上。通过比较两个格中心之间的对准而测量局部叠对误差。在晶片上目标结构可用的位置处进行此类测量。
基于图像的叠对误差测量通常涉及专用目标结构的图像的分析以估计叠对误差。图像分析通常涉及图像中特定目标特征(例如,线段、格等等)的辨识,且基于这些特征的相对位置计算叠对误差。专用目标结构通常特定于图像处理算法。举例来说,与叠对目标(例如,格中格目标、框中框目标、高级成像计量(AIM)目标)相关联的线段经特别设计以遵守算法的细节。为此,无法使用任意叠对目标或装置结构可靠地执行基于传统图像的叠对计量分析算法。
另外,因为算法仅对图像的特定区域起作用,所以一些信息丢失。换句话来说,选择特定线边沿等等作为用于评估叠对误差的焦点忽略了图像中其它像素可作出的贡献。
此外,基于传统图像的算法对于工艺变化、不对称性及光学系统误差敏感,这是这些算法缺少系统性方式来捕捉经捕捉的图像上的这些误差源的影响。
未来叠对计量应用对计量提出挑战,这是归因于越来越小的解决方案需要及越来越高的晶片面积值。因此,需要用于改进的叠对测量的方法及系统。
发明内容
提出用于仅基于所测量的基于图像的训练数据(例如,从实验设计(DOE)晶片收集的图像)产生基于图像的测量模型的方法及系统。接着,经训练的基于图像的测量模型用于直接根据从其它晶片收集的测量图像数据计算一或多个所关注参数值。
一方面,本文描述的所述经训练的基于图像的测量模型接收图像数据直接作为输入且提供一或多个所关注参数的值作为输出。通过流线化所述测量过程,改进所述预测结果,以及缩减计算及用户时间。
另一方面,可从装置上结构的图像确定所关注的参数值。在一些实施例中,装置上结构的图像用来训练基于图像的测量模型,如本文描述。接着,所述经训练的基于图像的测量模型用于直接根据从其它晶片收集的相同装置上结构的图像计算一或多个所关注参数的值。
如本文描述,通过仅使用原始图像数据来产生所述基于图像的测量模型,减少与基于传统图像的计量方法相关联的所述误差及近似值。另外,所述基于图像的测量模型并不对系统误差、不对称性等等敏感,这是因为所述基于图像的测量模型基于从特定计量系统收集的图像数据进行训练且用于基于从相同计量系统收集的图像执行测量。
在进一步方面,基于如本文描述从原始图像数据产生的基于图像的测量模型直接测量通过连续光刻工艺形成于衬底上的结构之间的叠对误差。基于具有已知叠对变化的图像集训练所述基于图像的测量模型。接着,使用所述经训练的基于图像的测量模型预测来自未知图像的叠对误差。一般来说,所述结构可定位于所述衬底的相同层上或定位于不同层上。
在另一进一步方面,本文描述的所述方法及系统非仅限于叠对误差的测量。一般来说,前述基于图像的测量技术可应用于其它工艺、结构、分散参数或这些参数的任何组合的测量。举非限制性实例来说,可使用本文描述的技术测量临限尺寸、叠对误差、焦点及剂量中的任一者。
在另一进一步方面,用于训练所述基于图像的测量模型的所述方法及系统包含优化算法来自动化到达经训练的基于图像的测量模型所需的任何或所有元素。
在一进一步方面,针对模型建立、训练及测量而收集来自具有不同结构但由相同工艺条件形成的多个目标的图像数据。这增加了嵌入到所述模型中的所述信息且减少了与工艺或其它参数变化的所述叠对相关性。
在另一进一步方面,针对模型建立、训练及测量而收集从通过多个、不同测量技术的组合执行的测量导出的图像数据。使用与多种不同测量技术相关联的测量数据增加了所述组合的信号集中的信息含量且减小了与工艺或其它参数变化的所述叠对相关性。
在又另一方面,本文描述的所述基于图像的测量模型结果可用于提供主动反馈到工艺工具(例如,光刻工具、蚀刻工具、沉积工具等等)。
前文是发明内容且,因此必要地含有细节的简化、一般化及省略;因此,所属领域技术人员将了解发明内容仅是说明性的且不以任何方式限制。将在本文提出的非限制性实施方式中了解本文描述的所述装置及/或过程的其它方面、发明特征及优点。
附图说明
图1是说明训练如本文描述的基于图像的测量模型的方法100的流程图。
图2是说明使用由方法100产生的经训练的基于图像的测量模型测量所关注参数的方法110的流程图。
图3描绘两个光栅的模拟图像120,所述光栅各自安置于半导体晶片的不同层上。
图4说明从DOE晶片收集的图像的主成分映图的矩阵130,所述晶片包含叠对误差中的已知变化。
图5描绘针对如参考图3描述的模拟指示y轴上实际叠对误差及x轴上对应预测叠对误差的模拟结果的标绘图120。
图6描绘指示与在图5中描绘的每一测量点相关联的残余叠对误差值的标绘图121。
图7描绘说明针对与训练图像相关联的测量点的在图6中描绘的残余值分布的标绘图122。
图8描绘说明针对与未参与训练数据集的图像相关联的测量点的在图6中描绘的残余值分布的标绘图123。
图9描绘两个光栅的模拟图像130,所述光栅各自安置在另一实施例中的半导体晶片的不同层上。
图10描绘针对如参考图9描述的模拟指示y轴上实际叠对误差及x轴上对应预测叠对误差的模拟结果的标绘图131。
图11描绘指示与在图10中描绘的每一测量点相关联的残余叠对误差值的标绘图132。
图12描绘说明针对与训练图像相关联的测量点的在图11中描绘的残余值分布的标绘图133。
图13描绘说明针对与未参与训练数据集的图像相关联的测量点的在图10中描绘的残余值分布的标绘图134。
图14A到14D分别展现计量目标140到143,其可在一些实施例中有利于叠对误差的基于图像的测量。
图15A到15B分别展现计量目标150到151,其可在一些实施例中有利于叠对误差的基于图像的测量。
图16A到16B分别展现计量目标160到161,其可在一些实施例中有利于叠对误差的基于图像的测量。
图17A到17B分别展现计量目标170到171,其可在一些实施例中有利于叠对误差的基于图像的测量。
图18描绘在一个实施例中具有展现叠对误差中已知变化的目标的网格的DOE晶片180。
图19说明用于根据本文提出的示范性方法估计来自收集自样品的图像的所关注参数的系统300。
具体实施方式
现将详细参考本发明的背景实例及一些实施例,其的实例在附图中说明。
提出用于仅基于所测量的基于图像的训练数据(例如,从实验设计(DOE)晶片收集的图像)产生基于图像的测量模型的方法及系统。接着,经训练的基于图像的测量模型用于直接根据从其它晶片收集的测量图像值计算一或多个所关注参数的值。
一方面,本文描述的所述经训练的基于图像的测量模型接收图像数据直接作为输入且提供一或多个所关注参数的值作为输出。通过流线化所述测量过程,改进预测结果,以及缩减计算及用户时间。
另一方面,可从装置上结构的图像确定所关注的参数值。在一些实施例中,装置上结构的图像用来训练基于图像的测量模型,如本文描述。接着,所述经训练的基于图像的测量模型用于直接根据从其它晶片收集的相同装置上结构的图像计算一或多个所关注参数的值。在这些实施例中,避免使用专用目标。在一个实例中,使用模具内装置结构作为叠对计量目标来执行叠对测量。这实现模具内测量且避免使用专用叠对计量目标及针对这些专用目标调整图像分析算法的复杂性。在一些实例中,可在一小时内产生基于图像的测量模型。另外,通过采用简化模型,相比于现有基于图像的计量方法缩减了测量时间。
如本文描述,通过仅使用原始图像数据来产生基于图像的测量模型,减少与基于传统图像的计量方法相关联的误差及近似值。另外,基于图像的测量模型并不对系统误差、不对称性等等敏感,这是因为基于图像的测量模型基于从特定计量系统收集的图像数据进行训练且用于基于从相同计量系统收集的图像执行测量。
在进一步方面,基于如本文描述从原始图像数据产生的基于图像的测量模型直接测量通过连续光刻工艺形成于衬底上的结构之间的叠对误差。基于具有已知叠对变化的图像集训练所述基于图像的测量模型。接着,使用基于图像的测量模型预测来自未知图像的叠对误差。一般地说,结构可定位于衬底的相同层上或定位于不同层上。
一般地说,本文描述的方法及系统整体分析图像。替代辨识图像中的个别特征,每一像素被视为含有关于叠对误差及其它参数(例如,结构参数、工艺参数、分散参数)的信息(或对其敏感)的个别信号。
图1说明适用于通过计量系统(例如图19中说明的本发明的计量系统300)实施的方法100。一方面,已认知,可经由通过计算系统330(或任何其它通用计算系统)的一或多个处理器执行的预编程算法来实行方法100的数据处理框。本文中已认知,计量系统300的特定结构方面并不表示限制,且应解释为仅说明性。
在框101中,由计算系统(例如,计算系统330)接收与半导体晶片的表面上的第一多个位点的图像相关联的第一量的图像数据。图像展现至少一个所关注参数的已知变化。从由至少一种计量技术执行的测量导出图像数据。可从光学成像系统、扫描电子显微镜或其它图像形成系统获得图像。
在一些实施例中,在半导体晶片(例如,实验设计(DOE)晶片)的表面上的DOE图案中组织(若干)所关注参数的变化。以此方式,测量位点询问晶片表面上的不同位置,其对应于(若干)所关注参数的不同值。在一个实例中,DOE图案是叠对误差图案。展现叠对误差图案的DOE晶片通常包含测量位点的网格图案。在一个网格方向(例如,x方向)中,叠对在x方向中变化,同时在y方向中的叠对保持不变。在正交网格方向(例如,y方向)中,y方向中的叠对误差变化,而x方向中的叠对误差保持不变。以此方式,从DOE晶片收集的图像数据包含与x及y方向两者中的叠对的已知变化相关联的数据。图18描绘具有展现叠对误差的已知变化的目标(例如,目标181)的网格的DOE晶片180。x方向叠对误差根据x方向上DOE晶片180上的位置而变化。y方向叠对误差根据y方向上DOE晶片180上的位置而变化。在一些实例中,x及y叠对误差范围从-20纳米到20纳米。在一些其它实例中,x及y叠对误差范围从-80纳米到80纳米。
在上述实例中,图像数据与用叠对误差的已知变化处理的DOE晶片相关联。然而,一般地说,可考虑与工艺参数、结构参数、分散等等的任何已知变化相关联的图像数据。DOE晶片的图像应展现所关注参数(例如,叠对误差)的范围,且还应展现其它噪声源的范围,例如工艺变化(例如,焦点/剂量)及光学系统误差(例如,相机偏移)。
图3描绘两个光栅的模拟图像120,所述光栅各自安置于半导体晶片的不同层上。在此模拟中,光栅间距是微米。光栅的每一线的宽度(即,光栅的临界尺寸)是五百纳米。在三百个不同图像内,x及y方向两者的叠对误差的范围是十六纳米。为了将额外误差引入分析中,在三百个图像内,光栅的每一线的宽度变化五纳米,且在三百个图像内,x及y方向两者的相机偏移误差(即,整个图像移位)变化四个像素。在此模拟中,像素分辨率是每十纳米一个像素。
图9描绘两个光栅的模拟图像130,所述两个光栅各自安置于半导体晶片的不同层上。在此模拟中,光栅间距是四百纳米。光栅的每一线的宽度(即,光栅的临界尺寸)是两百纳米。在两百个不同图像内,x及y方向两者的叠对误差的范围是一百纳米。为了将额外误差引入分析中,在两百个图像内,光栅的每一线的宽度变化五纳米,且在两百个图像内,x及y方向两者的相机偏移误差(即,整个图像移位)变化五个像素。在此模拟中,像素分辨率是每十纳米一个像素。
在任选的框102中,通过一或多个图像滤波器对在框101中接收的图像中的每一者进行滤波。图像滤波器可经采用用来减少噪声,增强对比等等。可由用户或由自动程序选择所采用的图像滤波器。不同图像滤波器的数量及与每一选定滤波器相关联的参数经选择以改进最终测量结构而无过度计算负担。尽管使用基于图像的滤波器可为有利的,但一般地说其是不必要的。在此意义上,框102是任选的。
在框103中,基于第一量的图像数据的多个图像中的每一者的至少一部分确定特征提取模型。特征提取模型减小第一量的图像数据的维度。特征提取模型将初始信号映射到新的减小的信号集。基于第一量的图像数据中的所关注参数中的变化确定变换。将每一图像的每一像素作为在过程范围内针对第一量的图像数据的不同图像改变的初始信号进行处理。特征提取模型可应用于所有图像像素或图像像素子集。在一些实例中,随机选择经受特征提取模型分析的像素。在一些其它实例中,经受特征提取模型分析的像素归因于其对于所关注参数中的改变的相对高敏感度而被选择。举例而言,可忽略对于所关注参数中的改变不敏感的像素。在图3及9中描绘的实例中,随机取样五千个像素以供分析。
举非限制性实例而言,特征提取模型可为主成分分析(PCA)模型、核心PCA模型、非线性PCA模型、独立成分分析(ICA)模型或使用字典的其它降维方法(离散余弦变换(DCT)模型、快速傅里叶变换(FFT)模型、小波模型等等)。
从第一量的图像数据提取一或多个特征。在一些实例中,使用主成分分析(PCA)或非线性PCA分析图像数据以提取最强烈反映在不同测量位点处的所关注参数中的变化的特征。在一些其它实例中,可应用信号滤波技术以提取最强烈反映在不同测量位点处的参数变化的信号数据。在一些其它实例中,可从图像数据中存在的多个信号选择最强烈反映在不同测量位点存在的参数变化的个别信号。
图4说明从DOE晶片收集的图像的主成分映图的矩阵130,所述晶片包含叠对误差中的已知变化。如说明,第一及第二主成分强烈关联。这指示跨图像数据集存在系统行为,且第一及第二主成分是响应于叠对误差。图4还说明,第三主成分与第一及第二主成分弱相关。这指示,第三主成分比第一及第二主成分对噪声或其它不需要扰动的响应性更高。在此实例中,优选地仅利用第一及第二主成分来训练基于图像的测量模型。以此方式,为模型建立及后续基于图像的测量分析的目的而截略主要反映噪声的主成分。
在框104中,基于从多个图像提取的特征及至少一个所关注参数的已知值训练基于图像的测量模型。基于图像的测量模型经结构化以接收由在一或多个测量位点处的计量系统产生的图像数据,且直接确定与每一测量目标相关联的所关注参数。在一些实施例中,基于图像的测量模型经实施为神经网络模型。在一个实例中,基于从图像数据提取的特征选择神经网络的节点数量。在其它实例中,基于图像的测量模型可实施为线性模型、多项式模型、响应表面模型、支持向量机模型或其它类型的模型。在一些实施例中,基于图像的测量模型可实施为模型的组合。基于从特征提取模型及所关注参数中的已知变化确定所减少的信号集而训练选定模型。模型经训练使得其输出拟合由DOE图像定义的参数变化间隔中的所有图像的所关注参数中的定义变化。
另一方面,经训练的模型用作测量其它晶片的测量模型。图2说明适用于通过计量系统(例如图19中说明的本发明的计量系统300)实施的方法110。一方面,已认知,可经由通过计算系统330(或任何其它通用计算系统)的一或多个处理器执行的预编程算法来实行方法110的数据处理框。本文中已认知,计量系统300的特定结构方面并不表示限制且应解释为仅说明性。
在框111中,由计算系统(例如,计算系统330)接收与半导体晶片的表面上的多个位点的图像相关联的图像数据量。从通过相同计量技术或如参考方法100所描述的计量技术的组合执行的测量导出图像数据。类似地,图像数据包含如参考方法100所描述的相同类型结构的图像,但具有未知叠对误差。
在任选的框112中,在框111中接收的图像中的每一者通过如参考方法100所描述的相同图像滤波器或图像滤波器的组合进行滤波。尽管使用基于图像的滤波器可为有利的,但一般地说其是不必要的。在此意义上,框112是任选的。
在框113中,基于经训练的特征提取模型(例如,参考方法100所描述的经训练的特征提取模型)的应用确定来自图像数据量的至少一部分的图像特征。优选地使用方法100中所采用从训练数据提取特征的相同分析,从图像数据提取特征。以此方式,通过用来减小训练数据的维度的相同特征提取模型来执行所需图像数据的维度减小。
在框114中,基于经确定图像特征与经训练的基于图像的测量模型(例如,参考方法100所描述的经训练的基于图像的测量模型)的拟合,来确定与多个位点中的每一者相关联的至少一个所关注参数的值。以此方式,基于经训练的基于图像的测量模型及经减少的图像信号集确定所关注参数。
在框115中,所关注参数的确定值经存储于存储器中。举例来说,参数值可存储于测量系统300内(例如,存储器332中),或可传达(例如,经由输出信号340)到外部存储器装置。
在一些实例中,通过使用模型来测量未作为训练数据集的部分参与但具有已知叠对误差的图像集而确定经训练的基于图像的测量模型的测量性能。所期望叠对与测量的叠对之间的差异指示模型性能。
图5描绘针对如参考图3描述的模拟指示y轴上实际叠对误差(即,已知叠对误差值)及x轴上对应预测叠对误差(即,如通过经训练的基于图像的测量模型予以测量)的模拟结果的标绘图120。在标绘图120中描绘的结果包含参与训练集中的图像及未参与训练集中的图像的测量。如在图5中描绘,用对应、已知值紧密分组经模拟的测量结果。
图6描绘指示与在图5中描绘的每一测量点相关联的残余叠对误差值的标绘图121。残余叠对值是实际叠对误差值与预测叠对误差值之间的差。
图7描绘说明针对与训练图像相关联的测量点的在图6中描绘的残余值分布的标绘图122。此图像集的残余值的西格玛值是0.54纳米。
图8描绘说明针对与未参与训练数据集的图像相关联的测量点的在图6中描绘的残余值分布的标绘图123。此图像集的残余值的西格玛值是0.57纳米。
图10描绘针对如参考图9描述的模拟指示y轴上实际叠对误差(即,已知叠对误差值)及x轴上对应预测叠对误差(即,如通过经训练的基于图像的测量模型予以测量)的模拟结果的标绘图131。在标绘图131中描绘的结果包含参与训练集中的图像及未参与训练集中的图像的测量。如在图10中描绘,用对应、已知值紧密分组经模拟的测量结果。
图11描绘指示与在图10中描绘的每一测量点相关联的残余叠对误差值的标绘图132。
图12描绘说明针对与训练图像相关联的测量点的在图11中描绘的残余值分布的标绘图133。此图像集的残余值的西格玛值是0.06纳米。
图13描绘说明针对与未参与训练数据集的图像相关联的测量点的在图10中描绘的残余值分布的标绘图134。此图像集的残余值的西格玛值是0.14纳米。
如在上文中描述,本文描述的测量方法及系统并不局限于专用目标。一般地说,可根据本文描述的方法及系统采用当通过可用成像系统成像时展现对于所关注参数的敏感性的任何目标。
然而,在一些实例中,有利地采用当通过可用成像系统成像时展现对于所关注参数的高敏感性的专用测量目标以增强基于图像的测量性能。举例来说,当信号响应计量应用于如本文描述的叠对误差测量时,期望最大化归因于x及y方向上的叠对误差中的改变而改变的像素的数量。
图14A到14D分别展现计量目标140到143,其可有利于叠对误差的基于图像的测量。在一些实施例中,图14A到14D中描绘的计量目标是一微米乘一微米。在一些其它实施例中,图14A到14D中描绘的计量目标是三微米乘三微米。一般地说,图14A到14D中描绘的计量目标可为任何适当尺寸。在图14A到14D中描绘的实例中,深阴影区域经印刷覆于半导体晶片的一个层上,且浅影区域经印刷覆于半导体晶片的另一层上。在一些实施例中,浅及深阴影区域是实心块。然而,在一些其它实施例中,浅及深阴影区域是光栅结构。当光栅结构之间存在重叠时,光栅结构将交叉。相比于浅及深阴影区域是实心块的实施例,所得的强度改变提供额外且不同的信号。图14A描绘每一元素之间具有间隔的计量目标140。以此方式,特定量的叠对误差可出现在一个层上的任一元素均不与另一层上的任何其它元素重叠的情况下。以此方式,主要通过经定位于元素之间的像素中的改变来确定叠对误差。图14B描绘计量目标141,其中每一层的元素经布置使得x及y方向两者上的任何叠对误差导致一个层上的元素与另一层上的元素之间的重叠。图14C描绘计量目标142,其中每一层的元素经布置使得任何方向上的任何叠对误差导致一个层上的元素与另一层上的元素之间的重叠的改变。图14D描绘计量目标143,其类似于图14A中描绘的计量目标140,除了每一层上印刷有更少、更大的元素。当计量系统的分辨率并不足以清晰地分辨图14A到14C中描绘的更小元素时,这可为有利的。
图15A到15B分别展现计量目标150到151,其可有利于叠对误差的基于图像的测量。在一些实施例中,图15A到15B中描绘的计量目标是三微米乘三微米。在一些其它实施例中,图15A到15B中描绘的计量目标是五微米乘五微米。一般地说,图15A到15B中描绘的计量目标可为任何适当尺寸。在图15A到15B中描绘的实例中,深阴影区域经印刷覆于半导体晶片的一个层上,且浅影区域经印刷覆于半导体晶片的另一层上。在一些实施例中,浅及深阴影区域是实心块。然而,在一些其它实施例中,浅及深阴影区域是光栅结构。
图15A描绘每一元素之间具有间隔的计量目标150。以此方式,特定量的叠对误差可出现在一个层上的任一元素均不与另一层上的任何其它元素重叠的情况下。以此方式,主要通过经定位于元素之间的像素中的改变来确定叠对误差。另外,计量目标150包含不同大小的元素。当期望与具有不同成像分辨率的各种计量系统一起使用一个计量目标时,此目标可为有利的。
图15B描绘计量目标151,其中每一层的元素经布置使得x及y方向两者上的任何叠对误差导致一个层上的元素与另一层上的元素之间的重叠。
图16A到16B分别展现计量目标160到161,其可有利于叠对误差的基于图像的测量。在一些实施例中,图16A到16B中描绘的计量目标是七微米乘七微米。一般地说,图15A到15B中描绘的计量目标可为任何适当尺寸。在图16A到16B中描绘的实例中,深阴影区域经印刷覆于半导体晶片的一个层上,且浅影区域经印刷覆于半导体晶片的另一层上。在一些实施例中,浅及深阴影区域是实心块。然而,在一些其它实施例中,浅及深阴影区域是光栅结构。
图16A描绘每一元素之间具有间隔的计量目标160。以此方式,特定量的叠对误差可出现在一个层上的任一元素均不与另一层上的任何其它元素重叠的情况下。以此方式,主要通过定位于元素之间的像素中的改变确定叠对误差。
图16B描绘每一层的多个元素邻近彼此安置的计量目标161。
图17A到17B分别展现计量目标170到171,其可有利于对叠对误差进行基于图像的测量。在一些实施例中,在图17A到17B中描绘的计量目标是十微米乘十微米。然而,一般地说,图17A到17B中描绘的计量目标可为任何适当尺寸。在图17A到17B中描绘的实例中,深阴影区域经印刷覆于半导体晶片的一个层上,且浅影区域经印刷覆于半导体晶片的另一层上。在一些实施例中,浅及深阴影区域是实心块。然而,在一些其它实施例中,浅及深阴影区域是光栅结构。
图17A描绘每一元素之间具有间隔的计量目标170。以此方式,特定量的叠对误差可出现在一个层上的任一元素均不与另一层上的任何其它元素重叠的情况下。以此方式,主要通过定位于元素之间的像素中的改变确定叠对误差。另外,计量目标170包含不同大小的元素。当期望与具有不同成像分辨率的各种计量系统一起使用一个计量目标时,此目标可为有利的。
图17B描绘计量目标171,其中每一层的元素经布置使得x及y方向两者上的任何叠对误差导致一个层上的元素与另一层上的元素之间的重叠。
在另一进一步方面,本文描述的方法及系统非仅限于叠对误差的测量。一般地说,前述基于图像的测量技术可应用于其它工艺、结构、分散参数或这些参数的任何组合的测量。举非限制性实例而言,可使用上述技术测量临限尺寸、叠对误差、焦点及剂量中的任一者。
在另一进一步方面,用于训练基于图像的测量模型的方法及系统包含用以自动化到达经训练的基于图像的测量模型所需的元素中的任一者或全部的优化算法。
在一些实例中,优化算法经配置以通过优化下列参数中的任一者或全部而最大化测量的性能(由成本函数定义):图像滤波器列表、滤波器的参数、特征提取模型的类型、选定特征提取模型的参数、测量模型的类型、选定测量模型的参数。优化算法可包含用户定义的启发法且可为经嵌套的优化的组合(例如,组合及连续优化)。
在进一步方面,针对模型建立、训练及测量收集来自不同目标的图像数据。使用与具有不同结构但通过相同工艺条件形成的多个目标相关联的图像数据,增加嵌入到模型中的信息且减小叠对与工艺或其它参数变化的关联。特定地说,使用包含在一或多个测量位点处的多个、不同目标的图像的训练数据实现所关注参数值的更精确估计。
在另一进一步方面,来自多个目标的信号可经处理以减少对工艺变化的敏感性且增大对所关注参数的敏感性。在一些实例中,来自不同目标的图像(或图像部分)的信号彼此相减。在一些其它实例中,将来自不同目标的图像(或图像部分)的信号与模型拟合,且残余部分用于建立、训练且使用如本文描述的基于图像的测量模型。在一个实例中,减去来自两个不同目标的图像信号以消除(或显著减少)每一测量结果中过程噪声的效应。一般地说,可在来自不同目标图像(或目标图像的部分)的信号之间应用各种数学运算来确定对工艺变化减小的敏感性及对所关注参数增大的敏感性的图像信号。
在另一进一步方面,针对模型建立、训练及测量而收集从通过多个、不同测量技术的组合执行的测量导出的测量数据。使用与多个、不同测量技术相关联的测量数据,增加在组合的信号集中的信息含量且减小与工艺或其它参数变化的叠对关联性。可从通过多个、不同测量技术的任何组合执行的测量导出测量数据。以此方式,可通过多个、不同测量技术(例如,CD-SEM、成像技术(例如2-D BPR)、散射测量等等)测量不同测量位点,以增强所关注参数的估计可用的测量信息。
一般地说,由于通过用于训练及测量的特征提取模型及基于图像的测量模型处理的信息具有向量形式,所以在此专利文件的范围内可考虑任何测量技术或两个或两个以上测量技术的组合。因为如本文描述的信号响应计量技术对数据向量操作,所以单独处理图像数据的每一像素。另外,不管数据是二维图像数据、一维图像数据或甚至单点数据,可能串连来自多个、不同计量的数据。
可提供数据用于根据本文描述的信号响应计量技术的分析的示范性测量技术包含但不限于光谱椭圆偏光测量(包含穆勒(Mueller)矩阵椭圆偏光测量)、光谱反射测量、光谱散射测量、散射测量叠对、束轮廓反射测量(角分辨及偏光分辨两者)、束轮廓椭圆偏光测量、单个及多个离散波长椭圆偏光测量、传输小角度x射线散射计(TSAXS)、小角度x射线散射(SAXS)、掠入射小角度x射线散射(GISAXS)、广角x射线散射(WAXS)、x射线反射率(XRR)、x射线衍射率(XRD)、掠入射x射线衍射(GIXRD)、高分辨率x射线衍射(HRXRD)、x射线光电光谱(XPS)、x射线荧光(XRF)、掠入射x射线荧光(GIXRF)、x射线断层扫描及x射线椭圆偏光测量。一般地说,可个别地或在任何组合中考虑适用于半导体结构的特征化的任何计量技术(包含基于图像的计量技术)。
在另一进一步方面,通过多个计量所测量的信号可经处理以减少对工艺变化的敏感性且增大对所关注参数的敏感性。在一些实例中,来自通过不同计量所测量的目标的图像(或图像部分)的信号彼此相减。在一些其它实将例中,来自通过不同计量所测量的目标的图像(或图像部分)的信号与模型拟合,且残余部分用于建立、训练且使用如本文描述的基于图像的测量模型。在一个实例中,减去来自通过不同计量所测量的目标的图像信号以消除(或显著减少)每一测量结果中过程噪声的效应。一般地说,可在通过不同计量所测量的目标图像(或目标图像的部分)的信号之间应用各种数学运算,来确定具有对工艺变化的减小的敏感性及对所关注参数的增大的敏感性的图像信号。
一般地说,来自各自通过多种计量技术测量的多个目标的图像信号增大在组合的信号集中的信息含量且减小与工艺或其它参数变化的叠对关联性。
图19说明用于根据本文提出的示范性方法测量样品的特性的系统300。如图19中展示,系统300可用来执行样品301的一或多个结构的光谱椭圆偏光测量。在此方面中,系统300可含装备有照明器302及光谱仪304的光谱椭圆偏光仪。系统300的照明器302经配置以产生选定波长范围(例如,150nm到850nm)的照明且将其引导到安置于样品301的表面上的结构。继而,光谱仪304经配置以接收从样品301的表面反射的照明。进一步应注意,使用偏光状态产生器307使从照明器302出现的光偏光以产生偏光照明光束306。通过安置于样品301上的结构反射的辐射行进通过偏光状态分析器309且到光谱仪304。关于偏光状态分析通过光谱仪304接收的在收集光束308中的辐射,而允许通过分析器对光谱仪传递的辐射进行光谱分析。这些光谱311经传递到计算系统330以用于结构分析。
如图19中描绘,系统300包含单一测量技术(即,SE)。然而,一般地说,系统300可包含任何数量的不同测量技术。举非限制实例,系统300可经配置为光谱椭圆偏光测量仪(包含穆勒矩阵椭圆偏光测量)、光谱反射仪、光谱散射仪、叠对散射仪、角分辨式束轮廓反射仪、偏光分辨式束轮廓反射仪、束轮廓反射仪、束轮廓椭圆偏光测量仪、任何单一及多个离散波长椭圆偏光测量仪或其任何组合。此外,一般地说,可从多个工具(而非集成多种技术的一个工具)收集通过不同测量技术收集且根据本文描述的方法分析的测量数据。
在进一步实施例中,系统300可包含用来基于根据本文描述的方法开发的基于图像的测量模型执行叠对测量的一或多个计算系统330。一或多个计算系统330可以通信方式耦合到光谱仪304。一方面,一或多个计算系统330经配置以接收与样品301的结构的测量相关联的测量数据311。
应认知,可通过单计算机系统330或替代地多计算机系统330执行遍及本揭示内容所描述的各种步骤。此外,系统300的不同子系统(例如光谱椭圆偏光测量仪)可包含适用于执行本文描述的步骤的至少一部分的计算机系统。因此,上述描述不应解释为限制本发明而仅说明本发明。此外,一或多个计算系统330可经配置以执行本文描述的方法实施例中的任一者的任何其它步骤。
另外,计算机系统330可以任何技术已知的方式通信耦合到光谱仪304。举例来说,一或多个计算系统330可耦合到与光谱仪304相关联的计算系统。在另一实例中,可通过耦合到计算机系统330的单计算机系统直接控制光谱仪304。
计量系统300的计算机系统330可经配置以通过可包含有线及/或无线部分的传输媒体接收及/或获取来自系统的子系统(例如,光谱仪304或类似物)的数据或信息。以此方式,传输媒体可充当计算机系统330与计算机系统330的其它子系统300之间的数据链路。
集成计量系统300的计算机系统330可经配置以通过可包含有线及/或无线部分的传输媒体接收及/或获取来自其它系统的数据或信息(例如,测量结果、模型化输入、模型化结果等等)。以此方式,传输媒体可充当计算机系统330与其它系统(例如,存储器内建计量系统300、外部存储器、参考测量源320或其它外部系统)之间的数据链路。举例来说,计算系统330可经配置以经由数据链路接收来自存储媒体(即,存储器332或外部存储器)的测量数据。举例来说,使用光谱仪304获得的光谱结果可存储于永久或半永久存储器装置(例如,存储器332或外部存储器)中。就此而言,可从板上存储器或从外部存储器系统导入光谱结果。此外,计算机系统330可经由传输媒体发送数据到其它系统。举例来说,通过计算机系统330确定的集成测量模型或样品参数340可经传达且存储于外部存储器中。就此而言,测量结果可导出到另一系统。
计算系统330可包含但不限于个人计算机系统、主计算机系统、工作站、图像计算机、并行处理器或此项技术中已知的任何其它装置。一般地说,可广泛定义术语“计算系统”以涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
可在传输媒体(例如有线、缆线或无线传输链路)上传输实施方法(例如本文描述的方法)的程序指令334。举例来说,如图19中说明,存储于存储器332中的程序指令334经由总线333传输到处理器331。程序指令334存储于计算机可读媒体(例如,存储器332)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或磁带。
在一些实例中,本文描述的模型建立、训练及测量方法经实施为可购自美国加州苗必达市(Milpitas,California,USA)的科磊(KLA-Tencor)公司的光学临界尺寸计量系统的元件。以此方式,模型经产生且在DOE晶片光谱由系统收集后立即可使用。
在一些其它实例中,(例如)通过实施可购自美国加州苗必达市(Milpitas,California,USA)的科磊(KLA-Tencor)公司的软件的计算系统脱机实施本文描述的模型建立、训练方法。所得经训练的模型可被并入作为可由执行测量的计量系统存取的库的元素。
尽管上文参考基于图像的叠对测量模型描述若干实例,但本文描述的方法及系统可涉及其它工艺模型(例如,焦点、剂量、蚀刻或沉积处理)。本文描述的方法及系统还可涉及其它计量技术(例如,SEM、TEM、AFM、X射线)。此外,本文描述的方法及系统参考成像计量系统而论述,但还可应用于其它计量(例如,光谱椭圆偏光测量仪、反射仪、BPR系统、CD-SAXS、XRR等等)。
在又另一方面,本文描述的基于图像的测量模型结果可用于提供主动反馈到工艺工具(例如,光刻工具、蚀刻工具、沉积工具等等)。举例来说,使用本文描述的方法确定的叠对误差值可经传达到光刻工具以调整光刻系统以实现所需输出。以类似方式,蚀刻参数(例如,蚀刻时间、扩散率等等)或沉积参数(例如,时间、浓度等等)可包含于测量模型中,以将主动反馈分别提供到蚀刻工具或沉积工具。
一般地说,本文描述的方法及系统可作为制备用于脱机或工具上测量的测量模型的过程的部分而实施。另外,测量模型及任何参数化测量模型两者可描述一或多个目标结果及测量位点。
如本文描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角度、光栅高度等等),任何两个或两个以上结构之间的临界尺寸(例如,两个结构之间的距离)及两个或两个以上结构之间的位移(例如,叠对光栅结构之间的叠对位移等等)。结构可包含三维结构、经图案化结构、叠对结构等等。
如本文描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文描述,术语“计量系统”包含至少部分用来特征化任何方面中的样品的任何系统,其包含测量应用,例如临界尺寸计量、叠对计量、焦点/剂量计量、及组成计量。然而,此类技术术语并不限制如本文描述的术语“计量系统”的范围。另外,计量系统100可经配置以用于测量图案化晶片及/或未图案化晶片。计量系统可经配置为LED检验工具、边沿检验工具、背侧检验工具、巨观检验工具或多模式检验工具(涉及同时来自一或多个平台的数据)及获益于基于临界尺寸数据校准系统参数的任何其它计量或检验工具。
本文针对可用于处理样品的半导体处理系统(例如,检验系统或光刻系统)描述各种实施例。术语“样品”在本文中是用来指晶片、主光罩、或可通过此项技术中已知的方法处理(例如,印刷或检验缺陷)的任何其它样品。
如本文使用,术语“晶片”一般是指由半导体或非半导体材料形成的衬底。实例包含但不限于单晶硅、砷化镓及磷化铟。此类衬底通常可在半导体制造设施中找到及/或处理。在一些情况中,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含在衬底上形成的不同材料的一或多个层。形成于晶片上的一或多个层可经“图案化”或“未图案化”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“主光罩”可为在主光罩制造工艺的任何阶段的主光罩,或为可经释放或不可经释放以用于半导体制造设施中的已完成主光罩。主光罩或“掩模”一般定义为基本上透明衬底,其具有形成于其上且经配置于图案中的基本上不透明区。衬底可包含(例如)玻璃材料,例如非晶SiO2。主光罩可在光刻工艺的暴露步骤期间安置于光致抗蚀剂覆盖晶片上方,使得主光罩上的图案可经转印到光致抗蚀剂。
形成于晶片上的一或多个层可经图案化或未图案化。举例来说,晶片可包含多个裸片,其各自具有可重复图案特征。此类材料层的形成及处理可最终导致已完成装置。许多不同类型的装置可形成于晶片上,且如本文使用的术语晶片意在涵盖其上制造此项技术中已知的任何类型装置的晶片。
在一或多个示范性实施例中,在硬件、软件、固件或其任何组合中实施所描述的功能。如果在软件中实施,那么功能可存储于计算机可读媒体上或在所述媒体上作为一或多个指令或程序代码而传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,其包含促进将计算机程序从一个位点传送到另一位点的任何媒体。存储媒体可为可通过通用或专用计算机存取的任何可用媒体。举实例但非限制,此计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储、磁盘存储或其它磁性存储装置、或可用于携载或存储具有指令或数据结构形式的所需程序代码构件且可通过通用或专用计算机或通用或专用处理器存取的任何其它媒体。同样地,任何连接适当称为计算机可读媒体。举例来说,如果使用同轴缆线、光纤缆线、双绞线、数字用户线(DSL)或无线技术(例如红外、无线电及微波)从网站、服务器或其它远程源传输软件,那么同轴缆线、光纤缆线、双绞线、DSL或无线技术(例如红外、无线电及微波)包含于媒体的定义中。如本文使用的磁盘及光盘包含光盘(CD)、激光光盘、光盘、数字多功光盘(DVD)、软磁盘及蓝光磁盘,其中磁盘通常磁性重现数据,而光盘使用激光光学重现数据。上述组合还应包含于计算机可读媒体的范围内。
尽管上文为教学目的描述特定实施例,但此专利文件的教示具有一般适用性且不限于上文描述的特定实施例。因此,可在不脱离如在权利要求书中提及的本发明的范围的情况下实践所描述的实施例的各种特征的各种修改、调适及组合。

Claims (25)

1.一种方法,其包括:
接收与在具有至少一个所关注参数的已知值的半导体晶片的表面上的第一多个位点的图像相关联的第一量的图像数据,其中从通过至少一种计量技术执行的测量导出所述第一量的图像数据;
基于所述第一量的图像数据的所述多个图像中的每一者的至少一部分来确定特征提取模型,其中所述特征提取模型减小所述第一量的图像数据的维度;及
基于从所述多个图像提取的特征及所述至少一个所关注参数的所述已知值来训练基于图像的测量模型。
2.根据权利要求1所述的方法,其中所述图像是叠对图像,且所述所关注参数是叠对误差。
3.根据权利要求1所述的方法,其中至少一个所关注参数的所述已知值中的每一者是工艺参数值、结构参数值、分散参数值及布局参数值中的任一者。
4.根据权利要求1所述的方法,其中所述第一多个位点的所述图像是各自从实验设计晶片上的不同位置捕捉的。
5.根据权利要求1所述的方法,进一步包括:
对所述第一多个位点的所述图像中的每一者进行滤波。
6.根据权利要求1所述的方法,其中所述特征提取模型是主成分分析PCA模型、独立成分分析ICA模型、核心PCA模型、非线性PCA模型、快速傅里叶变换FFT模型、离散余弦变换DCT模型及小波模型中的任一者。
7.根据权利要求1所述的方法,其中所述基于图像的测量模型是线性模型、多项式模型、神经网络模型、支持向量机模型、决策树模型及随机森林模型中的任一者。
8.根据权利要求1所述方法,其中所述第一量的图像数据包含通过相同工艺条件形成的多个不同计量目标的图像的组合。
9.根据权利要求1所述的方法,其中所述第一量的图像数据包含通过多个不同计量技术获得的图像或图像组合。
10.根据权利要求1所述的方法,其中所述第一量的图像数据包含与在所述第一多个位点中的任一者处的一个以上目标特征相关联的图像信号。
11.根据权利要求1所述的方法,其中所述第一量的图像数据包含与通过一种以上计量技术的测量相关联的图像信号。
12.根据权利要求1所述的方法,其中所述确定所述特征提取模型涉及:确定来自不同目标的图像的图像信号、通过不同计量技术获得的图像的图像信号,或其两者组合之间的差。
13.根据权利要求1所述的方法,其中所述确定所述特征提取模型涉及:确定与来自不同目标的图像的图像信号、来自通过不同计量技术获得的图像的图像信号,或其两者组合拟合的模型的残余。
14.根据权利要求1所述的方法,其中所述计量目标是装置上结构。
15.根据权利要求1所述的方法,进一步包括:
接收与第二多个计量目标的图像相关联的第二量的图像数据,其中从通过相同至少一种计量技术执行的测量导出所述第二量图像的数据;
基于所述第二量的图像数据与所述经训练的基于图像的测量模型的拟合来确定与所述第二多个计量目标中的每一者相关联的至少一个所关注参数的值;及
将所述至少一个所关注参数的所述值存储于存储器中。
16.一种系统,其包括:
计量工具,其包含照明源及经配置以执行目标结构的测量的检测器;及
计算系统,其经配置以:
接收与在具有至少一个所关注参数的已知值的半导体晶片的表面上的第一多个位点的图像相关联的第一量的图像数据,其中从通过至少一种计量技术执行的测量导出所述第一量的图像数据;
基于所述第一量的图像数据的所述多个图像中的每一者的至少一部分来确定特征提取模型,其中所述特征提取模型减小所述第一量图像数据的维度;及
基于从所述多个图像提取的特征及所述至少一个所关注参数的所述已知值来训练基于图像的测量模型。
17.根据权利要求16所述的系统,其中所述计算系统经进一步配置以:
接收与第二多个计量目标的图像相关联的第二量图像数据,其中从通过相同至少一种计量技术执行的测量导出所述第二量图像数据;
基于所述第二量图像数据与所述经训练的基于图像的测量模型的拟合来确定与所述第二多个计量目标相关联的至少一个所关注参数的值;及
将所述至少一个所关注参数的所述值存储于存储器中。
18.根据权利要求16所述的系统,其中所述计算系统经进一步配置以:
对所述第一多个位点的所述图像中的每一者进行滤波。
19.根据权利要求16所述的系统,其中所述第一量的图像数据包含通过相同工艺条件形成的多个不同计量目标的图像的组合。
20.根据权利要求16所述的系统,其中所述第一量的图像数据包含通过多个不同计量技术获得的图像或图像组合。
21.根据权利要求16所述的系统,其中所述确定所述特征提取模型涉及:确定来自不同目标的图像的图像信号、通过不同计量技术获得的图像的图像信号,或其两者组合之间的差。
22.根据权利要求16所述的系统,其中所述确定所述特征提取模型涉及:确定与来自不同目标的图像的图像信号、来自通过不同计量技术获得的图像的图像信号,或其两者组合拟合的模型的残余。
23.根据权利要求16所述的系统,其中所述计量目标是装置上结构。
24.一种方法,其包括:
接收与半导体晶片的表面上的多个位点的图像相关联的一定量的图像数据;
基于经训练的特征提取模型的应用,从所述量的图像数据的至少一部分确定图像特征;
基于所述确定的图像特征与所述经训练的基于图像的测量模型的拟合来确定与所述多个位点中的每一者相关联的至少一个所关注参数的值;及
将所述至少一个参数值存储于存储器中。
25.根据权利要求24所述的方法,其进一步包括:
产生所述经训练的基于图像的测量模型,其中所述产生涉及:
接收与在具有至少一个所关注参数的已知值的半导体晶片的表面上的第一多个位点的图像相关联的第一量的图像数据,其中从通过至少一种计量技术执行的测量导出所述第一量的图像数据;
基于所述第一量的图像数据的所述多个图像中的每一者的至少一部分来确定特征提取模型,其中所述特征提取模型减小所述第一量的图像数据的维度;及
基于从所述多个图像提取的特征及所述至少一个所关注参数的所述已知值来训练基于图像的测量模型。
CN201580009428.5A 2014-02-20 2015-02-20 用于基于图像的叠对测量的信号响应计量 Active CN106062939B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461942204P 2014-02-20 2014-02-20
US61/942,204 2014-02-20
US14/624,485 2015-02-17
US14/624,485 US10152654B2 (en) 2014-02-20 2015-02-17 Signal response metrology for image based overlay measurements
PCT/US2015/016841 WO2015127220A1 (en) 2014-02-20 2015-02-20 Signal response metrology for image based overlay measurements

Publications (2)

Publication Number Publication Date
CN106062939A true CN106062939A (zh) 2016-10-26
CN106062939B CN106062939B (zh) 2020-01-10

Family

ID=53798387

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580009428.5A Active CN106062939B (zh) 2014-02-20 2015-02-20 用于基于图像的叠对测量的信号响应计量

Country Status (6)

Country Link
US (1) US10152654B2 (zh)
KR (1) KR102184029B1 (zh)
CN (1) CN106062939B (zh)
IL (1) IL246915B (zh)
TW (2) TWI688829B (zh)
WO (1) WO2015127220A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110520715A (zh) * 2017-03-01 2019-11-29 科磊股份有限公司 基于光学散射测量的工艺稳健叠加计量
CN111566789A (zh) * 2018-01-05 2020-08-21 科磊股份有限公司 使用电子显微法的半导体计量及缺陷分类
CN111627799A (zh) * 2019-02-28 2020-09-04 台湾积体电路制造股份有限公司 制造半导体元件的方法
CN111971712A (zh) * 2018-04-09 2020-11-20 科磊股份有限公司 用于重叠计量学的局部远心及聚焦优化
CN112689802A (zh) * 2018-08-28 2021-04-20 Asml荷兰有限公司 最佳量测指导的系统和方法
TWI763595B (zh) * 2021-08-05 2022-05-01 台灣積體電路製造股份有限公司 測量圖案以及用於測量結合晶圓的疊對位移的方法
CN114514542A (zh) * 2019-07-23 2022-05-17 科磊股份有限公司 用于重叠计量学系统的自动配方优化
CN115398478A (zh) * 2020-04-07 2022-11-25 科磊股份有限公司 用于计量测量的在线导航偏移校正

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
NL2017943A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology methods, metrology apparatus and device manufacturing method
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
TWI577965B (zh) * 2016-01-27 2017-04-11 高瞻資訊股份有限公司 模具狀態檢測方法
TW202303095A (zh) * 2016-02-24 2023-01-16 美商克萊譚克公司 光學計量之準確度提升
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
CN112255887B (zh) * 2016-07-19 2023-09-22 应用材料公司 分段对准建模方法
US10712145B2 (en) 2016-10-20 2020-07-14 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
KR102370339B1 (ko) 2017-02-22 2022-03-04 에이에스엠엘 네델란즈 비.브이. 전산 계측
WO2018182470A1 (en) 2017-03-28 2018-10-04 Essity Hygiene And Health Aktiebolag An array of disposable pant-type gender-specific absorbent articles
US10795268B2 (en) * 2017-09-29 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for measuring overlay errors using overlay measurement patterns
CN111033382B (zh) * 2017-10-22 2021-12-14 科磊股份有限公司 在成像叠加计量中利用叠加错位误差估计
KR20230147216A (ko) * 2017-11-29 2023-10-20 케이엘에이 코포레이션 디바이스 검사 시스템을 사용한 오버레이 에러의 측정
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
US10533848B2 (en) * 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
KR20230144122A (ko) * 2018-06-14 2023-10-13 노바 엘티디. 반도체 제조용 측정 및 공정 제어
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
KR102224276B1 (ko) * 2019-07-24 2021-03-05 금오공과대학교 산학협력단 영상데이터의 학습방법 및 장치
US11580631B2 (en) * 2020-02-20 2023-02-14 The Board Of Trustees Of The Leland Stanford Junior University Platform and methods for dynamic thin film measurements using hyperspectral imaging
US20240094641A1 (en) * 2020-12-10 2024-03-21 Asml Holding N.V. Intensity order difference based metrology system, lithographic apparatus, and methods thereof
CN113252523B (zh) * 2021-05-12 2022-03-15 中国农业大学 基于rgb相机的植物叶片雾滴沉积量的测量装置及方法
KR102441250B1 (ko) 2022-02-21 2022-09-07 (주)네온테크 레이저를 사용하여 소재의 들뜸을 측정하는 검출 시스템 및 이를 사용한 소재의 들뜸을 측정하는 방법
EP4328670A1 (en) * 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232478A1 (en) * 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090063378A1 (en) * 2007-08-31 2009-03-05 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US20100281981A1 (en) * 2007-02-28 2010-11-11 Guray Tas Characterization with picosecond ultrasonics of metal portions of samples potentially subject to erosion
US8041518B2 (en) * 2007-05-08 2011-10-18 Globalfoundries Inc. Determining die test protocols based on process health

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US6340602B1 (en) 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6563324B1 (en) 2000-11-30 2003-05-13 Cognex Technology And Investment Corporation Semiconductor device image inspection utilizing rotation invariant scale invariant method
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
JP4299977B2 (ja) 2001-03-16 2009-07-22 株式会社リコー 認識結果修正装置、認識結果修正方法および記録媒体
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
KR100576752B1 (ko) * 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
JP4728762B2 (ja) 2005-10-03 2011-07-20 株式会社東芝 超音波探傷画像処理装置
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US8111376B2 (en) 2007-05-30 2012-02-07 Kla-Tencor Corporation Feedforward/feedback litho process control of stress and overlay
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8693050B2 (en) * 2009-08-06 2014-04-08 Xerox Corporation Controlling process color in a color adjustment system
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100281981A1 (en) * 2007-02-28 2010-11-11 Guray Tas Characterization with picosecond ultrasonics of metal portions of samples potentially subject to erosion
US8041518B2 (en) * 2007-05-08 2011-10-18 Globalfoundries Inc. Determining die test protocols based on process health
US20090063378A1 (en) * 2007-08-31 2009-03-05 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110520715A (zh) * 2017-03-01 2019-11-29 科磊股份有限公司 基于光学散射测量的工艺稳健叠加计量
CN111566789A (zh) * 2018-01-05 2020-08-21 科磊股份有限公司 使用电子显微法的半导体计量及缺陷分类
CN111566789B (zh) * 2018-01-05 2021-12-03 科磊股份有限公司 使用电子显微法的半导体计量及缺陷分类
CN111971712A (zh) * 2018-04-09 2020-11-20 科磊股份有限公司 用于重叠计量学的局部远心及聚焦优化
TWI781312B (zh) * 2018-04-09 2022-10-21 美商克萊譚克公司 重疊計量學系統及方法
CN112689802A (zh) * 2018-08-28 2021-04-20 Asml荷兰有限公司 最佳量测指导的系统和方法
CN112689802B (zh) * 2018-08-28 2024-03-29 Asml荷兰有限公司 最佳量测指导的系统和方法
CN111627799B (zh) * 2019-02-28 2023-05-02 台湾积体电路制造股份有限公司 制造半导体元件的方法
CN111627799A (zh) * 2019-02-28 2020-09-04 台湾积体电路制造股份有限公司 制造半导体元件的方法
CN114514542A (zh) * 2019-07-23 2022-05-17 科磊股份有限公司 用于重叠计量学系统的自动配方优化
CN115398478A (zh) * 2020-04-07 2022-11-25 科磊股份有限公司 用于计量测量的在线导航偏移校正
CN115398478B (zh) * 2020-04-07 2024-01-23 科磊股份有限公司 用于计量测量的在线导航偏移校正
TWI763595B (zh) * 2021-08-05 2022-05-01 台灣積體電路製造股份有限公司 測量圖案以及用於測量結合晶圓的疊對位移的方法

Also Published As

Publication number Publication date
TW201539149A (zh) 2015-10-16
KR20160124775A (ko) 2016-10-28
IL246915A0 (en) 2016-09-29
CN106062939B (zh) 2020-01-10
US10152654B2 (en) 2018-12-11
KR102184029B1 (ko) 2020-11-27
US20150235108A1 (en) 2015-08-20
WO2015127220A1 (en) 2015-08-27
IL246915B (en) 2020-03-31
TWI688829B (zh) 2020-03-21
TW202018430A (zh) 2020-05-16

Similar Documents

Publication Publication Date Title
CN106062939A (zh) 用于基于图像的叠对测量的信号响应计量
CN107533995B (zh) 以模型为基础的热点监测
JP6983944B2 (ja) 画像ベースの測定のための方法および測定システム
JP6833822B2 (ja) 画像を用いたモデル依拠計量
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
KR102035377B1 (ko) 온-디바이스 계측
KR102579585B1 (ko) 다중 패턴화 파라미터의 측정
CN106663646A (zh) 基于代理结构的测量的信号响应计量
TWI659483B (zh) 多重圖案化處理之度量
CN108886006A (zh) 利用来自多个处理步骤的信息的半导体计量
CN101261452B (zh) 检验方法和设备、光刻处理单元和器件制造方法
CN106463429A (zh) 用于基于散射术的重叠测量的信号响应度量
CN107111250A (zh) 度量方法、计算机产品和系统
KR20160011654A (ko) 파라미터 추적을 위한 계측 시스템 최적화
CN106463430A (zh) 用于测量半导体参数的设备、技术和目标设计
CN107924140A (zh) 测量光刻工艺参数的方法和设备、衬底以及该方法中使用的图案化装置
CN110100174A (zh) 用于图案化晶片特性化的混合度量
CN112840273B (zh) 用于确定估计散射辐射强度的方法和量测设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant