CN107111250A - 度量方法、计算机产品和系统 - Google Patents

度量方法、计算机产品和系统 Download PDF

Info

Publication number
CN107111250A
CN107111250A CN201580071813.2A CN201580071813A CN107111250A CN 107111250 A CN107111250 A CN 107111250A CN 201580071813 A CN201580071813 A CN 201580071813A CN 107111250 A CN107111250 A CN 107111250A
Authority
CN
China
Prior art keywords
target
measurement
asymmetric
parameter
value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580071813.2A
Other languages
English (en)
Other versions
CN107111250B (zh
Inventor
A·J·登博夫
K·布哈塔查里亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to CN201910871671.8A priority Critical patent/CN110553602B/zh
Publication of CN107111250A publication Critical patent/CN107111250A/zh
Application granted granted Critical
Publication of CN107111250B publication Critical patent/CN107111250B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Abstract

一种方法包括根据目标的测量值来确定目标的结构不对称的类型,以及执行目标的光学测量的模拟以确定与不对称类型相关联的不对称参数的值。一种方法包括执行目标的光学测量的模拟以确定与根据目标的测量值确定的目标的结构不对称的类型相关联的不对称参数的值,以及分析不对称参数对于与目标相关联的目标形成参数的变化的敏感度。一种方法包括使用被目标衍射的辐射的测量参数来确定目标的结构不对称参数,以及基于对于与目标相关联的目标形成参数的变化最不敏感的结构不对称参数来确定目标的测量光束的属性。

Description

度量方法、计算机产品和系统
相关申请的交叉引用
本申请要求于2014年11月26日提交的EP申请14195009.7的优先权,其全部内容通过引用并入本文。
技术领域
本发明涉及一种用于例如在通过光刻技术制造器件时可用的度量的方法、设备和计算机产品,并且涉及一种使用光刻技术制造器件的方法。
背景技术
光刻设备是将期望的图案施加至衬底上、通常至衬底的目标部分上的机器。光刻设备可以用于例如集成电路(IC)的制造。在该情形下,通常替代地称作掩模或掩模板的图案化装置可以用于产生将要形成在IC的单个层上的电路图案。该图案可以被转移至衬底(例如硅晶片)上的目标位置(例如包括一个或若干裸片的一部分)上。图案的转移通常是经由成像至设置在衬底上的一层辐射敏感材料(抗蚀剂)上。通常,单个衬底将包含被连续地图案化的相邻目标部分的网络。
在光刻过程中(也即对器件或其他结构显影的过程,包括光刻曝光,其可以通常包括一个或多个相关联的处理步骤,诸如抗蚀剂的显影、刻蚀等),期望频繁地对所产生结构进行测量,例如用于工艺控制和验证。用于进行这些测量的各种工具是已知的,包括通常用于测量临界尺寸(CD)的扫描电子显微镜,以及用于测量套刻、衬底的两层的对准精确度的专用工具。近期,已经研发了各种形式的散射仪以用于光刻领域。这些装置将辐射光束引导至目标上并且测量散射的辐射的一个或多个属性,例如作为波长的函数的在单个反射角度下的强度;作为反射角度的函数的在一个或多个波长下的强度;或者作为反射角度的函数的偏振,从而获得“频谱”,从该频谱可以确定目标的感兴趣属性。感兴趣属性的确定可以通过各种技术来执行:例如通过迭代方案(诸如严格耦合波形分析或有限元方法)的目标结构的重构;库搜索;以及主成分分析。
发明内容
器件制造商使用存在于衬底上的目标(标记)来对准衬底。对准传感器通过亚纳米重复性来测量标记的位置。制造商还使用目标的重叠周期性结构来测量例如产品上的套刻。这里,也可以实现亚纳米总测量不确定度(TMU)数。然而,目标的周期性结构的横向轮廓可能具有影响测量属性的不对称性或形状。度量设备和对准传感器对由例如像蚀刻、化学机械抛光(CMP)、沉积等的处理步骤引起的目标结构不对称性敏感。这种不对称性导致了几纳米量级的测量误差。这种效应可能开始占据位置和/或套刻预算,并且因此需要解决方案。
测量配方选择(例如,每个配方具有一个或多个不同波长和/或一个或多个照射偏振)可以使用诸如平均值工具诱导偏移(TIS)和/或TIS可变性(也称为TIS 3西格玛)来执行。但是,当参考层呈现不对称轮廓时存在问题。
目标的周期性结构的形状的不对称性通常会对测量的套刻、对准等产生影响。这种影响可以根据用于测量的照射设置而变化。
在没有对处理和成像之后的目标的周期性结构的形状的实际知识的情况下进行目标配方选择。此外,当前工艺的上下文不用于配方选择的决策。使用基于TIS和/或TMU的修饰语并不总是导致针对目标不对称性最鲁棒的测量配方。
期望提供一种使用目标的用于度量的方法和设备,其中可以提高生产量、灵活性和/或精度。此外,尽管本发明不限于此,但是如果这可以应用于可以用暗场技术读出的小目标结构,则将是非常有利的。
在一个实施例中,提供了一种方法,其包括根据目标的测量值来确定目标的结构不对称的类型,以及执行目标的光学测量的模拟以确定与不对称类型相关联的不对称参数的值。
因此,根据目标的测量值(例如,光学测量)来确定目标的结构不对称的类型(例如,侧壁角度不对称、间隔物不平衡等)。执行目标的光学测量的模拟。该模拟用于确定与不对称类型相关联的不对称参数的值。这种不对称参数可以是使用目标的测量的由于不对称而导致的位置偏移(例如,如本文中描述的Xos),和/或比例因子(如本文中描述的G),比例因子将使用目标的测量的由于不对称而导致的位置偏移与使用目标的测量的由于不对称而导致的取决于工艺的比例因子偏移相关。确定的不对称参数可以用于例如校正与目标的套刻测量结果相关联的套刻的计算。
在一个实施例中,提供了一种方法,其包括执行目标的光学测量的模拟以确定与根据目标的测量值确定的目标的结构不对称的类型相关联的不对称参数的值,以及分析不对称参数对于与目标相关联的目标形成参数的变化的敏感度。
因此,执行目标的光学测量的模拟。该模拟用于确定与根据目标的测量值(例如光学测量)确定的结构不对称类型(例如侧壁角度不对称、间隔物不平衡等)相关联的不对称参数(例如Xos和/或G)的值。此外,分析不对称参数对于与目标相关联的目标形成参数的变化(例如堆叠折射率的变化、堆叠厚度的变化等)的敏感度。在一个实施例中,可以针对一个或多个光学测量设置(例如波长、偏振等)分析敏感度,以找到敏感度低或最小值的光学测量设置。光学测量设置可以用于对目标进行套刻测量。此外,不对称参数可以用于例如校正根据套刻测量的对套刻的计算。
在一个实施例中,提供了一种方法,包括使用被目标衍射的辐射的测量参数来确定目标的结构不对称参数,以及基于对于与目标相关联的目标形成参数的变化最不敏感的结构不对称参数来确定目标的测量光束的属性。
结构不对称参数(例如Xos和/或G)的值可以根据被目标衍射的辐射的测量参数来确定。可以通过例如使用测量参数确定不对称类型并且执行目标的光学测量的模拟以计算不对称类型的结构不对称参数来确定结构不对称参数的值。此外,基于对于与目标相关联的目标形成参数的变化(例如堆叠折射率的变化、堆叠厚度的变化等)最不敏感的结构不对称参数来确定目标的测量光束的属性(例如波长、偏振等)。确定的测量光束属性可以用于对目标进行套刻测量。此外,结构不对称参数可以用于例如校正根据套刻测量的对套刻的计算。
在一个实施例中,提供了一种制造器件的方法,其中使用光刻工艺将器件图案应用于一系列衬底,该方法包括使用本文中描述的方法来评估至少一个衍射测量目标,并且根据该方法的结果来控制用于一个或多个衬底的光刻工艺。在一个实施例中,至少一个衍射测量目标被形成为至少一个衬底上的器件图案的一部分或在至少一个衬底上的器件图案旁边,并且控制光刻工艺包括根据该方法的结果来控制用于随后的衬底的光刻工艺。
在一个实施例中,提供了一种非暂态计算机程序产品,其包括用于使处理器引起如本文中描述的方法的执行的机器可读指令。
在一个实施例中,提供了一种系统,其包括:被配置为在衬底上的衍射测量目标上提供光束并且检测被目标衍射的辐射以确定光刻工艺的参数的检查装置;以及如本文中描述的非暂态计算机程序产品。
本发明的实施例的特征和/或优点以及本发明的各种实施例的结构和操作在本文中参照附图被详细描述。注意,本发明不限于本文中描述的具体实施例。这些实施例在本文中仅为了说明的目的而呈现。基于本文种包含的教导,附加实施例对于相关领域的技术人员而言是显而易见的。
附图说明
现在将通过仅示例的方式参照附图来描述本发明的实施例,在附图中:
图1描绘了根据本发明的实施例的光刻设备;
图2描绘了根据本发明的实施例的光刻单元或簇;
图3(a)是使用提供某些照射模式的第一对照射孔的根据本发明的实施例的用于测量目标的暗场测量设备的示意图;
图3(b)是对于给定的照射方向的目标的衍射光谱的示意性细节;
图3(c)是在使用用于基于衍射的套刻测量的测量设备时提供另外的照射模式的第二对照射孔的示意图;
图3(d)是在使用用于基于衍射的套刻测量的测量设备时提供另外的照射模式的组合第一对孔和第二对孔的第三对照射孔的示意图;
图4描绘了多个周期性结构(例如多个光栅)目标的形式和衬底上的测量光斑的轮廓;
图5描绘了在图3的设备中获得的图4的目标的图像;
图6是示出使用图3的设备并且适用于本发明的实施例的套刻测量方法的步骤的流程图;
图7(a)至图7(d)示出了在零点区域中具有不同套刻值的套刻周期性结构(例如光栅)的示意性截面;
图8示出了理想目标结构中的套刻测量的原理;
图9示出了套刻测量的结构不对称对于目标结构的套刻测量的影响;
图10(A)是描绘针对两种不同类型的结构不对称(侧壁角度结构不对称和间隔物不平衡结构不对称)的作为波长的函数的示例Kos值的图;
图10(B)是侧壁角度结构不对称的示意图;
图10(C)是间隔物不平衡结构不对称的示意图;
图11(A)是示出作为光学测量配方的波长的函数的ΔG·Kos(任意单位)的模拟值的图;
图11(B)是描绘作为光学测量配方的波长的函数的堆叠敏感度的示例值的图;
图12描绘了示出根据本发明的实施例的确定结构不对称参数以及应用不对称参数的过程的流程图;
图13示出了根据本发明的实施例的扩展操作范围度量目标;
图14示出了根据本发明的实施例的使用扩展操作范围度量目标以解释过程堆叠变化;
图15示出了根据本发明的实施例的使用扩展操作范围度量目标用于多层套刻测量;
图16示意性地描绘了根据本发明的实施例的用于设计度量目标的系统;
图17描绘了示出根据本发明的实施例的设计度量目标的过程的流程图;以及
图18描绘了示出根据本发明的实施例的使用度量目标来监测性能以及作为用于控制度量、设计和/或生产过程的基础的过程的流程图。
具体实施方式
在详细描述实施例之前,呈现各实施例可以被实施的示例环境是有益的。
图1示意性地示出了光刻设备LA。该设备包括:照射系统(照射器)IL,其被配置为调节辐射光束B(例如UV辐射或DUV辐射);图案化装置支撑件或支撑结构(例如掩模台)MT,其被构造为支撑图案化装置(例如掩模)MA并且连接至被配置为根据某些参数精确地定位图案化装置的第一定位器PM;衬底台(例如晶片台)WT,其被构造为保持衬底(例如涂覆有抗蚀剂的晶片)W并且连接至被配置为根据某些参数精确地定位衬底的第二定位器PW;以及投影系统(例如折射投影透镜系统)PS,其被配置为将由图案化装置MA赋予辐射光束B的图案投影至衬底W的目标部分C(例如包括一个或多个裸片)上。
照射系统可以包括用于引导、定形或控制辐射的各种类型光学部件,诸如折射、反射、磁性、电磁、静电或其他类型的光学部件、或者其任意组合。
图案化装置支撑件以取决于图案化装置的朝向、光刻设备的设计、以及诸如例如图案化装置是否保持在真空环境中等其他条件的方式来保持图案化装置。图案化装置支撑件可以使用机械、真空、静电或其他夹持技术来保持图案化装置。例如,图案化装置支撑件可以是框架或台,其根据需要可以是固定的或可移动的。图案化装置支撑件可以确保图案化装置处于期望的位置,例如相对于投影系统。本文中的术语“掩模版”或“掩模”的任何使用可以被视作与更通用的术语“图案化装置”同义。
本文中使用的术语“图案化装置”应该广义地解释为涉及可以用于对辐射光束在其截面中赋予图案以便在衬底的目标部分中产生图案的任何装置。应该注意的是,赋予辐射光束的图案可以不精确地对应于在衬底的目标部分中的期望图案,例如,如果图案包括相移特征或所谓的辅助特征。通常,赋予辐射光束的图案将对应于在目标部分中产生的器件中的特定功能层,诸如集成电路。
图案化装置可以是透射或反射的。图案化装置的示例包括掩模、可编程镜阵列、以及可编程LCD面板。掩模在光刻中是广泛已知的,并且包括诸如二元、交替相移、以及衰减相移等掩模类型、以及各种混合掩模类型。可编程镜阵列的示例采用小镜的矩阵布置,每个小镜可以单独地倾斜以便沿不同方向反射入射的辐射光束。倾斜的镜在由镜矩阵反射的辐射光束中赋予图案。
如这里所示,设备是透射式的(例如采用透射掩模)。替代地,设备可以是反射式的(例如采用如上所示类型的可编程镜阵列,或者采用反射掩模)。
光刻设备也可以是这样的类型,其中衬底的至少部分被具有相对高折射率的液体(例如水)套刻以便填充投影系统和衬底之间的间隔。沉浸液体也可以应用于光刻设备中的其他间隔,例如在掩模和投影系统之间。沉浸技术在本领域中公知用于提高投影系统的数值孔径。本文中使用的术语“沉浸”并非意味着诸如衬底等结构必须浸没在液体中,而是相反地仅意味着液体在曝光期间位于投影系统和衬底之间。
参照图1,照射器IL从辐射源SO接收辐射光束。源和光刻设备可以是独立的实体,例如当源是准分子激光器时。在该情形下,源不被认为形成光刻设备的一部分,并且辐射光束借助于光束输送系统BD从源SO传至照射器IL,光束输送系统BD包括例如合适的导向镜和/或扩束器。在其他情形下,源可以是光刻设备的组成部分,例如当源是汞灯时。源SO和照射器IL、以及如果需要的话与光束输送系统BD一起可以称作辐射系统。
照射器IL可以包括用于调节辐射光束的角强度分布的调节器AD。通常,在照射器的光瞳面中的强度分布的至少外侧和/或内侧径向范围(分别通常称作σ-外侧和σ-内侧)可以被调节。此外,照射器IL可以包括各种其他部件,诸如积分器IN和冷凝器CO。照射器IL可以用于调节辐射光束以在其横截面中具有期望的均匀性和强度分布。
辐射光束B在被保持在图案化装置支撑件(例如掩模台MT)上的图案化装置(例如掩模)MA上入射,并且被图案化装置图案化。穿过图案化装置(例如掩模)MA之后,辐射光束B通过投影系统PS,投影系统PS将光束聚焦至衬底W的目标部分C上。借助于第二定位器PW和位置传感器IF(例如干涉仪装置、线性编码器、2D编码器或电容性传感器),衬底台WT可以被精确地移动,例如以便于在辐射光束B的路径中定位不同的目标部分C。类似地,第一定位器PM和另一位置传感器(其在图1中未明确示出)可以用于相对于辐射光束B的路径精确地定位图案化装置(例如掩模)MA,例如在从掩模库机械取回之后、或者在扫描期间。
图案化装置(例如掩模)MA和衬底W可以使用掩模对准标记M1、M2以及衬底对准标记P1、P2来对准。尽管衬底对准标记如所示占据专用目标部分,但是它们可以定位在目标部分之间的间隔中(这些已知为划线对准标记)。类似地,在其中多于一个裸片设置在图案化装置(例如掩模)MA上的情形下,掩模对准标记可以位于裸片之间。在装置特征之中,小对准标记也可以被包括在裸片内,在该情形下期望标记尽可能小并且无需任何与相邻特征不同的成像或工艺条件。以下进一步描述可以检测对准标记的对准系统的实施例。
所示的设备可以用在以下模式的至少一个中:
1.在步进模式中,图案化装置支撑件(例如掩模台)MT和衬底台WTa保持基本上固定,同时被赋予辐射光束的整个图案一次性地被投影至目标部分C上(即,单次静态曝光)。随后衬底台WTa沿X和/或Y方向偏移使得不同的目标部分C可以被曝光。在步进模式中,曝光场的最大尺寸限制了在单次静态曝光中成像的目标部分C的尺寸。
2.在扫描模式中,图案化装置支撑件(例如掩模台)MT和衬底台WTa被同步地扫描,同时被赋予辐射光束的图案被投影至目标部分C上(即,单次动态曝光)。衬底台WTa相对于图案化装置支撑件(例如掩模台)MT的速率和方向可以由投影系统PS的(去)放大和图像反转属性来确定。在扫描模式中,曝光场的最大尺寸限制了在单次动态曝光中的目标部分的(沿非扫描方向)宽度,而扫描运动的长度确定目标部分的(沿扫描方向)高度。
3.在另一模式中,图案化装置支撑件(例如掩模台)MT保持基本上固定而保持可编程图案化装置,并且衬底台WTa被移动或扫描,同时被赋予辐射光束的图案被投影至目标部分C上。在该模式中,通常采用脉冲辐射源并且根据需要在衬底台WTa的每次移动之后或者在扫描期间在连续的辐射脉冲之间更新可编程图案化装置。该操作模式可以容易地应用于利用可编程图案化装置(诸如如上所述类型的可编程镜阵列)的无掩模光刻。
也可以采用对于如上所述的使用模式的组合和/或改变、或者完全不同的使用模式。
光刻设备LA是所谓的双平台类型,其具有至少两个工作台WTa、WTb(例如两个衬底台)以及至少两个平台,曝光平台和测量平台,在它们之间可以交换工作台。例如,当一个工作台上的衬底正在曝光平台处被曝光时,另一衬底可以被装载至在测量平台处的另一衬底台上并且执行各种准备步骤。准备步骤可以包括使用水平传感器LS来映射衬底的表面控制,以及使用对准传感器AS来测量衬底上的对准标记的位置,两个传感器均由参考框架RF支撑。如果当位置传感器IF在测量平台处以及在曝光平台处时无法测量工作台的位置,则可以提供第二位置传感器来使得工作台的位置能够在两个平台处均被跟踪。作为另一示例,当一个工作台上的衬底正在曝光平台处被曝光时,不具有衬底的另一工作台在测量平台处等待(其中可选地可以发生测量活动)。该另一工作台具有一个或多个测量装置并且可以可选地具有其他工具(例如清洗设备)。当衬底已经完成了曝光时,不具有衬底的工作台移动至曝光平台以执行例如测量,并且具有衬底的工作台移动至其中卸载了衬底并且装载有另一衬底的位置(例如测量平台)。这些多工作台布置能够大幅增加设备的产量。
如图2所示,光刻设备LA形成光刻单元LC的一部分,其也有时称作光刻单元或光刻簇,其也包括用于对衬底执行一个或多个预曝光和后曝光工艺的设备。传统地,这些包括用于沉积抗蚀剂层的一个或多个旋涂器SC、用于对已曝光抗蚀剂显影的一个或多个显影器DE、一个或多个激冷板CH、以及一个或多个烘焙板BK。衬底操纵器或机器人RO从输入/输出端口I/O1、I/O2拾取衬底,将其在不同工艺装置之间移动,并且将其输送至光刻设备的进料台LB。通常统称为轨道的这些装置在轨道控制单元TCU的控制之下,轨道控制单元TCU自身由监管控制系统SCS控制,监管控制系统SCS也经由光刻控制单元LACU控制光刻设备。因此,可以操作不同的设备以使产量和处理效率最大化。
为了使得由光刻设备曝光的衬底被正确地并且一致地曝光,期望检查曝光的衬底以测量一个或多个属性,诸如连续层之间的套刻误差、线厚度、临界尺寸(CD)等。如果检测到误差,则可以对一个或多个后续衬底的曝光进行调节,尤其是在检查可以足够及时和快速地完成以使得相同批次的另一衬底仍然将要被曝光的情况下。此外,可以剥除并返工(以改进良率)或者丢弃已曝光衬底,由此避免对已知是有缺陷的衬底执行曝光。在其中仅衬底的一些目标部分有缺陷的情形下,可以仅对那些良好的目标部分执行进一步曝光。另一可能性是将后续工艺步骤的设置适应于补偿误差,例如修整刻蚀步骤的时间可以被调整以补偿由光刻工艺步骤导致的衬底至衬底CD变化。
检查设备用于确定衬底的一个或多个属性,并且特别地,用于确定不同衬底或者相同衬底的不同层的一个或多个属性如何在层与层之间和/或遍及衬底而改变。检查设备可以集成至光刻设备LA或光刻单元LC中,或者可以是独立装置。为了能够最快速地测量,期望检查设备在曝光之后立即测量在已曝光抗蚀剂层中的一个或多个属性。然而,抗蚀剂中的潜像具有非常低的对比度,在已经暴露至辐射与尚未暴露的抗蚀剂的部分之间仅存在非常小的折射率差别,并且并非所有检查设备具有足够的敏感度以进行对潜像的有用测量。因此,可以在后曝光烘焙步骤(PEB)之后进行测量,该步骤通常是对已曝光衬底执行的第一步骤,并且提高抗蚀剂的已曝光和未曝光部分之间的对比度。在该阶段,抗蚀剂中的图像可以称作半潜像。在已经去除了抗蚀剂的已曝光或未曝光部分的点处,或者在诸如刻蚀等图案转移步骤之后,也能够进行对显影的抗蚀剂图像的测量。后一种可能性限制了有缺陷的衬底的返工的可能性,但是可以仍然提供有用的信息,例如为了工艺控制的目的。
由传统的散射仪使用的目标包括相对较大的周期性结构布局(例如包括一个或多个光栅),例如40μm乘以40μm。在该情形下,测量光束通常具有小于周期性结构布局的光斑尺寸(即,该布局被欠填充,使得周期性结构中的一个或多个没有被光斑完全覆盖)。这简化了目标的数学重构,因为其可以被视作是无穷的。然而,例如,因此目标可以定位在产品特征之中,而不是在划片线中,目标的尺寸已经减小,例如至20μm乘以20μm或更小,或者至10μm乘以10μm或更小。在该情形下,周期性结构布局可以小于测量光斑(即,周期性结构布局过填充)。通常使用暗场散射仪测量该目标,在暗场散射仪中阻断衍射的零阶分量(对应于镜面反射),并且仅处理更高阶分量。暗场度量的示例可以在PCT专利申请公开号WO 2009/078708和WO 2009/106279中找到,其全部内容通过引用并入本文。技术的进一步发展已经在美国专利申请公开号US2011-0027704、US2011-0043791和US2012-0242970中被描述,其全部内容通过引用并入本文。使用衍射阶分量的暗场检测的基于衍射的套刻能够对更小目标进行套刻测量。这些目标可以小于照射光斑并且可以被衬底上的产品结构围绕。在实施例中,可以在一个图像中测量多个目标。
在实施例中,衬底上的目标可以包括一个或多个1D周期性光栅,其被印刷使得在显影之后各条由固体抗蚀剂线形成。在实施例中,目标可以包括一个或多个2D周期性光栅,其被印刷使得在显影之后一个或多个光栅由抗蚀剂中的固体抗蚀剂柱或通孔形成。条、柱或通孔可以替代地被蚀刻到衬底中。光栅的图案对于光刻投影设备中的色差敏感,特别是投影系统PL,并且这种像差的照射对称性和存在将在印刷光栅的变化中显现出来。因此,印刷光栅的测量数据可以用于重建光栅。根据印刷步骤和/或其他测量工艺的知识,1D光栅的参数(诸如线宽和形状)或2D光栅的参数(诸如柱或通孔宽度或长度或形状)可以被输入到由处理单元PU执行的重建过程。
适合在本发明实施例中使用的暗场度量设备在图3(a)中示出。目标T(包括周期性结构,诸如光栅)和衍射的射线在图3(b)中更详细地示出。暗场度量设备可以是独立的装置或者被包括在例如在测量平台处的光刻设备LA中,或者在光刻单元LC中。具有遍及设备的若干分支的光轴由虚线O表示。在该设备中,由输出11(例如诸如激光器或氙灯的源或者连接至源的开口)发出的辐射通过包括透镜12、14和物镜16的光学系统经由棱镜15被引导至衬底W上。这些透镜布置成4F布置的双序列。可以使用不同的透镜布置,只要其仍然将衬底图像提供至检测器上。
在实施例中,透镜布置允许进入中间光瞳面以用于空间-频率滤波。因此,可以通过在展示了衬底平面的空间频谱的平面(此处称作(共轭)光瞳面)中限定空间强度分布来选择辐射在衬底上入射的角度范围。特别地,这可以例如通过在作为物镜光瞳面的背投影图像的平面中在透镜12和14之间插入合适形式的孔板13来完成。在所示的示例中,孔板13具有不同形式,标记为13N和13S,以允许选择不同的照射模式。在本示例中的照射系统形成了离轴照射模式。在第一照射模式下,孔板13N从仅为了方便描述而标记为“北”的方向提供离轴照射。在第二照射模式下,孔板13S用于提供类似的照射,但是从标记为“南”的相反方向。通过使用不同光圈的其他照射模式是可能的。光瞳面的剩余部分期望是暗的,因为期望的照射模式之外的任何不必要辐射可能干扰期望的测量信号。
如图3(b)所示,目标T与衬底W一起被布置为基本上正交于物镜16的光轴O。从偏离光轴O的角度撞击在目标T上的照射射线I引起零阶射线(实线0)以及两个一阶射线(点划线+1和双点划线-1)。在过填充的小目标T的情况下,这些射线仅是覆盖包括度量目标T和其他特征的衬底的区域的许多平行射线之一。由于板13中孔具有有限的宽度(允许有用辐射量所必要),入射的射线I实际上将占据角度范围,并且衍射的射线0和+1/-1将稍微扩展。根据小目标的点扩散函数,每个+1和-1阶分量将进一步在角度范围上扩展,而不是如所示的为单个理想射线。注意,周期性结构间距和照射角度可以被设计或调整,使得进入物镜的第一阶射线与中心光轴紧密对准。图3(a)和图3(b)所示的射线示出为稍微离轴,纯粹使得它们更容易在图中区分。
由衬底W上的目标所衍射的至少0和+1阶分量由物镜16汇集并且通过棱镜15引导返回。返回至图3(a),通过将直径地相对的孔标记为北(N)和南(S),示出了第一和第二照射模式二者。当入射的射线I来自光轴的北侧时,也即当使用孔板13N应用第一照射模式时,标记为+1(N)的+1衍射射线进入物镜16。相反地,当使用孔板13S应用第二照射模式时,-1衍射射线(标记为-1(S))是进入透镜16的那些射线。因此,在实施例中,通过在某些条件下测量目标两次来获得测量结果,例如在旋转目标或者改变照射模式或者改变成像模式以单独获得第-1和第+1衍射阶强度之后。比较这些针对给定目标的强度提供了目标中的非对称性的测量,并且目标中的非对称性可以用作光刻工艺的参数(例如套刻误差)的指示符。在如上所述的情形下,照射模式被改变。
分束器17将衍射的光束分割为两个测量分支。在第一测量分支中,光学系统18使用零阶和一阶衍射光束在第一传感器19(例如CCD或CMOS传感器)上形成目标的衍射频谱(光瞳面图像)。每个衍射阶分量撞击传感器上的不同点,因此图像处理可以比较并且对比阶分量。由传感器19捕获的光瞳面图像可以用于聚焦度量设备以及/或者归一化第一阶光束的强度测量。光瞳面图像也可以用于并未在此详述的许多测量目的,诸如重构。
在第二测量分支中,光学系统20、22在传感器23(例如CCD或CMOS传感器)上形成衬底W上的目标的图像。在第二测量分支中,在与光瞳面共轭的平面中提供孔径光阑21。孔径光阑21用于阻挡零阶衍射光束,使得从-1或+1第一阶光束形成传感器23上形成的目标的图像DF。由传感器19和23捕获的图像被输出至图像处理器和控制器PU,其功能将取决于正执行的测量的特定类型。注意,术语“图像”在此以广泛含义来使用。如果仅存在-1和+1阶分量中的一个,则不会形成这样的具有周期性结构特征(例如光栅线)的图像。
图3所示的孔板13和光阑21的特定形式纯粹是示例。在本发明的另一实施例中,使用目标的在轴照射并且具有离轴光圈的孔径光阑用于基本上仅将衍射辐射的第一阶传递至传感器。在又一实施例中,第2、第3以及更高阶光束(图3中未示出)可以用于测量,替代于第一阶光束或者除了第一阶光束之外。
为了使照射适应于这些不同类型的测量,孔板13可以包括围绕盘形成的多个孔径图案,其旋转以使期望的图案到位。注意,孔板13N或13S用于测量在一个方向(X或Y,取决于设置)定向的目标的周期性结构。对于正交周期性结构的测量,可以实现目标旋转通过90°和270°。图3(c)和(d)中示出了不同的孔板。图3(c)示出了离轴照射模式的另外两种类型。在图3(c)的第一照射模式中,孔板13E仅为了描述而提供从指定方向的离轴照射,相对于先前描述的“北”为“东”。在图3(c)的第二照射模式中,孔板13W用于提供类似的照射,但是从标记为“西”的相反的方向。图3(d)示出了离轴照射模式的另外两种类型。在图3(d)的第一照射模式中,如前所述,孔径板13NW从指定“北”和“西”的方向提供离轴照射。在第二照射模式中,孔径板13SE用于提供类似的照射,但是如前所述,从标记为“南”和“东”的相反的方向。设备的这些以及许多其它变型和应用的使用在例如上述的先前公开的专利申请公布中被描述。
图4描绘了形成在衬底上的示例性复合度量目标。复合目标包括紧密定位在一起的四个周期性结构(在该情形下为光栅)32、33、34、35。在实施例中,周期性结构足够近地靠在一起定位以使得它们均在由度量设备的照射光束形成的测量光斑31内。在该情形下,因此四个周期性结构同时被照射并且同时被成像在传感器19和23上。在专用于套刻测量的示例中,周期性结构32、33、34、35自身是通过覆盖周期性结构而形成的复合周期性结构(例如复合光栅),即,周期性结构在形成于衬底W上的器件的不同层中被图案化并且使得一个层中的至少一个周期性结构覆盖不同层中的至少一个周期性结构。这样的目标可以具有在20μm×20μm内或者在16μm×16μm内的外形尺寸。进一步,所有周期性结构用于测量特定一对层之间的套刻。为了便于目标能够测量多于单对的层,周期性结构32、33、34、35可以具有不同偏差的套刻偏移,以便促进在复合周期性结构的不同部分形成在其中的不同层之间的套刻测量。因此,用于衬底上的目标的所有周期性结构将用于测量一对层,并且用于衬底上的另一相同目标的所有周期性结构将用于测量另一对层,其中不同的偏移促进层对之间的区分。套刻偏移的含义将在以下描述,特别地参考图7。
图7(a)至(c)示出了具有不同偏移的、各个目标T的套刻周期性结构的示意性剖视图。这些可以在衬底W上使用,如图3和图4中所见。仅为了示例目的,示出了沿X方向具有周期性的周期性结构。可以提供具有不同偏移和具有不同取向的这些周期性结构的不同组合。
从图7(a)开始,示出了形成在标记为L1和L2的两个层中的复合套刻目标600。在底部层L1中,由衬底606上的特征(例如线条)602和间隔604形成第一周期性结构(在此情况下为光栅)。在层L2中,由特征(例如线条)608和间隔610形成第二周期性结构(在此情况下为光栅)。(绘制剖视图以使得特征602、608延伸至页面中)。周期性结构图案在两个层中以间距P而重复。仅为了示例目的提到线条602和608,可以使用诸如点、块和过孔等其他类型的特征。在图7(a)处所示的情形下,没有套刻误差也没有偏移,使得每个特征608严格地位于底部周期性结构中的特征602之上(其中测量是“线上线”,在实施例中,如果每个特征608严格地位于间隔610上方,则没有套刻误差可能发生,其中测量是“沟槽上线”)。
在图7(b)处,示出了具有偏移+d的相同目标,使得上方周期性结构的特征608相对于下方周期性结构的特征602以距离d向右移位(距离d小于间距P)。即,特征608和特征602被布置使得如果它们均精确地印刷在它们的标称位置处,则特征608将相对于特征602以距离d而偏移。偏移距离d在实际中可以为几纳米,例如10nm至20nm,而间距P例如在范围300至1000nm中,例如500nm或600nm。在图7(c)处,示出了具有偏移-d的相同目标,使得特征608相对于特征602向左侧移位。在图7(a)至(c)处所示该类型的偏移目标以及其在测量中的用途例如在如上所述的专利申请公布中描述。
进一步,虽然图7(a)至(c)示出了特征608位于特征602上方(具有或没有施加的小偏移+d或-d),其称作在零点区域中具有偏移的“线上线”目标,然而目标可以具有P/2的编程偏移,也即间距的一半,使得在上方周期性结构中的每个特征608位于下方周期性结构中的间隔604之上。这称作“沟槽上线”目标。在该情形下,也可以施加小偏移+d或-d。在“线上线”目标或“沟槽上线”目标之间的选择取决于应用。
返回至图4,周期性结构32、33、34、35也可以如所示地在它们的取向方面不同,以便沿X和Y方向衍射入射的辐射。在一个示例中,周期性结构32和34是分别具有偏移+d、-d的X方向周期性结构。周期性结构33和35可以是分别具有偏移+d和-d的Y方向周期性结构。尽管示出了四个周期性结构,但是另一实施例可以包括更大的矩阵以获得期望的精度。例如,九个复合周期性结构的3×3阵列可以具有偏移-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。可以在由传感器23捕获的图像中标识这些周期性结构的单独图像。
图5示出了可以在图3的设备中使用图4的目标、使用来自图3(d)的孔板13NW或13SE的可以形成在传感器23上并且被传感器23检测的图像的示例。虽然传感器19无法分辨不同的单个周期性结构32至35,但是传感器23可以分辨。暗的矩形表示传感器上的图像的场,其中衬底上的照射点31被成像至相应的圆形区域41中。在该圆形区域41内,矩形区域42至45表示周期性结构32至35的图像。如果周期性结构位于产品区域中,则产品特征也可以在该图像场的外围中可见。图像处理器和控制器PU使用图案识别来处理这些图像以标识周期性结构32至35的单独图像42至45。以该方式,图像不必在传感器框架内特定位置处非常精确地对准,这大大提高了作为整体的测量设备的生产量。
一旦已经标识了周期性结构的单独图像,可以例如通过在所标识的区域内对所选择的像素强度值求平均或求和来测量那些单个图像的强度。图像的强度和/或其他属性可以相互比较。这些结果可以组合以测量光刻工艺的不同参数。套刻性能是这样的参数的示例。
图6示出了如何使用例如PCT专利申请公开号WO2011/012624中描述的方法,通过周期性结构的非对称性来测量包含组成周期性结构32至35的两个层之间的套刻误差,如通过在+1阶和-1阶暗场图像中比较它们的强度所揭示地。在步骤M1处,通过图2的光刻单元一次或多次处理例如半导体晶片的衬底,以产生包括具有周期性结构32至35的目标的结构。在M2处,使用图3的度量设备,使用第一阶衍射光束中的一个(例如-1)获得周期性结构32至35的图像。在实施例中,使用第一照射模式(例如使用孔板13NW产生的照射模式)。随后,不论是通过例如改变照射模式、改变成像模式,还是通过在度量设备的视场中以180°旋转衬底W,可以获得使用其他第一阶衍射光束(+1)的周期性结构的第二图像(步骤M3)。因此,在第二图像中捕获+1阶衍射辐射。在实施例中,改变照射模式并且使用第二照射模式(例如使用孔板13SE产生的照射模式)。在实施例中,如TIS(工具产生的偏移)等工具产生的伪像可以通过以0°和180°衬底取向进行测量来去除。
注意,通过在每个图像中仅包括第一阶衍射辐射的一半,在此涉及的“图像”不是传统的暗场显微镜图像。单独周期性结构特征未被分辨。每个周期性结构将简单地由某一强度水平的区域来表示。在步骤M4中,在每个组成周期性结构的图像内标识感兴趣区域(ROI),由此将测量强度水平。
通过针对每个各自单独周期性结构32至35标识感兴趣区域P1、P2、P3、P4并且测量其强度,可以随后确定周期性结构的非对称性以及因此例如套刻误差。这由图像处理器和控制器PU在步骤M5中完成,其中对于每个周期性结构32至35比较针对+1和-1阶分量获得的强度值以标识它们在强度方面的任何差异,也即非对称性。术语“差异”并非意在仅指减法。可以以比例形式计算差异。在步骤M6中,如果可应用,将针对大量周期性结构的测得的非对称性与那些周期性结构的套刻偏移的知识一起使用以计算在目标T附近的光刻工艺的一个或多个性能参数。感兴趣的性能参数是套刻。可以计算光刻工艺的其他性能参数,诸如聚焦和/或剂量。可以反馈一个或多个性能参数以用于改进光刻工艺,用于改进图6自身的测量和计算处理,用于改进目标T的设计,等等。
在用于确定套刻的实施例中,图8示出了曲线702,其示出了针对在形成套刻目标的单独周期性结构内具有零偏移以及没有结构非对称性的“理想”目标的在套刻误差OV和测得的非对称性A之间的关系。这些图形仅用于示出确定套刻的原理,并且在每个图形中,测得的非对称性A和套刻误差OV的单位是任意的。
在图7(a)至(c)的“理想”情形下,曲线702指示测得的非对称性A与套刻具有正弦关系。正弦变化的周期P对应于周期性结构的周期(间距),当然被转换为合适的比例。正弦形式在该示例中是纯的,但是在实际情况中可以包括谐波。为了清楚起见,在该示例中假设(a)来自目标的仅第一阶衍射辐射到达图像传感器23(或者在给定实施例中其等价物),以及(b)实验目标设计是这样的,使得在这些第一阶分量内,纯的正弦关系存在于强度与上方和下方周期性结构之间的套刻之间。在实践中这是否真实是以下各项的函数:光学系统设计、照射辐射的波长、周期性结构的间距P、以及目标的设计和堆叠。
如上所述,可以使用偏移的周期性结构测量套刻,而不是依赖于单次测量。该偏移具有在由其所制造的图案化装置(例如掩模版)中所定义的已知值,其用作对应于测得的信号的套刻的衬底上校准。在附图中,图形地示出了计算。在图6的步骤M1至M5中,针对分别具有偏移+d和-d的组成周期性结构(例如,如图7(b)和7(c)所示)获得非对称性测量A(+d)和A(-d)。将这些测量拟合至正弦曲线给出了如所示的点704和706。知道该偏移,可以计算真实的套刻误差OV。正弦曲线的间距P从目标的设计获知。曲线702的竖直比例开始未知,但其是可以称为第一谐波比例常数K的未知因子。
在等式项中,套刻和测量的非对称性A之间的关系假设为:
A=Ksin(OV) (1)
其中OV按比例被表示使得周期性结构间距P对应于2π弧度角。使用具有不同的已知偏移的周期性结构的两个测量以获得A的两个值,可以求解两个等式以计算未知的K和套刻OV。
虽然这些测量技术是快速且相对计算上简单的(一旦被校准),但是它们依赖于套刻/横向移位是不对称的唯一原因的假设。也就是说,它假定了“理想”情况,例如,目标没有结构不对称。除了套刻/横向移位之外,堆叠中的任何结构不对称,诸如在一个或两个覆盖的周期性结构内的特征的不对称,也引起第一阶分量中的不对称。这种与套刻无关的结构不对称明确地扰乱测量,给出不准确的结果。
作为结构不对称的示例,目标的一个或多个周期性结构可能在结构上变形。例如,目标的周期性结构特征(例如,光栅线)的一个或多个侧壁可能不是像意图那样是竖直的。作为另一示例,目标的周期性结构特征(例如,沟槽的光栅间隔)之间的一个或多个间隔可以大于或小于预期的尺寸。此外,目标的周期性结构的一个或多个特征(例如,光栅线)可能具有比预期的更小或更大的宽度。另外,即使与目标的一个或多个周期性结构的预期的差异是均匀的,与预期的差异可能与针对目标的一个或多个其他周期性结构相比不同。复合目标的下方周期性结构的结构不对称是结构不对称的常见形式。它可能起源于例如在最初形成下部周期性结构之后进行的诸如化学机械抛光(CMP)等衬底处理步骤。
参考图7(d),示意性地描绘了下部周期性结构的结构不对称的示例。图7(a)至(c)的周期性结构中的特征和间隔被示出为完美的正方形,实际特征和间隔在表面上会具有一些斜率并且具有一定的粗糙度。尽管如此,它们被预期在轮廓中至少是对称的。下部周期性结构中的图7(d)中的特征602和/或间隔604根本不再具有对称形式,而是通过例如一个或多个处理步骤而已经变形。因此,例如,每个间隔604的底面已经变得倾斜。特征和间隔的侧壁角度也变得不对称。当通过图6的方法仅使用两个偏差的周期性结构来测量套刻时,结构不对称不能与套刻区分开,并且套刻测量因此变得不可靠。
因此,测量的精度(例如,在目标用于对准的情况下的对准的测量,在目标用于套刻测量的情况下的套刻的测量等)可能被目标的一个或多个周期性结构(例如光栅)的不对称结构变形显著地减少。可以通过改变创建或测量目标的工艺(例如,工艺偏移)来修正由结构不对称引起的测量误差,其例如基于良率(即,对被处理的器件的评估以确定目标是否准确)、目标的截面、或复杂的测量和分析重建。然而,这些方法可能是缓慢和/或破坏性的。它们可能只能有效地纠正不变的不对称引起的工艺误差。但是,目标的结构不对称的变化不能通过截面或良率测量得到有效的解决。因此,期望例如克服这些或其他限制中的一个或多个的用于结构不对称的评估和校正的鲁棒的解决方案。
因此,期望以更直接和简单的方式区分由套刻和其他影响引起的对测得的目标不对称的贡献。因此,在实施例中,提供了一种鲁棒的计算方法,其确定目标的不对称变形的度量,该度量然后可以用于校正使用目标进行的测量。使用目标自然进行的测量可以用于通过光刻工艺创建例如器件。此外,除了用于校正使用目标进行的测量之外,目标的不对称变形的度量可以用于目标的(重新)设计(例如,改变设计的布局),可以用于形成目标(例如,改变材料,改变印刷步骤或条件等)的工艺,可以用于制定测量条件(例如,在测量光束的波长、偏振、照射模式等方面改变光学测量构想)等。
将在用于测量套刻的衍射目标的基于+1和-1阶衍射的测量方面来描述实施例。以上已经描述了这种测量技术的一些原理。然而,本发明的实施例可以应用于使用目标的其它测量技术。例如,本文中描述的技术可以应用于对准目标的测量。
如上所述,如果目标是完美对称的,则来自目标的+1和-1阶辐射之间的检测到的强度不对称ΔI由下式给出:
其中K是依赖于工艺的比例因子(一次谐波比例常数),X是目标的周期性结构(例如,上部或顶部光栅)相对于其覆盖的目标的周期性结构(例如,下部或底部光栅)的横向移位,P是周期性结构的节距。因此,可以使用如上所述的2个偏差的周期性结构,从测量的强度不对称来获得的2个重叠的周期性结构之间的套刻(OV)。
但是现在,考虑到不完美对称的目标。并且更具体地,描述了目标的不对称变形的下部周期性结构(例如,底部光栅)的实施例。然而,本文中描述的技术可以应用于目标的其他或附加类型的结构不对称,诸如目标的上部周期性结构(例如,顶部光栅)中的结构变形。
参考等式(2),结构不对称在该等式中引入了2个附加项。第一项是与测量的强度不对称的K常数的偏移Kos。第二项是对于横向移位X的位置偏移Xos。因此,在存在目标的结构不对称的情况下,检测到的强度不对称ΔI变为:
附加项Kos和Xos是依赖于堆叠的(例如,依赖于覆盖目标中的一个或多个周期性结构的材料,在目标中的一个或多个周期性结构下面的材料,或形成目标中的一个或多个周期性结构的材料)和依赖于配方的(例如,依赖于光学测量的一个或多个参数,诸如波长、偏振等)。
图9示出了目标的结构不对称的影响,例如图7(d)所示的下部周期性结构的结构不对称。“理想”正弦曲线702不再适用。相反,至少近似地,结构不对称具有将偏移Kos添加到不对称值A或ΔI的效果,该不对称值A或ΔI在所有套刻值上相对恒定。此外,至少近似地,结构不对称具有将偏移Xos添加到横向移位值的效果,其中该偏移的影响在图9中表示为Xos/P,横向移位值在图9中表示为XG/P,其中XG是横向移位(在等式(2)和(3)中表示为X),P是节距。得到的曲线在图9中示出为712。
此外,首先,附加项Kos和Xos通常是未知的。因此,需要确定它们或其他相关的不对称度量。
偏移项Kos可以由一种或多种各种技术来确定。例如,对于目标的下部周期性结构,其可以在下部周期性结构之上提供覆盖层(诸如上部周期性结构)之前使用本文中描述的技术来直接测量。
作为另一示例,可以使用例如具有三个更多不同偏差值的复合周期性结构的测量来计算偏移项Kos。也就是说,通过这样的计算,可以将Kos项与等式(3)中的K项分开。在PCT专利申请公开号WO2013/143814、美国专利申请公开号2013/0258310和欧洲专利申请号13194522.2中描述了这种确定的示例,其每个的全部内容通过引用并入本文。例如,可以使用具有偏差-d、+d和零偏差或0.5P偏差的至少3个偏差的复合周期性结构来测量偏移项Kos。作为另一示例,可以使用具有偏差-d、+d、-d+0.5P和+d+0.5P的至少4个偏差的复合周期性结构来测量偏移项Kos
挑战在于确定偏移Xos,因为该项被添加到实际移位X。因此,在没有一些上下文信息的情况下,实际上不可能去除这些项。
因此,在实施例中,具有重叠的周期性结构的目标堆叠在计算模拟器中被定义,计算模拟器利用模拟器中定义的辐射光束模拟目标的光学测量,即重叠的周期性结构。因此,根据多个参数pi(p1、p2、p3等)建立目标的参数化模型。这些参数可以表示例如1D周期性结构中的侧壁的角度、特征的高度或深度、特征的宽度等。目标材料和下层/覆盖层的属性也由诸如(在测量辐射光束中存在的特定波长处的)折射率等参数表示。此外,可以定义与测量光束和测量相关联的参数,诸如波长、偏振等。因此,虽然目标可以被数十个参数定义,但是模型将会定义这些中的许多参数以具有确定的值,而其他参数则是可变的或“浮动的”参数。此外,一些浮动参数可以被允许改变而不是完全独立的浮动参数。
此外,在该模型中,与测量配方的值(即,与测量光束和测量相关联的参数,诸如波长、偏振等)一起,参数被提供,目标的周期性结构的标称尺寸、任何材料的标称值(例如折射率等)等,并且然后在模拟中被处理。在实施例中,标称值可以包括测量数据。例如,测量数据可以包括使用度量设备的光学测量,并且标称值根据这种测量来重建。在模拟中,表示形状的参数以及模型的不同元素的光学属性用于计算目标的散射属性,例如使用严格的光学衍射方法,诸如RCWA或任何其他麦克斯韦等式求解器。这给出了目标的估计或模型衍射图案。
此外,在模拟中,上部周期性结构相对于下部周期性结构的与X=0相对应的位置被定义,即使结构不对称可能使这种位置被不精确地限定。在实施例中,用户(例如,器件制造商)可以基于例如工艺的物理解释或模型或其他方法(诸如与电套刻测量或装置良率的相关性)来给出用以定义该位置的输入。该位置可以例如通过预期结构形状(没有任何工艺引起的不对称)的知识来定义。在实施例中,用户可以用位置的不同定义重新运行模拟。该位置可以被定义(或重新定义),使得来自目标的测量结果在器件设计的公差之内。也就是说,例如,该位置可以被定义(或重新定义),使得套刻误差至少在器件设计的套刻预算内,期望地在套刻预算内。
一旦定义了对应于X=0的位置,模拟器在实施例中针对给定目标堆叠以及针对周期性结构的一个或多个给定结构不对称而计算ΔI值。因此,在实施例中,可以根据在检测器上的像素处确定的正负第一衍射阶分量之间的强度增量(例如,在光瞳面中或在图像平面中)来计算不对称引起的误差。在光瞳面处有检测的情况下,可以标识即使是少量的不对称也具有相对大的不对称引起的误差的像素;这些像素可以从整个光瞳面的不对称引起的误差的计算中排除。可以通过数值计算来计算不对称引起的误差,以模拟具有不对称性的模型上的特定测量设备测量。这种计算的实施例在美国专利申请公开2006/0033921中公开,其全部内容通过引用并入本文。此外,可以在不同的横向移位(X的不同值)处计算不对称引起的误差,以解决在这种不同横向位移处的不对称引起的误差的差异。例如,参见美国专利申请公开2012/0013881,其全部内容通过引用并入本文。
因此,模拟器可以将一个或多个特定结构不对称应用于给定的目标堆叠以产生ΔI的值,其然后可以用于计算(使用例如等式(3))由特定的结构不对称引起的Kos和Xos的值。
此外,术语Kos和Xos通过比例因子G彼此相关(例如,线性相关)
Xos=G×Kos (4)
也就是说,可以从模拟中根据Kos和Xos的计算值来确定比例因子G。
因此,如果实际目标的堆叠的性质是已知的,并且实际目标的结构不对称的“类型”是已知的,则可以确定来自模拟的G的特定相关值,其与实际目标相关并且对实际目标有效。利用来自模拟的G值,等式(4)可以用于根据与实际目标相关的测量的不对称偏移Kos计算实际目标的位置移位Xos,该Kos值可以使用例如一种或多种上述技术来计算或测量。
因此,存在至少两个进一步的考虑,以从与实际目标相关的模拟(实际目标的堆叠的性质和实际目标的结构不对称的“类型”)得到G的适当值。例如,不对称的实际“类型”(例如,形状)通常不是先验知道的。结构不对称可以是例如侧壁角度不对称、底面角度不对称、顶面角度不对称、某种其他类型的不对称或其任何组合。在分段周期性结构的情况下(例如,光栅具有周期性线和间隔,其中线本身在例如与周期方向正交的方向上进一步分割),还可能需要考虑不同分段之间的不对称(不平衡)的可能性。
因此,在实施例中,根据实际目标的测量来重构目标的不对称(不对称模型)的“类型”。例如,每种类型的不对称根据波长和偏振而具有Kos和/或套刻的值的特定“指纹”。因此,对于各种测量配方(例如,各种波长和/或偏振(期望地也在衬底上的各个位置))测量实际目标的Kos和/或套刻的值使得将这些测量能够与“指纹”进行比较以确定哪个“指纹”与测量的Kos和/或套刻变化最佳拟合,并且从而标识结构不对称类型。
图10(A)示出了描绘针对FinFet堆叠中的两种不同类型的结构不对称(由线1100所示的侧壁角度结构不对称和由线1102所示的间隔物不平衡结构不对称)的作为TE偏振的波长的函数的Kos变化的示例图。图10(B)示出了侧壁角度不对称的示例,其中特征的侧壁并非如预期那样是竖直的。侧壁角度不对称可以例如由蚀刻步骤引起。图10(C)示出了间隔物不平衡的示例,其中至少一对特征之间的间隔不同于至少另一对特征之间的间隔。间隔物不平衡可以例如由间隔物图案化步骤引起。
从图10(A)可以看出,这两种类型的结构不对称在波长范围内具有显著不同的变化。这意味着多波长测量可以通过将这些多波长测量与“指纹”进行比较来标识结构不对称的类型,以找到最佳拟合来标识实际目标中的结构不对称的“类型”。通过对结构不对称的“类型”的知识,可以使用例如等式(4),使用来自针对结构不对称的确定的“类型”产生的模拟的Kos和Xos的值来导出G的值。
如将理解的,可以针对不同的偏振、照射模式等和/或针对其它结构不对称获得“指纹”的数据。因此,在实施例中,可以存在不同特定结构不对称及其组合的“指纹”库,其中“指纹”例如是数据的集合或者表示数据的构造、表示数据的这样的公式、表示数据的图形线等。虽然针对结构不对称的特定种类(例如,侧壁角度、间隔物不平衡等)描述了“指纹”,但是“指纹”也可以表示不同种类的结构不对称的组合(例如,侧壁角度和间隔物不平衡二者)。因此,结构不对称性的类型并不局限于一种结构不对称,尽管在实践中,结构不对称性趋向于是一种结构不对称,或由一种结构不对称所主导。
此外,如上所述,实际堆叠的性质可能不是以足够的精度已知的。由于工艺变化(例如,由于目标通常共享器件的材料层,器件开发的研发阶段自然诱发或故意引发),目标的实际堆叠可能不同于模拟中使用的标称堆叠。换句话说,堆叠可能有变化。
因此,在实施例中,确定在光学测量的哪一个或多个配方处比例因子G对于工艺变化具有低敏感度(例如,最不敏感),该配方是光学测量的波长、偏振等的设置。这意味着,使用该配方,即使在存在堆叠变化的情况下,也可以根据该配方的测量的Kos来精确地计算不对称引起的位置偏移Xos
工艺变化可以包括选自以下中的一种或多种:堆叠材料的变化(例如,折射率的变化)、材料厚度的变化(例如,覆盖材料的变化)、结构不对称的大小的变化等。在实施例中,工艺变化可以是除了结构不对称的类型本身之外的堆叠的任何变化,因为特定工艺的结构不对称的类型通常保持相当恒定但是结构不对称的大小的变化(例如,侧壁倾斜角度变化、间隔物不平衡的宽度变化等)可能发生。
为了确定G对工艺变化的敏感度,从等式(4)可以看出,根据以下等式,位置偏移Xos的变化ΔXos由比例因子G的工艺引起的变化ΔG与测量的Kos的误差ΔKos的组合引起:
ΔXos=ΔG×Kos+G×ΔKos (5)
尽管第二项G×ΔKos与测量误差有关,并且可以通过例如求平均或者一个或多个其它测量误差校正技术进行校正,但是第一项ΔG×Kos需要被评估以确定对于哪个配方比例因子G对于工艺变化具有低敏感度(例如,最小敏感度)。为此,在对工艺变化的模拟中,评估项ΔG×Kos。也就是说,在用于各种不同的光学测量配方的模拟中进行工艺参数的扰动(例如,根据蒙特卡罗方法的扰动)。图11(A)描绘了示出针对在模拟中建模的并且在模拟中受到工艺变化扰动的典型FinFET堆叠的作为光学测量配方的波长λ的函数的ΔG·Kos(任意单位)的模拟值的示例图。可以看出,在约720nm的波长处,由于堆叠变化引起的位置误差的变化是最小的。因此,即使在存在堆叠误差的情况下,可以从该配方处的测量的Kos和来自模拟器的G的值精确地计算不对称引起的位置偏移Xos
作为比较,图11(B)描绘了作为波长的函数的标称堆叠敏感度的示例图。堆叠敏感度可以被理解为随着套刻由于目标(例如,诸如光栅的周期性结构)层之间的衍射而改变,信号强度的变化有多大的测量。从图11(B)可以看出,出于不对称引起的位置偏移的目的,对于工艺变化最为鲁棒的波长不一定是具有最高堆叠敏感度的波长。因此,如果光学测量配方的波长针对最高的堆叠敏感度进行了优化(为了获得最佳的总测量不确定度(TMU)),则配方将获得良好的TMU,但具有相对较差的不对称鲁棒性。
参考图12,提供了流程图,其示出了根据实施例的确定目标的结构不对称参数并且应用不对称参数的方法。在1200处,获得实际目标的测量数据。这样的数据可以是如本文所述的基于衍射的测量数据。
在1210处,执行堆叠调整处理。在实施例中,评估测量数据以确定实际目标的不对称的类型。在实施例中,确定目标的结构不对称的类型包括:根据光学测量的参数,评估来自目标的测量的套刻的确定值或来自目标的测量的由于不对称而导致的依赖于工艺的比例因子偏移(例如,Kos)的确定值。在实施例中,光学测量的参数包括光学测量的测量光束的波长和/或偏振。在实施例中,评估确定值包括确定以下两者之间的拟合:(i)确定值,或者表示确定值的构造(例如,等式、线等),以及(ii)作为光学测量的参数的函数的套刻或依赖于工艺的比例因子偏移的值的一个或多个指纹集,或者表示值的指纹集的一个或多个指纹构造,每个指纹集或指纹构造表示不同类型的不对称。因此,如上所述,在实施例中,这种评估可以包括将用于各种测量配方(例如,各种波长和/或偏振)的实际目标的套刻或Kos的测量值与作为波长和/或偏振的函数的套刻或Kos的一个或多个“指纹”(其分别标识特定类型的结构不对称)进行比较,以便确定哪个“指纹”与所测量的套刻或Kos变化具有最佳拟合,并且因此标识结构不对称类型。
此外,执行目标的模拟表示的光学测量的模拟以确定与不对称类型相关联的不对称参数(1230)的值。在实施例中,根据模拟来确定使用目标的测量的由于不对称而导致的依赖于工艺的比例因子偏移(例如,Kos)的值以及使用目标的测量的由于不对称而导致的位置偏移(例如,Xos)的值。在实施例中,如上所述,模拟器针对给定目标堆叠以及针对周期性结构的一个或多个给定结构不对称而计算ΔI值。也就是说,模拟器可以将一个或多个特定的结构不对称应用于给定的目标堆叠以产生ΔI的值,其然后可以用于(使用例如等式(3))计算由特定结构不对称引起的Kos和Xos的值。在实施例中,对于指定的不对称,覆盖的周期性结构的光学测量的模拟被设置为零的横向移位。因此,所确定的不对称类型可以用于定义模拟的给定的结构不对称或从多个模拟结构不对称中选择期望的数据。
因此,根据Kos和Xos的值,可以确定不对称参数(1230),例如比例因子G,其将使用目标的测量的由于不对称而导致的位置偏移(例如Xos)与使用目标的测量的由于不对称而导致的依赖于过程的比例因子偏移(例如Kos)相关。不对称参数(例如比例因子G)可以用于进行校正,设计目标等。在实施例中,不对称参数可以是使用目标的测量的由于不对称而导致的位置偏移,例如Xos的值。在实施例中,如上所述使用比例因子G以及使用目标的测量的由于不对称而导致的依赖于工艺的比例因子偏移的测量值(例如,Kos的测量值)来确定位置偏移。
在1220处,执行工艺鲁棒性评估。在实施例中,分析不对称参数对与目标相关联的目标形成参数的变化的敏感度。也就是说,在实施例中,确定在光学测量的哪一个或多个配方(配方是光学测量的波长、偏振等的设置)下不对称参数(例如,比例因子G)对目标形成参数的变化(例如,工艺变化)具有低敏感度(例如,最不敏感)。因此,在实施例中,敏感度的分析包括针对不对称参数对于目标形成参数的变化的敏感度的最小值确定光学测量的参数的值,例如光学测量的测量光束的波长和/或偏振。在实施例中,分析包括执行模拟。例如,在对工艺变化的模拟中评估项ΔG×Kos。也就是说,在用于各种不同的光学测量配方的模拟中进行工艺参数的扰动,并且具有例如ΔG×Kos的最低值的配方用于选择实际目标的测量的配方并且根据模拟选择不对称参数,例如G的特定值。
在1230处,提供确定的不对称参数(在这种情况下是比例因子G)用于在目标的设计中的测量的校正等。如由1235所示,可以在将目标用于批量制造之前在准备或开发阶段进行堆叠调整和/或工艺鲁棒性分析。另外地或替代地,可以在批量制造期间执行堆叠调整和/或工艺鲁棒性分析。在这种情况下,测量数据可以来自例如目标的稀疏采样以促进产量。
在1295处,描绘了确定的不对称参数(在这种情况下是比例因子G)用于校正实际目标的测量的示例性使用。也就是说,在实施例中,将确定的不对称参数应用于在大量制造期间进行的目标的测量。在实施例中,测量可以来自目标的密集采样。因此,在实施例中,所确定的不对称参数可以向前馈送到进行对实际目标的测量的工艺。此外,可以将不对称参数(例如比例因子G)对于目标形成参数的变化(例如工艺变化)具有低敏感度(例如最不敏感)的光学测量的配方(配方是光学测量的波长的设置、偏振的设置等)向前馈送到对实际目标的测量的工艺。
在1260处,使用例如不对称参数(例如比例因子G)对目标形成参数的变化(例如工艺变化)具有低敏感度(例如最不敏感)的光学测量的配方1250(配方是光学测量的波长的设置、偏振的设置等)来获得实际目标的测量数据。这样的数据可以是如本文所述的基于衍射的测量数据。使用如在工艺鲁棒性分析1220中确定的不对称参数(例如比例因子G)对目标形成参数的变化(例如工艺变化)具有低敏感度(例如最不敏感)的光学测量配方(配方是光学测量的波长、偏振等的设置)来得到该测量数据。如下所述,测量数据可以包括使用例如具有三个或更多个不同的偏差值的复合周期性结构捕获的测量数据。
在1270处,根据测量数据,确定使用目标的测量的由于不对称而导致的依赖于工艺的比例因子偏移(例如Kos)的值。如上所述,可以使用一种或多种各种技术来确定依赖于工艺的比例因子偏移的值。例如,如上所述,其可以使用例如具有三个或更多个不同偏差值的复合周期性结构的测量数据来确定。
在1280处,使用不对称参数1240(例如比例因子G)来确定结构不对称对实际目标的测量的影响。在实施例中,不对称参数(例如比例因子G)与依赖于工艺的比例因子偏移1270的值组合。也就是说,等式(4)可以用于获得由于结构不对称而导致的位置移位,例如Xos。如果目标的测量是测量实际目标的套刻ov,位置移位转化为实际套刻的变化,例如δova。因此,在1290处,套刻测量可以被校正以解决结构不对称。
某一层的工艺堆叠可能会显著超过标称值。典型的现有目标不能处理工艺堆叠的大变化(即,工艺变化)。此外,使用典型的基于衍射的套刻目标来测量一对层之间的套刻。但是,需要在不仅单个层对之间而且在多个层对之间进行套刻测量。因此,根据实施例,提供了一种衍射度量目标,其包括总体尺寸小的多光栅目标簇(单个光栅簇),但包括一组多设计光栅;为了方便参考,该目标被称为扩展操作范围度量目标。本文中描述的技术可以应用于扩展操作范围度量目标。
对于例如过程开发,来自扩展操作范围度量目标的一个子集合的光栅可以用于某个工艺堆叠条件,而来自扩展操作范围度量目标的另一子集合的光栅可以用于另一工艺堆叠条件,因此能够解决工艺堆叠的显著变化。替代地或另外地,对于例如多层套刻,来自扩展操作范围度量目标的一个子集合的光栅可以用于某一层对,而扩展操作范围度量目标的另一子集合可以用于另一层对,从而实现多层套刻。
因此,在显著工艺堆叠变化的情形下(例如无法正确地通过度量目标的特定光栅设计而处理的工艺堆叠的变化),扩展操作范围度量目标允许进行如果对工艺堆叠做出改变则将增加成功测量结果的机会的显著不同设计(所有在目标的合理尺寸内)。这将由于存在积极主动地预期工艺堆叠变化的不同设计而增加首次测量成功的机会。并且,在多套刻测量的情形下,扩展操作范围度量目标允许在一个测量序列中测量多层之间的套刻。即,在实施例中,可以在一个测量序列中测量多个层对,并且在实施例中,可以同时检测多个层对的衍射数据。
通过在扩展操作范围度量目标中具有不同设计的光栅,可以通过其中具有光栅的不同设计集合的单个度量目标来处理在工艺堆叠和/或多层中的显著变化。因此,可以大大降低针对每个不同单独目标而产生不同图案化装置(例如掩模)的成本和/或测量时间的成本。进一步,通过扩展操作范围度量目标的相对较小尺寸,可以大大减小用于多个不同单独目标的目标“占地面积”(即,用于容纳这些单独层对目标的图案化装置图案上的可用间隔)的成本以及由于增大的测量时间导致的生产量的成本。因此,扩展操作范围度量目标可以将所有这些多个目标置于单个目标簇内,该单个目标簇从占地面积的角度看足够小,并且与多个单独目标相比在测量时间方面也更受欢迎。
参照图13,示出了扩展操作范围度量目标800的实施例。扩展操作范围度量目标800包括多个子目标,在该示例中为四个衍射子目标802、804、806、808。如将理解的,可以提供不同数目的子目标。例如,可以仅提供两个子目标。替代地,可以提供三个、五个、六个、七个、八个等子目标。在实施例中,每个子目标802至808通过间隙820与相邻子目标分隔。在实施例中,间隙是200nm或更大,250nm或更大,350nm或更大,500nm或更大,750nm或更大,或者1μm或更大。间隙便于子目标的重构使得它们可以被单独地标识。进一步,间隙可以有助于防止从一个子目标延伸到另一子目标之上的衍射的串扰。
每个子目标包括周期性结构。在实施例中,每个子目标包括至少一对周期性结构。在实施例中,每个子目标包括至少两对周期性结构。在实施例中,在子目标中的周期性结构的特征(例如线条)沿相同方向延伸。在实施例中,子目标的至少一个周期性结构可以具有沿基本上与子目标的另一周期性结构的线条所延伸的方向垂直的方向延伸的线条。在实施例中,一个子目标的周期性结构的特征所延伸的方向可以不同于另一子目标。
在实施例中,如图13所示,每个子目标具有第一对周期性结构810和第二对周期性结构812,第一对周期性结构810具有沿第一方向延伸的线条,并且第二对周期性结构812具有沿基本上垂直于第一方向的第二方向延伸的线条。在该示例中,每个子目标802至808具有与图4的目标类似的总体布局。即,每个子目标具有第一对光栅和第二对光栅,第一对光栅具有在相对的角落中沿X方向延伸的线条,并且第二对光栅具有在相对的角落中沿Y方向延伸至第一对光栅的线条。然而,子目标的布局可以不同于图13所示的布局。例如,周期性结构的位置可以不同。作为另一示例,一对周期性结构的长度和/或宽度可以不同于另一对周期性结构的长度和/或宽度。
子目标802至808具有如此尺寸以使得它们可以完全或至少部分地安装在与图4的目标相同的连续区域内。例如,扩展操作范围度量目标800可以具有等于或在25μm×25μm内、等于或在20μm×20μm内、等于或在16μm×16μm内、等于或在12μm×12μm内、等于或在10μm×10μm内、或者等于或在8μm×8μm内的外部尺寸。在实施例中,每个子目标的至少部分在衬底上的某一尺寸的连续区域内。在实施例中,多个子目标的每个周期性结构的至少部分在衬底上的某一尺寸的连续区域内。在实施例中,多个子目标的每个周期性结构在衬底上的某一尺寸的连续区域内。在实施例中,该某一尺寸小于或等于1000μm2,小于等于900μm2,小于或等于800μm2,小于或等于700μm2,小于或等于600μm2,小于或等于500μm2,小于或等于450μm2,小于或等于400μm2,小于或等于350μm2,小于或等于300μm2,小于或等于250μm2,小于或等于200μm2,小于或等于150μm2,或者小于或等于100μm2。在实施例中,子目标802至808的周期性结构中的每个不小于约3μm×3μm,或者不小于约4μm×4μm。在实施例中,子目标802至808的周期性结构中的每个不小于约9μm2或者不小于约16μm2
在实施例中,每个子目标的至少部分在衬底上的测量光斑的区域内(例如在测量光斑的宽度内)。在实施例中,多个子目标的每个周期性结构的至少部分在衬底上的测量光斑的区域内(例如在测量光斑的宽度内)。在实施例中,多个子目标的每个周期性结构在衬底上的测量光斑的区域内(例如在测量光斑的宽度内)。在实施例中,测量光斑具有如下的宽度(例如直径):约35μm或更小,约30μm或更小,约25μm或更小,约20μm或更小,约15μm或更小,或者约10μm或更小。因此,在实施例中,可以在一个测量序列中测量多个子目标,并且在实施例中,可以同时检测多个子目标的衍射数据。
类似于图4的目标,多个子目标至少部分地覆盖另一周期性结构(仅为了清楚起见在图13中未示出其他周期性结构)。在实施例中,子目标802至806中的每个至少部分地覆盖相应的周期性结构。在实施例中,第一扩展操作范围度量目标800覆盖第二扩展操作范围度量目标800。在该情形下,第一扩展操作范围度量目标800的多个子目标802至806中的每个将覆盖第二扩展操作范围度量目标800的相应的子目标802至806。在实施例中,第一扩展操作范围度量目标800可以在一个层中,而第二扩展操作范围度量目标800可以在另一层中。在实施例中,第一扩展操作范围度量目标800可以在一个层中,而第二扩展操作范围的度量目标800的多个子目标中的每个可以在不同的层中。
进一步,除了在单个布局内制造多个子目标之外,针对(a)不同的工艺条件、和/或(b)用于多层套刻的不同层对来设计多个子目标中的每个。换言之,在实施例中,多个子目标的第一子目标802具有与多个子目标的第二子目标804不同的设计。在实施例中,子目标802至808中的每个可以具有不同的设计。在实施例中,多个子目标的两个或更多个子目标802、808可以具有与多个子目标的两个或更多个其他子目标804、806不同的设计。
参照图14,示出了具有针对不同工艺条件设计的多个子目标的(图13的设计的)扩展操作范围度量目标900、902的示例的使用。为了便于参考,在图14中以一行示出了子目标802、804、806、808。如从图13的布局将理解的,图14中的子目标806、808实际上会分别位于图14中的子目标802、804的“前面”或“后面”,即,分别在纸面内或外。进一步,在该实施例中,第一扩展操作范围度量目标900在一个层处,而第二扩展操作范围度量目标902在另一层处。即,在图14中,第一扩展操作范围度量目标900的子目标802、804、806、808中的每个在顶层处,而第二扩展操作范围度量目标902的子目标802、804、806、808中的每个在第一扩展操作范围度量目标900下方的单个层中,使得第一扩展操作范围度量目标900的子目标802、804、806、808中的每个至少部分地覆盖第二扩展操作范围度量目标902的相应的子目标802、804、806、808。
在图14的示例中,针对不同的工艺堆叠设计子目标802、804、806、808中的每个。在该示例中,针对具有100nm的第一层904和100nm的第二层906的工艺堆叠而设计子目标802,针对具有100nm的第一层904和110nm的第二层906的不同工艺堆叠而设计子目标804,针对具有110nm的第一层904和110nm的第二层906的不同工艺堆叠而设计子目标806,以及针对具有120nm的第一层904和110nm的第二层906的工艺堆叠而设计子目标808。如将理解的,不同工艺堆叠的条件可以不同于在该示例中使用的那些。例如,工艺条件可以是除了层厚度之外的其他。其他工艺条件可以包括折射率、层材料、刻蚀速率、烘焙温度、曝光聚焦、曝光剂量等。进一步,尽管在该实施例中,扩展操作范围度量目标900与其所覆盖的相关扩展操作范围度量目标902不同地设计(例如在图14中,扩展操作范围度量目标902中的光栅线条被分割,而扩展操作范围度量目标900中的那些不被分割),扩展操作范围度量目标900和扩展操作范围度量目标902可以相同。进一步,尽管能够成功地在图14中测量4个不同工艺堆叠,但是可以存在能够成功地被测量的不同数目的工艺堆叠。
在设计差异方面,在实施例中,差异是在子目标802、804、806、808中的至少一个与子目标802、804、806、808中的另一个之间的周期性结构的节距的差异。在实施例中,从100nm至1000nm的范围选择节距。在实施例中,设计差异是在子目标802、804、806、808中的至少一个与子目标802、804、806、808中的另一个之间的周期性结构的特征(例如线条)或间隔宽度的差异。在实施例中,设计差异是在子目标802、804、806、808中的至少一个与子目标802、804、806、808中的另一个之间的周期性结构的特征分割的差异(例如虚线,而不是实线)。在实施例中,设计差异是在子目标802、804、806、808中的至少一个与子目标802、804、806、808中的另一个之间的周期性结构的偏差(例如量和/或方向)的差异。在实施例中,在1nm至60nm的范围中选择偏差。箭头示出偏差方向的实施例。诚然,不需要偏差。在实施例中,设计差异是覆盖的扩展操作范围度量目标之间的线条或间隔宽度的差异(例如在“顶部和底部CD”的差异),例如第一扩展操作范围度量目标的子目标802、804、806、808中的至少一个的线条或间隔宽度不同于覆盖的第二扩展操作范围度量目标的子目标802、804、806、808中的至少一个的线条或间隔宽度。在实施例中,设计差异是子目标802、804、806、808与它们相关联的周期性结构的布局的差异。在实施例中,设计差异是在子目标802、804、806、808中的至少一个与子目标802、804、806、808中的另一个之间用于测量光束的最优波长的差异。如果相同波长测量配方用于子目标802、804、806、808中的每个,则可以优化子目标802、804、806、808以接受在每个子目标上的最小性能损失。或者,在实施例中,多个波长可以用于多个子目标,或者波长可以分离出施加至子目标的宽带辐射。如将理解的,可以使用设计参数的组合。
因此,在实施例中,在第一示例中可以将扩展操作范围度量目标900、902提供至具有子目标802的特性的工艺堆叠,即,具有100nm的第一层904和100nm的第二层906的工艺堆叠。因此,当进行对那些扩展操作范围度量目标900、902的测量时,来自子目标802的测量结果将对于该工艺堆叠是良好的,而来自子目标804、806和808的测量结果将不会如此。但是,便利地,在第二示例中可以将相同的扩展操作范围度量目标900、902提供至具有子目标804的特性的工艺堆叠,即,具有100nm的第一层904和110nm的第二层906的工艺堆叠。因此,当在该不同的工艺堆叠中进行对那些扩展操作范围度量目标900、902的测量时,在该情形下来自子目标804的测量结果将对于该工艺堆叠是良好的,而来自子目标802、806和808的测量结果将不会如此。
为了确定测量结果是否良好,可以使用一个或多个不同技术。例如,在如上所述的第一示例中,可能根本没有来自子目标804、806和808的任何或显著较弱的测量结果,因为它们是无法有效测量的。在另一示例中,可以针对每个子目标测量残差(例如套刻残差),并且子目标之一的较低或最低残差可以表示来自子目标的测量结果是良好的。在另一示例中,可以通过另一工艺来测量相同参数(例如套刻)。作为示例,可以执行电测试以确定参数的值,并且具有最接近由电测试所测量的值的子目标可以表示来自子目标的测量结果是良好的。
参照图15,示出了针对多层套刻具有多个子目标的(图13的设计的)扩展操作范围度量目标1000、1002的示例的使用。为了便于参考,在图15中以一行示出了子目标802、804、806、808。如从图13的布局将理解,图15中的子目标806、808将实际上分别位于图15中的子目标802、804的“前面”或“后面”,即,分别在纸面内或外。进一步,在该实施例中,第一扩展操作范围度量目标900在一个层处,而第二扩展操作范围度量目标902在不同层中具有多个子目标中的每个。即,在图15中,第一扩展操作范围度量目标900的子目标802、804、806、808中的每个在顶层处,并且第二扩展操作范围度量目标902的子目标802、804、806、808中的每个在第一扩展操作范围度量目标900下方的不同层中,使得第一扩展操作范围度量目标900的子目标802、804、806、808中的每个至少部分地覆盖第二扩展操作范围度量目标902的相应的子目标802、804、806、808。
在图15的示例中,针对不同层而设计子目标802、804、806、808中的每个。在该示例中,设计子目标802以用于测量针对顶层和层1010的第一层对的套刻,设计子目标804以用于测量针对顶层和层1008的第二层对的套刻,设计子目标806以用于测量针对顶层和层1006的第三层对的套刻,以及设计子目标808以用于测量针对顶层和层1004的第四层对的套刻。尽管在该示例中每个子目标测量不同的层对,但是在实施例中,子目标中的两个或更多个可以测量第一层对,而一个或多个其他子目标可以测量第二层对。进一步,尽管能够在图15中测量4个不同层对,但是可以存在不同数目的能够被测量的层对。
在该实施例中,第一扩展操作范围度量目标900的子目标802、804、806、808中的每个具有相同的设计,并且第一扩展操作范围度量目标900的子目标802、804、806、808在设计方面与第二扩展操作范围度量目标902的子目标802、804、806、808相同。然而,如上所述,第二扩展操作范围度量目标902的子目标802、804、806、808中的两个或更多个在不同的层中,而仍然位于第一扩展操作范围度量目标900的下方。在实施例中,第一扩展操作范围度量目标900的子目标802、804、806、808中的一个或多个可以具有与第一扩展操作范围度量目标900的子目标802、804、806、808中的另外一个或多个不同的设计。在实施例中,第一扩展操作范围度量目标900的子目标802、804、806、808中的一个或多个可以具有与第二扩展操作范围度量目标902的子目标802、804、806、808中的一个或多个不同的设计。
在实施例中,由于子目标802、804、806、808中的每个在扩展操作范围度量目标中的位置,可以容易地形成针对每个具体不同层对的套刻。此外,由于扩展操作范围度量目标针对每个不同层对具有子目标802、804、806、808,可以在一个测量序列中进行对多个不同层对的测量,例如,可以一次性捕获关于不同层对中的每个的衍射信息。替代或除了单独地使用每个不同层对的测得的套刻值之外,使用子目标802、804、806、808的测量的平均、中位或其他统计值可以用于工艺控制。这在由于它们的小型而存在对于子目标802、804、806、808中的一个或多个的特定可靠性的关心的情况下可能是有用的。统计值可以帮助消除异常。
因此,扩展操作范围度量目标可以在例如工艺开发阶段和多层套刻测量中打开与度量目标一起工作的新方法。在先进的节点(例如,困难和变化的工艺和/或用于多图案化(例如,双重图案化)的多层)中,器件设计者和制造商正在动态地改变工艺堆叠和/或使用多个层,并且期望度量将工作。扩展操作范围度量目标可以向度量测量带来更多的工艺鲁棒性,并且增加在相对未知的工艺堆叠上度量的首次成功的机会。例如,如果扩展操作范围度量目标的每个子目标的至少部分在测量光斑的区域内,则可以实现来自测量速度的益处。如果是这样,扩展操作范围度量目标可以增加例如在工艺条件可能未知的工艺堆叠上度量首次成功的机会。此外,扩展操作范围度量目标可以使得能够以在目标“占用面积”、图案化装置制造和/或产量方面的成本降低来快速测量多层和/或处理工艺堆叠的显著变化。而且,扩展操作范围度量目标可以在使用现有的度量设备的开发和/或制造现场处被使用,并且不需要改变传感器硬件。
在实施例中,提供了一种用于设计度量目标的系统和方法。在实施例中,度量目标应该适合于预期的不同的工艺堆叠和/或期望的测量。此外,度量目标应该能够覆盖典型的工艺变化(与不同工艺堆叠的显著差异不同)。因此,在实施例中,采用设计方法来帮助确保度量目标的鲁棒性。也就是说,可以通过使用工艺堆叠信息的计算和/或模拟来设计包括其相关联的周期性结构的度量目标,以帮助确保度量目标的鲁棒性。例如,对于用于不同工艺堆叠的扩展操作范围度量目标,可以针对与关联于子目标的特定不同工艺堆叠相关联的预期的典型工艺变化而确定扩展操作范围度量目标的每个子目标的鲁棒性。
如上所述,所提出的度量目标设计可以经受测试和/或模拟以便确认它们的适用性和/或可行性,均从可印刷性和可检测性角度来看。在商业环境中,良好的套刻掩模可检测性可以视作是低的总测量不确定性以及短的移动-获取-移动时间的组合,因为缓慢获取对于生产线的总生产量是有害时。现代基于微衍射的套刻目标(μDBO)可以在一侧上在10至20μm的量级,其与诸如在监控器衬底的上下文中所使用的那些的40×160μm2目标相比,提供固有的低检测信号。
另外地,一旦已经选择了满足以上准则的度量目标,存在可检测性将相对于典型工艺变化而改变的可能性,典型工艺变化诸如薄膜厚度变化、各种刻蚀偏差、和/或由刻蚀和/或抛光工艺诱发的几何非对称性。因此,可能有用的是选择这样的目标,其具有与各种工艺变化对比的低可检测性变化和低套刻/对准变化。同样地,将用于制造待成像的微电子器件的具体机器的指纹(印刷特性,包括例如透镜像差)将通常影响度量目标的成像和制造。因此可能有用的是确保度量目标对指纹效应有抵抗力,因为一些图案将或多或少受到特定光刻指纹的影响。
因此,在实施例中,提供了一种用于设计度量目标的方法。在实施例中,期望模拟各种度量目标设计,以便确认一个或多个所提出的度量目标设计的适用性和/或可行性。
在用于模拟包括光刻和度量目标的制造工艺的系统中,可以通过例如图16所示的各个功能模块来描述主要制造系统部件和/或工艺。参照图16,功能模块可以包括设计布局模块1300,其限定度量目标(和/或微电子器件)设计图案;图案化装置布局模块1302,其限定如何基于目标设计以多边形来布局图案化装置图案;图案化装置模型模块1304,其对在模拟过程期间将要采用的像素化和连续色调图案化装置的物理属性建模;光学模型模块1306,其限定光刻系统的光学部件的性能;抗蚀剂模型模块1308,其限定在给定工艺中所采用的抗蚀剂的性能;工艺模型模块1310,其限定抗蚀剂显影之后工艺(例如刻蚀)的性能;以及度量模块1312,其限定与度量目标一起使用的度量系统的性能以及因此在与度量系统一起使用时的度量目标的性能。一个或多个模拟模块的结果(例如预测轮廓和CD)在结果模块1314中提供。
在光学模型模块1306中捕获照射和投影光学器件的属性,包括但不限于NA-西格马(σ)设置以及任何特定照射源形状,其中σ(或西格马)是照射器的外侧径向范围。涂覆在衬底上的光致抗蚀剂层的光学属性,即,折射率、薄膜厚度、传播和偏振效应,也可以被捕获作为光学模型模块1306的一部分,而抗蚀剂模型模块1308描述在抗蚀剂曝光、后曝光烘焙(PEB)和显影期间发生的化学过程的效果,以便预测例如形成在衬底上的抗蚀剂特征的轮廓。图案化装置模型模块1304捕获在图案化装置的图案中如何布局目标设计特征,并且可以包括图案化装置的详细物理属性的表达,如例如美国专利号7,587,704中所述。模拟的目的在于精确地预测例如边缘布置和CD,其可以随后与目标设计比较。目标设计通常被限定作为预OPC图案化装置布局,并且将以诸如GDSII或OASIS等标准化数字文件格式而提供。
通常,光学和抗蚀剂模型之间的连接是抗蚀剂层内的模拟的航空图像强度(其起源于辐射投影至衬底上)、抗蚀剂界面处的折射、以及抗蚀剂薄膜堆叠中的多次反射。辐射强度分布(间隔图像强度)通过吸收光子而转化为潜在的“抗蚀剂图像”,其进一步通过扩散工艺和各种负载效应而被修改。对于全芯片应用来说足够快的有效模拟方法通过2维航空(和抗蚀剂)图像来逼近抗蚀剂堆叠中的逼真的3维强度分布。
因此,模型公式描述了整个工艺的大多数(如果不是全部)已知物理和化学,并且每个模型参数期望地对应于不同的物理或化学效应。模型公式因此设置模型多好地可以用于模拟整个制造工艺的上限。然而,有时模型参数可以与测量和读取误差不准确,并且可能在系统中存在其他缺陷。利用模型参数的精确校准,可以进行非常精确的模拟。
在制造工艺中,各个工艺参数的变化对于可以忠实地反映器件设计的合适的目标的设计具有显著影响。这些工艺参数包括但不限于侧壁角度(由刻蚀或显影工艺确定)、(器件层或抗蚀剂层的)折射率、(器件层或抗蚀剂层的)厚度、入射辐射的频率、刻蚀深度、地平倾斜、针对辐射源的消光系数、涂层非对称性(针对抗蚀剂层或器件层)、在化学机械抛光工艺期间侵蚀的变化等等。
度量目标设计可以由诸如例如目标系数(TC)、堆叠敏感度(SS)、套刻影响(OV)等各种参数来表征。堆叠敏感度可以理解为当套刻由于目标(例如光栅)层之间的衍射而改变时信号的强度改变多少的测量。目标系数可以理解为作为通过测量系统的光子收集中的变化的结果而针对特定测量时间的信噪比的测量。在实施例中,目标系数也可以认为是堆叠敏感度与光子噪声的比率;即,信号(即,堆叠敏感度)可以除以光子噪声的测量以确定目标系数。套刻影响根据目标设计测量套刻误差的改变。
在此描述的是一种限定度量目标设计以用于在例如度量系统模拟中或在目标制造工艺模拟中(例如包括使用光刻工艺曝光度量目标,显影度量目标,刻蚀目标等)使用的计算机实现的方法。在实施例中,可以指定针对目标的一个或多个设计参数(例如,几何尺寸)并且可以针对一个或多个设计参数指定其他离散值或者值的范围。进一步,在相同层或不同层中,基于例如目标被期望的光刻工艺,用户和/或系统可以对一个或多个设计参数施加一个或多个约束(例如在间距与间隔宽度之间的关系,对于间距或间隔宽度的限制,特征(例如线条)宽度(CD)与间距之间的关系(例如特征宽度小于间距)等)。在实施例中,一个或多个约束可以是关于已经为其指定了离散值或值的范围的一个或多个设计参数、或者在一个或多个其他设计参数。
图17示意性地示出了根据实施例的限定度量目标设计的计算机实现的方法。该方法在框B1处包括针对度量目标的多个设计参数(例如几何尺寸)中的每个提供值的范围或多个值。
在实施例中,度量目标设计系统的用户可以指定用于度量目标的一个或多个设计参数(例如几何尺寸)。例如,用户可以指定扩展操作范围度量目标被期望,并且可以进一步指定扩展操作范围度量目标的子目标的数目。进一步,在实施例中,用户可以针对度量目标的一个或多个设计参数(诸如其一个或多个周期性结构)中的每个而指定(例如,选择)离散值或值的范围。作为示例,对于扩展操作范围度量目标,用户可以针对扩展操作范围度量目标的一个或多个子目标以及子目标的一个或多个周期性结构的一个或多个设计参数中的每个而指定(例如,选择)离散值或值的范围。例如,用户可以针对度量目标选择针对特征(例如线条)宽度、间隔宽度、度量目标的尺寸、节距等的值的范围或集合。在实施例中,其中度量目标包括多个周期性结构(光栅)或者分割的周期性结构(光栅),用户可以选择或提供针对其他设计参数(例如共用节距)的值的范围或者集合。
在实施例中,设计参数可以包括选自以下的任意一个或多个几何尺寸:目标的周期性结构的节距、目标的周期性结构特征(例如线条)宽度、目标的周期性结构间隔宽度,周期性结构的特征的一个或多个分割参数(取决于分割类型沿X和/或Y方向的分割节距/特征宽度/间隔宽度)。进一步,可以针对单个层或多个层(例如两层或者两层加上中间的屏蔽层)规定参数。对于多个层,它们可以共用节距。对于某些度量目标,例如聚焦或对准目标,可以使用其他参数。其他设计参数可以是诸如选自以下的一个或多个的物理限制:针对目标的度量系统中使用的辐射的波长、度量系统中使用的辐射的偏振、度量系统的数值孔径、目标类型、和/或工艺参数。在实施例中,可以提供非均匀和非对称的图案,例如调制的套刻目标和聚焦目标。因此,设计参数可以被改变并且沿特定方向不必是均匀的。
在框B2处,提供了针对度量目标的一个或多个设计参数的一个或多个约束。可选地,用户可以限定一个或多个约束。约束可以是线性代数表达式。在实施例中,约束可以是非线性的。一些约束可以与其他约束相关。例如,特征宽度、节距和间隔宽度相关,使得如果三者中的任何两个已知,可以完全地确定第三者。
在实施例中,用户可以指定对度量目标的面积、尺寸或两者的约束。对于扩展操作范围度量目标,用户可以指定对子目标数目的约束。
在实施例中,约束可以是度量参数约束。例如,在一些度量系统中,系统的物理学可以产生约束。例如,系统中使用的辐射的波长可以约束目标设计的节距,例如下限值。在实施例中,根据度量系统的波长、目标类型和/或孔径对于节距具有(上/下)限值。可以用作约束的物理限制包括选自以下的一个或多个:度量系统中使用的辐射的波长、度量系统中使用的辐射的偏振、度量系统的数值孔径、和/或目标类型。在实施例中,约束可以是工艺参数约束(例如,取决于刻蚀类型、显影类型、抗蚀剂类型等的约束)。在实施例中,约束可以是如本文中描述的不对称参数。
取决于所使用的特定工艺,在实施例中,一个或多个约束可以与在一个层的设计参数(例如几何尺寸)与另一层的设计参数(例如几何尺寸)之间的约束相关。
在框B3处,通过处理器,方法通过在针对设计参数的值的范围或多个值内取样来求解和/或选择具有满足一个或多个约束的一个或多个设计参数的多个度量目标设计。例如,在包括求解的实施例中,可以求解一个或多个潜在度量目标设计。即,可以通过使用例如一个或多个等同约束对于允许的值求解以求出特定值来导出一个或多个潜在度量设计。例如,在包括取样的实施例中,可以由各种设计参数和约束来限定凸多面体。凸多面体的体积可以根据一个或多个规则取样以提供满足所有约束的取样度量目标设计。可以应用一个或多个取样规则以取样度量目标设计。
然而,应该注意的是,并非所有所发现的度量目标设计等同地代表工艺变化。同样地,在实施例中,使用在本文中描述地方法发现的度量目标设计可以在框B4处被进一步模拟,以例如确定一个或多个度量目标设计的可行性和/或适用性。模拟的度量目标设计随后可以在框B5处被评估,以通过例如基于关键性能指标或鲁棒性标准而对它们排序来识别哪一个或多个度量目标设计为最佳或更多地代表了工艺变化。在框B6处,可以选择并使用特定度量设计以例如用于测量。
图18示出了示出其中使用度量目标来监测性能以及作为控制度量、设计和/或生产过程的基础的过程的流程图。在步骤D1中,处理衬底以产生如本文所述的产品特征和一个或多个度量目标。在步骤D2,使用例如图6的方法测量和计算并且可选地使用不对称参数校正光刻工艺参数(例如套刻)值。在步骤D3,可以使用测量的光刻工艺参数(例如套刻)值(连同可能可用的其他信息)来更新度量配方。更新后的度量配方用于重新测量光刻工艺参数,和/或用于在随后处理的衬底上测量光刻工艺参数。以这种方式,提高了计算出的光刻工艺参数的精确度。如果需要,更新过程可以是自动的。在步骤D4中,使用光刻工艺参数值来更新控制光刻图案化步骤和/或器件制造过程中的其他工艺步骤的配方,以用于再加工和/或处理另外的衬底。如果需要,这种更新可以是自动的。
虽然主要在套刻测量的术语中描述了本文中描述的度量目标的实施例,但是本文中描述的测量目标的实施例可以用于测量一个或多个附加的或替代的光刻工艺参数。例如,度量目标可以用于测量曝光剂量变化、测量曝光聚焦/散焦等。
虽然上述目标结构是为了测量的目的而专门设计和形成的度量目标,但是在其他实施例中,可以在作为在衬底上形成的器件的功能部件的目标上测量各属性。许多器件具有类似于光栅的规则的周期性结构。如本文所使用的术语目标的光栅或周期性结构并不要求光栅或周期性结构已经被专门用于正在进行的测量。此外,度量目标的节距P接近于测量工具的光学系统的分辨率极限,但是可以远大于目标部分C中由光刻工艺制成的典型产品特征的尺寸。实际上,套刻周期性结构的特征/或间隔可以被制成包括尺寸与产品特征类似的较小结构。
与在衬底和图案化装置上实现的目标的物理结构相关联,实施例可以包括包含描述目标设计的一个或多个机器可读指令序列和/或功能数据序列的计算机程序,其描述设计用于衬底的目标的方法,描述在衬底上制造目标的方法,描述在衬底上测量目标的方法和/或描述分析测量以获得关于光刻工艺的信息的方法。该计算机程序可以例如在图3的设备中的单元PU和/或图2的控制单元LACU中执行。还可以提供其中存储有这样的计算机程序的数据存储介质(例如,半导体存储器,磁盘或光盘)。在例如图3所示类型的现有度量设备已经在生产和/或使用中的情况下,可以通过提供用于引起处理器执行一个或多个本文描述的方法的更新后的计算机程序产品来实现本发明的实施例。该程序可以可选地布置为控制光学系统、衬底支撑件等以执行在合适的多个目标上测量光刻工艺的参数的方法。该程序可以更新光刻和/或度量配方以进一步测量其他衬底。程序可以被布置为(直接或间接)控制光刻设备用于图案化和处理另外的衬底。
虽然本文中的实施例已经集中在测量套刻的度量目标上,但是这里的描述在适当修改的情况下也适用于使用对准标记的光刻设备中的衬底和/或图案化装置对准。因此,例如,可以用对准传感器直接测量用于对准标记的结构不对称偏移Kos,然后可以使用对准堆叠的模型来确定Kos与由于结构不对称而导致的对准的位置偏移Xos之间的比例因子。类似地,可以确定用于对准测量的适当配方。
此外,本文中已经关于基于衍射的度量描述了实施例,其例如根据衍射阶的强度来测量覆盖的周期性结构的相对位置。然而,本文中的实施例可以在需要时进行适当的修改来应用于基于图像的度量,其例如使用目标的高质量图像测量从层1中的目标1到层2中的目标2的相对位置。通常这些目标是周期性结构或“框”(框中的框(BiB))。
尽管以上已经具体参考了在光学光刻的上下文中的本发明的实施例的使用,应该理解的是本发明可以用于其他应用,例如压印光刻,以及在上下文允许的情况下,不限于光学光刻。在压印光刻中,图案化装置中的拓扑结构限定了形成在衬底上的图案。图案化装置的拓扑结构可以按压至被提供至衬底的抗蚀剂层中,通过施加电磁辐射、热、压力或其组合在衬底上固化抗蚀剂。在抗蚀剂被固化之后将图案化装置移出抗蚀剂。
在此所使用的术语“辐射”和“光束”包括所有类型的电磁辐射,包括紫外(UV)辐射(例如具有作为或者约为365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如具有在5至20nm范围内的波长)、以及粒子束,诸如离子束或电子束。
在上下文允许的情况下,术语“透镜”可以指代各种类型的光学部件的任意一个或组合,包括折射、反射、磁性、电磁和静电光学部件。
具体实施例的前述说明揭示了本发明的实施例的本质,使得他人可以通过应用在本领域内的知识容易地修改和/或适应这些具体实施例以用于各种应用,而不需要过度的试验,不脱离本发明的一般构思。因此,这些适应和修改旨在基于在此所公开的教导和指导而在所公开实施例的含义和等价范围内。应该理解的是在此的短语或术语是为了以示例说明而非限制的目的,使得由本领域技术人员按照教导和指导解释本说明书的术语或短语。
本发明的宽度和范围不应受限于任意以上所述示例性实施例,而是应该仅根据随附的权利要求及其等同物而限定。

Claims (33)

1.一种方法,包括:
根据目标的测量值来确定所述目标的结构不对称的类型;以及
执行所述目标的光学测量的模拟以确定与所述不对称类型相关联的不对称参数的值。
2.根据权利要求1所述的方法,其中所述不对称参数包括使用所述目标的测量的由于所述不对称而导致的位置偏移。
3.根据权利要求1或2所述的方法,其中所述不对称参数包括比例因子,所述比例因子将使用所述目标的测量的由于所述不对称而导致的位置偏移与使用所述目标的测量的由于所述不对称而导致的依赖于工艺的比例因子偏移相关。
4.根据权利要求1至3中的任一项所述的方法,其中确定所述目标的结构不对称的类型包括:根据所述光学测量的参数来评估根据所述目标的测量确定的套刻的值、或者根据所述目标的测量确定的由于所述不对称而导致的依赖于工艺的比例因子偏移的值。
5.根据权利要求4所述的方法,其中所述光学测量的参数包括所述光学测量的测量光束的波长和/或偏振。
6.根据权利要求4或5所述的方法,其中评估确定的值包括确定以下两者之间的拟合:(i)所述确定的值,或者表示所述确定的值的构造,以及(ii)作为所述光学测量的参数的函数的所述套刻或依赖于工艺的比例因子偏移的值的一个或多个指纹集,或者表示所述值的指纹集的一个或多个指纹构造,每个指纹集或指纹构造表示不同类型的不对称。
7.根据权利要求1至6中的任一项所述的方法,还包括分析所述不对称参数对于与所述目标相关联的目标形成参数的变化的敏感度。
8.根据权利要求7所述的方法,其中分析所述敏感度包括针对所述不对称参数对于所述目标形成参数的变化的敏感度的最小值而确定所述光学测量的参数的值。
9.根据权利要求8所述的方法,其中所述光学测量的参数包括所述光学测量的测量光束的波长和/或偏振。
10.根据权利要求7至9中的任一项所述的方法,其中所述分析包括执行模拟。
11.根据权利要求1至10中的任一项所述的方法,其中所述目标包括覆盖的周期性结构。
12.根据权利要求11所述的方法,其中执行模拟包括针对指定的不对称被设置为零的横向移位的覆盖的周期性结构的光学测量的模拟。
13.根据权利要求1至12中的任一项所述的方法,还包括根据所述模拟来确定使用所述目标的测量的由于所述不对称而导致的依赖于工艺的比例因子偏移的值以及使用所述目标的测量的由于所述不对称而导致的位置偏移的值。
14.根据权利要求1至13中的任一项所述的方法,还包括基于所述不对称参数来校正根据所述目标的测量确定的所述目标的套刻或对准值。
15.一种方法,包括:
执行目标的光学测量的模拟以确定与根据所述目标的测量值确定的所述目标的结构不对称的类型相关联的不对称参数的值;以及
分析所述不对称参数对于与所述目标相关联的目标形成参数的变化的敏感度。
16.根据权利要求15所述的方法,其中所述不对称参数包括比例因子,所述比例因子将使用所述目标的测量的由于所述不对称而导致的位置偏移与使用所述目标的测量的由于所述不对称而导致的依赖于工艺的比例因子偏移相关。
17.根据权利要求15或16所述的方法,还包括针对所述不对称参数对于所述目标形成参数的变化的敏感度的最小值而确定所述光学测量的参数的值。
18.根据权利要求17所述的方法,其中所述光学测量的参数包括所述光学测量的测量光束的波长和/或偏振。
19.根据权利要求15至18中的任一项所述的方法,其中所述分析包括执行模拟。
20.根据权利要求15至19中的任一项所述的方法,其中所述目标包括覆盖的周期性结构。
21.根据权利要求20所述的方法,其中执行模拟包括针对指定的不对称被设置为零的横向移位的覆盖的周期性结构的光学测量的模拟。
22.根据权利要求15至21中的任一项所述的方法,还包括根据所述模拟来确定用于确定使用所述目标的测量的由于所述不对称而导致的依赖于工艺的比例因子偏移的值以及使用所述目标的测量的由于所述不对称而导致的位置偏移的值。
23.根据权利要求15至22中的任一项所述的方法,还包括通过根据所述光学测量的参数而评估根据所述目标的测量确定的套刻的值或者根据所述目标的测量确定的由于所述不对称而导致的依赖于工艺的比例因子偏移的值,来确定所述目标的结构不对称的类型。
24.根据权利要求15至23中的任一项所述的方法,还包括基于所述不对称参数来校正根据所述目标的测量确定的所述目标的套刻或对准值。
25.一种方法,包括:
使用被目标衍射的辐射的测量参数来确定所述目标的结构不对称参数;以及
基于对于与所述目标相关联的目标形成参数的变化最不敏感的所述结构不对称参数来确定所述目标的测量光束的属性。
26.根据权利要求25所述的方法,还包括使用所述测量光束的属性来测量所述目标,并且基于所述结构不对称参数使用所述测量光束的属性来校正根据所述目标的测量确定的所述目标的套刻或对准值。
27.根据权利要求25或26所述的方法,其中所述结构不对称参数包括使用所述目标的测量的由于所述不对称而导致的位置偏移、或者比例因子,所述比例因子将使用所述目标的测量的由于所述不对称而导致的位置偏移与使用所述目标的测量的由于所述不对称而导致的依赖于工艺的比例因子偏移相关。
28.根据权利要求25至27中的任一项所述的方法,其中所述测量光束的属性包括所述测量光束的波长和/或偏振。
29.一种制造器件的方法,其中使用光刻工艺将器件图案应用于一系列衬底,所述方法包括使用根据权利要求1至28中的任一项所述的方法来评估至少一个衍射测量目标以及根据所述方法的结果来控制用于所述衬底中的一个或多个衬底的光刻工艺。
30.根据权利要求29所述的方法,其中所述至少一个衍射测量目标被形成为所述衬底中的至少一个衬底上的所述器件图案的一部分或者被形成在所述衬底中的至少一个衬底上的所述器件图案旁边,并且根据所述方法的结果来控制用于随后的衬底的光刻工艺。
31.一种非暂态计算机程序产品,包括用于使处理器引起根据权利要求1至30中的任一项所述的方法的执行的机器可读指令。
32.一种系统,包括:
检查设备,其被配置为在衬底上的衍射测量目标上提供光束,并且检测被所述目标衍射的辐射以确定光刻工艺的参数;以及
根据权利要求31所述的非暂态计算机程序产品。
33.根据权利要求32所述的系统,还包括光刻设备,所述光刻设备包括被配置为保持图案化装置以调制辐射光束的支撑结构、以及被布置为将调制后的辐射光束投影到辐射敏感衬底上的投影光学系统。
CN201580071813.2A 2014-11-26 2015-10-30 度量方法、计算机产品和系统 Active CN107111250B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910871671.8A CN110553602B (zh) 2014-11-26 2015-10-30 度量方法、计算机产品和系统

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP14195009 2014-11-26
EP14195009.7 2014-11-26
PCT/EP2015/075308 WO2016083076A1 (en) 2014-11-26 2015-10-30 Metrology method, computer product and system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201910871671.8A Division CN110553602B (zh) 2014-11-26 2015-10-30 度量方法、计算机产品和系统

Publications (2)

Publication Number Publication Date
CN107111250A true CN107111250A (zh) 2017-08-29
CN107111250B CN107111250B (zh) 2019-10-11

Family

ID=51982454

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910871671.8A Active CN110553602B (zh) 2014-11-26 2015-10-30 度量方法、计算机产品和系统
CN201580071813.2A Active CN107111250B (zh) 2014-11-26 2015-10-30 度量方法、计算机产品和系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201910871671.8A Active CN110553602B (zh) 2014-11-26 2015-10-30 度量方法、计算机产品和系统

Country Status (9)

Country Link
US (3) US10527949B2 (zh)
EP (1) EP3224676A1 (zh)
JP (2) JP6616416B2 (zh)
KR (3) KR102355347B1 (zh)
CN (2) CN110553602B (zh)
IL (3) IL297220B1 (zh)
SG (1) SG11201704036UA (zh)
TW (3) TWI631431B (zh)
WO (1) WO2016083076A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108897196A (zh) * 2018-07-11 2018-11-27 中国科学院微电子研究所 基于衍射的套刻误差测量中测量波长的选择方法
CN111433677A (zh) * 2017-12-11 2020-07-17 科磊股份有限公司 基于扫描电子束信号的对称性的重叠目标结构的叠加测量
CN111656282A (zh) * 2018-01-30 2020-09-11 Asml荷兰有限公司 确定衬底栅格的测量设备和方法
US20230024673A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for calibrating alignment of wafer and lithography system

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI648515B (zh) * 2013-11-15 2019-01-21 美商克萊譚克公司 計量目標及其計量量測、目標設計檔案、計量方法及以電腦為基礎之設備
CN107148597B (zh) * 2014-08-29 2020-05-01 Asml荷兰有限公司 度量方法、目标和衬底
KR20170092522A (ko) 2014-09-08 2017-08-11 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 금속 격자 및 이의 측정 방법
KR102355347B1 (ko) * 2014-11-26 2022-01-24 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
NL2017271A (en) 2015-08-20 2017-02-22 Asml Netherlands Bv Metrology method and apparatus, substrates for use in such methods, lithographic system and device manufacturing method
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US9631979B2 (en) * 2015-09-25 2017-04-25 Benchmark Technologies Phase-shift reticle for characterizing a beam
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
WO2017108411A1 (en) 2015-12-23 2017-06-29 Asml Netherlands B.V. Metrology method and apparatus
WO2017202602A1 (en) * 2016-05-23 2017-11-30 Asml Netherlands B.V. Selection of substrate measurement recipes
KR102217214B1 (ko) * 2016-07-11 2021-02-19 에이에스엠엘 네델란즈 비.브이. 성능 파라미터의 핑거프린트를 결정하는 장치 및 방법
IL297496A (en) 2016-07-15 2022-12-01 Asml Netherlands Bv A method and device for designing a target field for metrology
KR20190031542A (ko) 2016-07-21 2019-03-26 에이에스엠엘 네델란즈 비.브이. 타겟을 측정하는 방법, 기판, 메트롤로지 장치, 및 리소그래피 장치
WO2018019496A1 (en) * 2016-07-26 2018-02-01 Asml Netherlands B.V. Level sensor apparatus, method of measuring topographical variation across a substrate, method of measuring variation of a physical parameter related to a lithographic process, and lithographic apparatus
WO2018041550A1 (en) * 2016-09-01 2018-03-08 Asml Netherlands B.V. Automatic selection of metrology target measurement recipes
EP3299890A1 (en) * 2016-09-27 2018-03-28 ASML Netherlands B.V. Metrology recipe selection
IL265585B (en) * 2016-09-27 2022-09-01 Asml Netherlands Bv Choosing a metrological recipe
US10635004B2 (en) 2016-11-10 2020-04-28 Asml Netherlands B.V. Correction using stack difference
WO2018095705A1 (en) * 2016-11-23 2018-05-31 Asml Netherlands B.V. Metrology using a plurality of metrology target measurement recipes
US10983005B2 (en) 2016-12-15 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Spectroscopic overlay metrology
CN110088688B (zh) * 2016-12-19 2021-08-31 Asml荷兰有限公司 量测传感器、光刻设备和用于制造器件的方法
EP3796088A1 (en) 2019-09-23 2021-03-24 ASML Netherlands B.V. Method and apparatus for lithographic process performance determination
US10496781B2 (en) * 2016-12-19 2019-12-03 Kla Tencor Corporation Metrology recipe generation using predicted metrology images
KR102370347B1 (ko) 2017-02-02 2022-03-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치 및 연계된 컴퓨터 제품
EP3358413A1 (en) 2017-02-02 2018-08-08 ASML Netherlands B.V. Metrology method, apparatus and computer program
WO2018172027A1 (en) * 2017-03-23 2018-09-27 Asml Netherlands B.V. Asymmetry monitoring of a structure
WO2018202388A1 (en) 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
US10935892B2 (en) * 2017-05-15 2021-03-02 Applied Materials, Inc. Freeform distortion correction
KR102340174B1 (ko) 2017-06-20 2021-12-16 에이에스엠엘 네델란즈 비.브이. 엣지 러프니스 파라미터 결정
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
KR102362671B1 (ko) * 2017-07-06 2022-02-14 케이엘에이 코포레이션 오버레이 계측에서 높은 정확도를 달성하기 위한 이미징 기술의 진폭 및 위상 비대칭 추정
WO2019020484A1 (en) 2017-07-25 2019-01-31 Asml Netherlands B.V. METHOD FOR DETERMINING PARAMETERS AND ASSOCIATED APPARATUS
EP3435162A1 (en) * 2017-07-28 2019-01-30 ASML Netherlands B.V. Metrology method and apparatus and computer program
WO2019029933A1 (en) * 2017-08-07 2019-02-14 Asml Netherlands B.V. COMPUTER METROLOGY
WO2019042809A1 (en) 2017-09-01 2019-03-07 Asml Netherlands B.V. OPTICAL SYSTEMS, METROLOGY APPARATUS AND ASSOCIATED METHODS
EP3462239A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Metrology in lithographic processes
WO2019048145A1 (en) 2017-09-11 2019-03-14 Asml Netherlands B.V. METROLOGY IN LITHOGRAPHIC PROCESSES
EP3457211A1 (en) 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP3480554A1 (en) 2017-11-02 2019-05-08 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
JP7124071B2 (ja) 2017-10-05 2022-08-23 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を決定するためのメトロロジシステムおよび方法
TW201923332A (zh) * 2017-10-10 2019-06-16 荷蘭商Asml荷蘭公司 度量衡方法和設備、電腦程式及微影系統
EP3470923A1 (en) * 2017-10-10 2019-04-17 ASML Netherlands B.V. Metrology method
EP3474074A1 (en) 2017-10-17 2019-04-24 ASML Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
EP3480659A1 (en) 2017-11-01 2019-05-08 ASML Netherlands B.V. Estimation of data in metrology
CN111316172A (zh) 2017-11-07 2020-06-19 Asml荷兰有限公司 量测设备和确定感兴趣的特性的方法
EP3499312A1 (en) 2017-12-15 2019-06-19 ASML Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
WO2019129465A1 (en) 2017-12-28 2019-07-04 Asml Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
KR20200096843A (ko) 2018-01-17 2020-08-13 에이에스엠엘 네델란즈 비.브이. 타겟 측정 방법, 및 계측 장치
EP3514628A1 (en) 2018-01-18 2019-07-24 ASML Netherlands B.V. Method of measuring a target, and metrology apparatus
WO2019149586A1 (en) 2018-01-30 2019-08-08 Asml Netherlands B.V. Method of patterning at least a layer of a semiconductor device
KR102547422B1 (ko) 2018-02-01 2023-06-22 삼성전자주식회사 이미징 장치, 이를 포함하는 이미징 시스템, 이미징 장치 및 시스템을 이용한 이미징 방법, 이미징 장치 및 시스템을 이용한 반도체 장치의 제조 방법
EP3521930A1 (en) * 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of optimizing a metrology process
CN112005157B (zh) 2018-02-27 2023-03-03 Asml荷兰有限公司 用于确定衬底上的一个或更多个结构的特性的量测设备和方法
EP3531191A1 (en) 2018-02-27 2019-08-28 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3575874A1 (en) * 2018-05-29 2019-12-04 ASML Netherlands B.V. Metrology method, apparatus and computer program
CN112236724B (zh) 2018-06-08 2023-05-23 Asml荷兰有限公司 确定衬底上的一个或更多个结构的特性的量测设备和方法
EP3579052A1 (en) 2018-06-08 2019-12-11 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3614207A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. Metrology apparatus
IL279368B1 (en) 2018-06-13 2024-02-01 Asml Netherlands Bv Metrological device
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
EP3605230A1 (en) 2018-08-01 2020-02-05 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
NL2021852A (en) 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3611569A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Metrology apparatus and photonic crystal fiber
JP7198912B2 (ja) 2018-08-22 2023-01-04 エーエスエムエル ネザーランズ ビー.ブイ. 基板全体の面内ディストーション(ipd)を決定する方法、及びコンピュータプログラム
CN112567296B (zh) * 2018-08-28 2024-03-08 科磊股份有限公司 使用二衍射级成像的离轴照明覆盖测量
US10809629B2 (en) * 2018-08-31 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for computing feature kernels for optical model simulation
WO2020048692A1 (en) 2018-09-04 2020-03-12 Asml Netherlands B.V. Metrology apparatus
EP3620857A1 (en) 2018-09-04 2020-03-11 ASML Netherlands B.V. Metrology apparatus
EP3623868A1 (en) 2018-09-12 2020-03-18 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
EP3629087A1 (en) 2018-09-26 2020-04-01 ASML Netherlands B.V. Method of manufacturing devices
US11087065B2 (en) 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
EP3647874A1 (en) 2018-11-05 2020-05-06 ASML Netherlands B.V. Optical fibers and production methods therefor
JP7331096B2 (ja) 2018-10-24 2023-08-22 エーエスエムエル ネザーランズ ビー.ブイ. 光ファイバ及びその生産方法
EP3650941A1 (en) 2018-11-12 2020-05-13 ASML Netherlands B.V. Method of determining the contribution of a processing apparatus to a substrate parameter
EP3654104A1 (en) 2018-11-16 2020-05-20 ASML Netherlands B.V. Method for monitoring lithographic apparatus
CN113039487A (zh) 2018-11-16 2021-06-25 Asml荷兰有限公司 用于监测光刻装置的方法
WO2020114684A1 (en) 2018-12-03 2020-06-11 Asml Netherlands B.V. Method of manufacturing devices
EP3663855A1 (en) * 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
EP3696606A1 (en) 2019-02-15 2020-08-19 ASML Netherlands B.V. A metrology apparatus with radiation source having multiple broadband outputs
EP3703114A1 (en) 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
EP3702840A1 (en) 2019-03-01 2020-09-02 ASML Netherlands B.V. Alignment method and associated metrology device
EP3705942A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3705945A1 (en) 2019-03-08 2020-09-09 ASML Netherlands B.V. Methods and apparatus for estimating substrate shape
CN111716346B (zh) * 2019-03-20 2021-09-17 台达电子工业股份有限公司 机械手臂工具校正方法及其校正装置
EP3715945A1 (en) 2019-03-25 2020-09-30 ASML Netherlands B.V. Frequency broadening apparatus and method
EP3715944A1 (en) 2019-03-25 2020-09-30 ASML Netherlands B.V. Frequency broadening apparatus and method
EP3719551A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Optical fiber
EP3948373A1 (en) 2019-04-03 2022-02-09 ASML Netherlands B.V. Optical fiber
EP3739389A1 (en) 2019-05-17 2020-11-18 ASML Netherlands B.V. Metrology tools comprising aplanatic objective singlet
EP3751342A1 (en) 2019-06-13 2020-12-16 Stichting VU Metrology method and method for training a data structure for use in metrology
CN114008531A (zh) 2019-06-17 2022-02-01 Asml荷兰有限公司 用于确定复值场的量测方法和装置
EP3754427A1 (en) 2019-06-17 2020-12-23 ASML Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
WO2020254138A1 (en) 2019-06-21 2020-12-24 Asml Netherlands B.V. Mounted hollow-core fibre arrangement
EP3767347A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Mounted hollow-core fibre arrangement
EP3758168A1 (en) 2019-06-25 2020-12-30 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
CN114026500A (zh) * 2019-07-03 2022-02-08 Asml荷兰有限公司 在半导体制造过程中应用沉积模式的方法
EP3786712A1 (en) 2019-08-28 2021-03-03 ASML Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
US20220291595A1 (en) 2019-07-16 2022-09-15 Asml Netherlands B.V. Light Sources and Methods of Controlling; Devices and Methods for Use in Measurement Applications
EP3767375A1 (en) 2019-07-19 2021-01-20 ASML Netherlands B.V. A light source and a method for use in metrology applications
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
CN114174909A (zh) 2019-07-24 2022-03-11 Asml荷兰有限公司 辐射源
EP3796080A1 (en) 2019-09-18 2021-03-24 ASML Netherlands B.V. Radiation source
EP3783439A1 (en) 2019-08-22 2021-02-24 ASML Netherlands B.V. Metrology device and detection apparatus therefor
EP3812836A1 (en) 2019-10-21 2021-04-28 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
EP3786700A1 (en) 2019-08-29 2021-03-03 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
WO2021037472A1 (en) 2019-08-29 2021-03-04 Asml Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
EP3786702A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Mode control of photonic crystal fiber based broadband light sources
KR20220044532A (ko) 2019-09-02 2022-04-08 에이에스엠엘 네델란즈 비.브이. 광결정 섬유 기반의 광대역 광원의 모드 제어
EP3786713A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
KR20220035963A (ko) 2019-09-03 2022-03-22 에이에스엠엘 네델란즈 비.브이. 광대역 방사선을 시준하기 위한 어셈블리
WO2021043952A1 (en) 2019-09-05 2021-03-11 Asml Netherlands B.V. An improved high harmonic generation apparatus
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
EP3805857A1 (en) 2019-10-09 2021-04-14 ASML Netherlands B.V. Improved broadband radiation generation in hollow-core fibres
CN114514465A (zh) 2019-09-18 2022-05-17 Asml荷兰有限公司 中空芯部光纤中的改进的宽带辐射生成
EP3796089A1 (en) 2019-09-18 2021-03-24 ASML Holding N.V. A method for filtering an image and associated metrology apparatus
EP3809190A1 (en) 2019-10-14 2021-04-21 ASML Netherlands B.V. Method and apparatus for coherence scrambling in metrology applications
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
KR20220057590A (ko) 2019-10-17 2022-05-09 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 관련 계측 장치
EP3839586A1 (en) 2019-12-18 2021-06-23 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
JP2022553545A (ja) 2019-10-24 2022-12-23 エーエスエムエル ネザーランズ ビー.ブイ. 広帯域放射発生用の中空コアフォトニック結晶ファイバに基づく光学部品
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
US20220397834A1 (en) 2019-11-05 2022-12-15 Asml Netherlands B.V. Measuring method and measuring apparatus
EP3819266A1 (en) 2019-11-07 2021-05-12 ASML Netherlands B.V. Method of manufacture of a capillary for a hollow-core photonic crystal fiber
KR20220078664A (ko) 2019-11-07 2022-06-10 에이에스엠엘 네델란즈 비.브이. 중공 코어 광결정 섬유용 캐필러리 제조 방법
CN114766012A (zh) 2019-11-29 2022-07-19 Asml荷兰有限公司 用参数化模型预测过程信息的方法和系统
EP3828632A1 (en) 2019-11-29 2021-06-02 ASML Netherlands B.V. Method and system for predicting electric field images with a parameterized model
IL293746A (en) 2019-12-17 2022-08-01 Asml Netherlands Bv Dark field digital holographic microscopy and associated metrology method
EP3839635A1 (en) 2019-12-17 2021-06-23 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
CN114902139A (zh) 2019-12-18 2022-08-12 Asml荷兰有限公司 用于校正集成电路和关联设备的制造中的测量值的方法
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
WO2021123135A1 (en) 2019-12-19 2021-06-24 Asml Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
EP3865931A1 (en) 2020-02-12 2021-08-18 ASML Netherlands B.V. Method, assembly, and apparatus for improved control of broadband radiation generation
DK3851904T3 (da) 2020-01-15 2023-02-27 Asml Netherlands Bv Fremgangsmåde, anordning og apparat til forbedret styring af bredbåndsstrålingsgenerering
EP3876037A1 (en) 2020-03-06 2021-09-08 ASML Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
JP7365510B2 (ja) 2020-01-29 2023-10-19 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の周期構造を測定するための計測方法およびデバイス
WO2021155990A1 (en) 2020-02-07 2021-08-12 Asml Netherlands B.V. A stage system, stage system operating method, inspection tool, lithographic apparatus, calibration method and device manufacturing method
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
EP3876036A1 (en) 2020-03-04 2021-09-08 ASML Netherlands B.V. Vibration isolation system and associated applications in lithography
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
EP3889681A1 (en) 2020-03-31 2021-10-06 ASML Netherlands B.V. An assembly including a non-linear element and a method of use thereof
EP3913429A1 (en) 2020-05-19 2021-11-24 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
JP2023533186A (ja) 2020-07-08 2023-08-02 エーエスエムエル ネザーランズ ビー.ブイ. 長いファイバ寿命を有する中空コアファイバベースの広帯域放射ジェネレータ
EP3936936A1 (en) 2020-07-08 2022-01-12 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator with extended fiber lifetime
EP3945548A1 (en) 2020-07-30 2022-02-02 ASML Netherlands B.V. Method for classifying semiconductor wafers
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
WO2022017687A1 (en) 2020-07-21 2022-01-27 Asml Netherlands B.V. An illumination source and associated metrology apparatus
IL299404A (en) 2020-08-03 2023-02-01 Asml Netherlands Bv A method for producing broadband radiation and an associated broadband source and metrology device
EP3974899A1 (en) 2020-09-28 2022-03-30 ASML Netherlands B.V. Method for generating broadband radiation and associated broadband source and metrology device
US20230296829A1 (en) 2020-08-06 2023-09-21 Asml Netherlands B.V. Hollow core fiber light source and a method for manufacturing a hollow core fiber
EP4001976A1 (en) 2020-11-13 2022-05-25 ASML Netherlands B.V. Hollow core fiber light source and a method for manufacturing a hollow core fiber
EP3961303A1 (en) 2020-08-27 2022-03-02 ASML Netherlands B.V. Method and apparatus for identifying contamination in a semiconductor fab
CN116113887A (zh) 2020-08-11 2023-05-12 Asml荷兰有限公司 半导体制造厂中的污染的标识方法和装置
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3961304A1 (en) 2020-08-31 2022-03-02 ASML Netherlands B.V. Mapping metrics between manufacturing systems
EP3964892A1 (en) 2020-09-02 2022-03-09 Stichting VU Illumination arrangement and associated dark field digital holographic microscope
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
JP2023540186A (ja) 2020-09-03 2023-09-22 エーエスエムエル ネザーランズ ビー.ブイ. 中空コアフォトニック結晶ファイバベースの広帯域放射ジェネレータ
EP3968090A1 (en) 2020-09-11 2022-03-16 ASML Netherlands B.V. Radiation source arrangement and metrology device
EP3988996A1 (en) 2020-10-20 2022-04-27 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP3978964A1 (en) 2020-10-01 2022-04-06 ASML Netherlands B.V. Achromatic optical relay arrangement
EP4002015A1 (en) 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
WO2022111935A1 (en) 2020-11-30 2022-06-02 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
EP4009107A1 (en) 2020-12-01 2022-06-08 ASML Netherlands B.V. Method and apparatus for imaging nonstationary object
EP4012492A1 (en) 2020-12-10 2022-06-15 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
WO2022122325A1 (en) 2020-12-10 2022-06-16 Asml Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
WO2022135823A1 (en) 2020-12-23 2022-06-30 Asml Netherlands B.V. Methods and apparatus for providing a broadband light source
EP4030230A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. Methods and apparatus for providing a broadband light source
EP4075341A1 (en) 2021-04-18 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075340A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075339A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
US20240061347A1 (en) 2020-12-30 2024-02-22 Asml Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4036619A1 (en) 2021-01-27 2022-08-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber
US20240053532A1 (en) 2021-01-27 2024-02-15 Asml Netherlands B.V. Hollow-core photonic crystal fiber
JP2024512198A (ja) 2021-02-04 2024-03-19 エーエスエムエル ネザーランズ ビー.ブイ. 光パルスを空間的にフィルタリングするための方法および装置
EP4067968A1 (en) 2021-03-29 2022-10-05 ASML Netherlands B.V. Methods and apparatuses for spatially filtering optical pulses
EP4295187A1 (en) 2021-02-17 2023-12-27 ASML Netherlands B.V. Assembly for separating radiation in the far field
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
EP4057069A1 (en) 2021-03-11 2022-09-14 ASML Netherlands B.V. Methods and apparatus for characterizing a semiconductor manufacturing process
EP4060408A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Method and system for predicting process information with a parameterized model
EP4060403A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Hollow-core photonic crystal fiber based multiple wavelength light source device
IL305428A (en) 2021-03-16 2023-10-01 Asml Netherlands Bv A radiation source based on hollow-core optical fibers
EP4086698A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
WO2022200014A1 (en) 2021-03-22 2022-09-29 Asml Netherlands B.V. Digital holographic microscope and associated metrology method
EP4063971A1 (en) 2021-03-22 2022-09-28 ASML Netherlands B.V. Digital holographic microscope and associated metrology method
EP4080284A1 (en) 2021-04-19 2022-10-26 ASML Netherlands B.V. Metrology tool calibration method and associated metrology tool
WO2022223230A1 (en) 2021-04-19 2022-10-27 Asml Netherlands B.V. Metrology tool calibration method and associated metrology tool
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4330768A1 (en) 2021-04-26 2024-03-06 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4105696A1 (en) 2021-06-15 2022-12-21 ASML Netherlands B.V. Optical element for generation of broadband radiation
EP4334766A1 (en) 2021-05-03 2024-03-13 ASML Netherlands B.V. Optical element for generation of broadband radiation
EP4089484A1 (en) 2021-05-12 2022-11-16 ASML Netherlands B.V. System and method to ensure parameter measurement matching across metrology tools
IL308338A (en) 2021-05-31 2024-01-01 Asml Netherlands Bv Metrology method and integrated metrology tool
WO2022253526A1 (en) 2021-05-31 2022-12-08 Asml Netherlands B.V. Metrology measurement method and apparatus
EP4187321A1 (en) 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
WO2022263102A1 (en) 2021-06-14 2022-12-22 Asml Netherlands B.V. An illumination source and associated method apparatus
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
EP4124909A1 (en) 2021-07-28 2023-02-01 ASML Netherlands B.V. Metrology method and device
KR20240023593A (ko) 2021-06-18 2024-02-22 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 디바이스
EP4112572A1 (en) 2021-06-28 2023-01-04 ASML Netherlands B.V. Method of producing photonic crystal fibers
WO2023001463A1 (en) 2021-07-20 2023-01-26 Asml Netherlands B.V. Methods and computer programs for data mapping for low dimensional data analysis
EP4130880A1 (en) 2021-08-03 2023-02-08 ASML Netherlands B.V. Methods of data mapping for low dimensional data analysis
EP4124911A1 (en) 2021-07-29 2023-02-01 ASML Netherlands B.V. Metrology method and metrology device
KR20240036031A (ko) 2021-07-23 2024-03-19 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 계측 디바이스
EP4163715A1 (en) 2021-10-05 2023-04-12 ASML Netherlands B.V. Improved broadband radiation generation in photonic crystal or highly non-linear fibres
EP4141531A1 (en) 2021-08-25 2023-03-01 ASML Netherlands B.V. Improved broadband radiation generation in photonic crystal or highly non-linear fibres
WO2023041274A1 (en) 2021-09-14 2023-03-23 Asml Netherlands B.V. Metrology method and device
EP4184426A1 (en) 2021-11-22 2023-05-24 ASML Netherlands B.V. Metrology method and device
EP4170430A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
EP4174568A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
WO2023078619A1 (en) 2021-11-02 2023-05-11 Asml Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4174567A1 (en) 2021-11-02 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4181018A1 (en) 2021-11-12 2023-05-17 ASML Netherlands B.V. Latent space synchronization of machine learning models for in-device metrology inference
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
WO2023110318A1 (en) 2021-12-17 2023-06-22 Asml Netherlands B.V. Machine learning model for asymmetry-induced overlay error correction
WO2023110907A1 (en) 2021-12-17 2023-06-22 Asml Netherlands B.V. Overlay metrology based on template matching with adaptive weighting
WO2023151973A1 (en) 2022-02-10 2023-08-17 Asml Netherlands B.V. Systems and methods for generating sem-quality metrology data from optical metrology data using machine learning
EP4231090A1 (en) 2022-02-17 2023-08-23 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
WO2023160924A1 (en) 2022-02-22 2023-08-31 Asml Netherlands B.V. Method and apparatus for reflecting pulsed radiation
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP4246231A1 (en) 2022-03-18 2023-09-20 Stichting VU A method for determining a vertical position of a structure on a substrate and associated apparatuses
EP4246232A1 (en) 2022-03-18 2023-09-20 Stichting VU Illumination arrangement for a metrology device and associated method
WO2023174648A1 (en) 2022-03-18 2023-09-21 Stichting Vu Illumination arrangement for a metrology device and associated method
EP4254266A1 (en) 2022-03-29 2023-10-04 ASML Netherlands B.V. Methods related to an autoencoder model or similar for manufacturing process parameter estimation
EP4296780A1 (en) 2022-06-24 2023-12-27 ASML Netherlands B.V. Imaging method and metrology device
WO2023194036A1 (en) 2022-04-05 2023-10-12 Asml Netherlands B.V. Imaging method and metrology device
WO2023194049A1 (en) 2022-04-08 2023-10-12 Asml Netherlands B.V. Hollow-core optical fiber based radiation source
EP4273622A1 (en) 2022-05-02 2023-11-08 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
EP4261618A1 (en) 2022-04-14 2023-10-18 ASML Netherlands B.V. A method of determining a correction for control of a lithography and/or metrology process, and associated devices
EP4279993A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Source selection module and associated metrology apparatus
WO2023208487A1 (en) 2022-04-25 2023-11-02 Asml Netherlands B.V. Source selection module and associated metrology apparatus
EP4276537A1 (en) 2022-05-09 2023-11-15 ASML Netherlands B.V. Illumination mode selector and associated optical metrology tool
WO2023213527A1 (en) 2022-05-03 2023-11-09 Asml Netherlands B.V. Illumination mode selector and associated optical metrology tool
WO2023222342A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Measurement of fabrication parameters based on moiré interference pattern components
EP4279994A1 (en) 2022-05-20 2023-11-22 ASML Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023222349A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Single pad overlay measurement
WO2023222328A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4289798A1 (en) 2022-06-07 2023-12-13 ASML Netherlands B.V. Method of producing photonic crystal fibers
EP4300183A1 (en) 2022-06-30 2024-01-03 ASML Netherlands B.V. Apparatus for broadband radiation generation
EP4312079A1 (en) 2022-07-29 2024-01-31 ASML Netherlands B.V. Methods of mitigating crosstalk in metrology images
EP4312005A1 (en) 2022-07-29 2024-01-31 Stichting VU Method and apparatuses for fourier transform spectrometry
EP4318131A1 (en) 2022-08-01 2024-02-07 ASML Netherlands B.V. Sensor module, illuminator, metrology device and associated metrology method
WO2024033036A1 (en) 2022-08-08 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
WO2024033005A1 (en) 2022-08-09 2024-02-15 Asml Netherlands B.V. Inference model training
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
WO2024033035A1 (en) 2022-08-10 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
EP4332678A1 (en) 2022-09-05 2024-03-06 ASML Netherlands B.V. Holographic metrology apparatus and method
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4336251A1 (en) 2022-09-12 2024-03-13 ASML Netherlands B.V. A multi-pass radiation device
WO2024056296A1 (en) 2022-09-13 2024-03-21 Asml Netherlands B.V. Metrology method and associated metrology device
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device
EP4354200A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. An aberration correction optical system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120013881A1 (en) * 2010-07-19 2012-01-19 Asml Netherlands B.V. Method and Apparatus for Determining an Overlay Error
CN102967997A (zh) * 2011-08-30 2013-03-13 Asml荷兰有限公司 用于确定重叠误差的方法和设备
US20130308142A1 (en) * 2012-05-21 2013-11-21 Asml Netherlands B.V. Determining a structural parameter and correcting an asymmetry property

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7112890B2 (en) 2003-10-30 2006-09-26 Asml Holding N.V. Tunable alignment geometry
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
NL1036245A1 (nl) * 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
JP2009164296A (ja) * 2007-12-28 2009-07-23 Canon Inc 露光装置およびデバイス製造方法
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
WO2010069757A1 (en) * 2008-12-16 2010-06-24 Asml Netherlands B.V. Calibration method, inspection method and apparatus, lithographic apparatus, and lithographic processing cell
WO2011012624A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, and lithographic processing cell
WO2011023517A1 (en) * 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
CN102540734A (zh) * 2010-12-08 2012-07-04 无锡华润上华科技有限公司 套刻测试方法
NL2009079A (en) * 2011-08-23 2013-02-27 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
US9163935B2 (en) 2011-12-12 2015-10-20 Asml Netherlands B.V. Device manufacturing method and associated lithographic apparatus, inspection apparatus, and lithographic processing cell
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
KR102015934B1 (ko) 2012-07-05 2019-08-29 에이에스엠엘 네델란즈 비.브이. 리소그래피를 위한 계측법
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
US9939742B2 (en) * 2012-11-05 2018-04-10 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
KR102124204B1 (ko) 2013-08-07 2020-06-18 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
CN105900015B (zh) 2013-11-26 2019-07-05 Asml荷兰有限公司 用于光刻度量的方法、设备和衬底
SG11201609566VA (en) 2014-06-02 2016-12-29 Asml Netherlands Bv Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method
KR102269514B1 (ko) 2014-11-25 2021-06-25 케이엘에이 코포레이션 랜드스케이프의 분석 및 활용
KR102355347B1 (ko) * 2014-11-26 2022-01-24 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
EP3358413A1 (en) * 2017-02-02 2018-08-08 ASML Netherlands B.V. Metrology method, apparatus and computer program

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120013881A1 (en) * 2010-07-19 2012-01-19 Asml Netherlands B.V. Method and Apparatus for Determining an Overlay Error
CN102967997A (zh) * 2011-08-30 2013-03-13 Asml荷兰有限公司 用于确定重叠误差的方法和设备
US20130308142A1 (en) * 2012-05-21 2013-11-21 Asml Netherlands B.V. Determining a structural parameter and correcting an asymmetry property

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111433677A (zh) * 2017-12-11 2020-07-17 科磊股份有限公司 基于扫描电子束信号的对称性的重叠目标结构的叠加测量
CN111433677B (zh) * 2017-12-11 2022-12-30 科磊股份有限公司 基于扫描电子束信号的对称性的重叠目标结构的叠加测量
CN111656282A (zh) * 2018-01-30 2020-09-11 Asml荷兰有限公司 确定衬底栅格的测量设备和方法
CN108897196A (zh) * 2018-07-11 2018-11-27 中国科学院微电子研究所 基于衍射的套刻误差测量中测量波长的选择方法
US20230024673A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for calibrating alignment of wafer and lithography system
US11854854B2 (en) * 2021-07-23 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for calibrating alignment of wafer and lithography system

Also Published As

Publication number Publication date
KR102355347B1 (ko) 2022-01-24
IL252377B (en) 2022-03-01
US20200301290A1 (en) 2020-09-24
TWI700555B (zh) 2020-08-01
TW201631404A (zh) 2016-09-01
TWI631431B (zh) 2018-08-01
IL290735A (en) 2022-04-01
US11640116B2 (en) 2023-05-02
IL290735B2 (en) 2023-03-01
TWI576675B (zh) 2017-04-01
US20160161863A1 (en) 2016-06-09
US20200103762A1 (en) 2020-04-02
TW201736981A (zh) 2017-10-16
CN110553602A (zh) 2019-12-10
KR102109059B1 (ko) 2020-05-12
JP6616416B2 (ja) 2019-12-04
KR20210105446A (ko) 2021-08-26
KR102294349B1 (ko) 2021-08-26
IL252377A0 (en) 2017-07-31
US10527949B2 (en) 2020-01-07
EP3224676A1 (en) 2017-10-04
JP2017537352A (ja) 2017-12-14
IL297220A (en) 2022-12-01
KR20170085116A (ko) 2017-07-21
SG11201704036UA (en) 2017-06-29
KR20200050478A (ko) 2020-05-11
JP2020038384A (ja) 2020-03-12
IL290735B (en) 2022-11-01
CN107111250B (zh) 2019-10-11
US10698322B2 (en) 2020-06-30
WO2016083076A1 (en) 2016-06-02
JP6872593B2 (ja) 2021-05-19
CN110553602B (zh) 2021-10-26
IL297220B1 (en) 2024-02-01
TW201835691A (zh) 2018-10-01

Similar Documents

Publication Publication Date Title
CN107111250B (zh) 度量方法、计算机产品和系统
US11106142B2 (en) Metrology recipe selection
TWI796917B (zh) 量測微影程序之參數之方法、度量衡裝置及非暫時性電腦程式產品
CN108604065B (zh) 量测方法、目标和衬底
TWI632434B (zh) 計量方法、目標及基板
TWI641917B (zh) 度量衡方法及設備、電腦程式及微影系統
CN101261452B (zh) 检验方法和设备、光刻处理单元和器件制造方法
JP2016539370A (ja) リソグラフィメトロロジのための方法、装置及び基板
TW201921181A (zh) 用於判定圖案化製程參數的方法
TW201920939A (zh) 度量衡方法及設備
TWI689792B (zh) 繞射量測標的
TWI664504B (zh) 度量衡方法及裝置、基板、微影方法及相關之電腦產品
EP3299890A1 (en) Metrology recipe selection

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant