WO2023160924A1 - Method and apparatus for reflecting pulsed radiation - Google Patents

Method and apparatus for reflecting pulsed radiation Download PDF

Info

Publication number
WO2023160924A1
WO2023160924A1 PCT/EP2023/051594 EP2023051594W WO2023160924A1 WO 2023160924 A1 WO2023160924 A1 WO 2023160924A1 EP 2023051594 W EP2023051594 W EP 2023051594W WO 2023160924 A1 WO2023160924 A1 WO 2023160924A1
Authority
WO
WIPO (PCT)
Prior art keywords
optical
radiation
axis
pulse
pulse component
Prior art date
Application number
PCT/EP2023/051594
Other languages
French (fr)
Inventor
Ronald Franciscus Herman Hugers
Andreas Johannes Antonius BROUNS
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2023160924A1 publication Critical patent/WO2023160924A1/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/3528Non-linear optics for producing a supercontinuum
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns

Definitions

  • the present invention relates to a method and apparatus for reflecting pulsed radiation, in particular when such pulsed radiation is generated from a hollow-core photonic crystal fiber based broadband radiation generator.
  • a lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a lithographic apparatus may, for example, project a pattern (also often referred to as “design layout” or “design”) at a patterning device (e.g., a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate (e.g., a wafer).
  • a lithographic apparatus may use electromagnetic radiation.
  • the wavelength of this radiation determines the minimum size of features which can be formed on the substrate. Typical wavelengths currently in use are 365 nm (i-line), 248 nm, 193 nm and 13.5 nm.
  • a lithographic apparatus which uses extreme ultraviolet (EUV) radiation, having a wavelength within the range 4-20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on a substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.
  • EUV extreme ultraviolet
  • Low-ki lithography may be used to process features with dimensions smaller than the classical resolution limit of a lithographic apparatus.
  • CD kix /NA
  • NA the numerical aperture of the projection optics in the lithographic apparatus
  • CD is the “critical dimension” (generally the smallest feature size printed, but in this case half-pitch)
  • ki is an empirical resolution factor.
  • sophisticated fine-tuning steps may be applied to the lithographic projection apparatus and/or design layout.
  • RET resolution enhancement techniques
  • Metrology tools are used in many aspects of the IC manufacturing process, for example as alignment tools for proper positioning of a substrate prior to an exposure, leveling tools to measure a surface topology of the substrate, for e.g., focus control and scatterometry based tools for inspecting/measuring the exposed and/or etched product in process control.
  • a radiation source is required.
  • broadband or white light radiation sources are increasingly used for such metrology applications. It would be desirable to improve on present devices for broadband radiation generation.
  • an optical arrangement for reflecting pulsed radiation comprising: an optical retarder comprising a first axis coinciding with a first linear polarization state and a second axis coinciding with a second linear polarization state, said first axis and said second axis being orthogonal to each other; said optical retarder being configured to receive said pulsed radiation and decompose each pulse of the pulsed radiation into a first pulse component having the first linear polarization state and a second pulse component having the second polarization state; said optical retarder being further configured to impose a temporal delay between the first pulse component and the second pulse component of each pulse; and an optical reflector comprising an axis of rotation, said axis of rotation being perpendicular to a plane of incidence of the first pulse component and second first pulse component on the optical reflector, and being at an angle having a magnitude of substantially 45 degrees with respect to each of the first axis and second axis of the optical retarder, the optical reflect
  • a method of setting up an optical arrangement comprising: identifying a first axis and a second axis of an optical retarder; identifying an axis of rotation of the optical reflector; and rotating the one or both of: the optical retarder in a first plane defined by the first axis and the second axis and the optical reflector in a plane parallel to said first plane, such that the first axis and the second axis of the optical retarder are each oriented at an angle having a magnitude substantially 45 degrees with the axis of rotation of the optical reflector.
  • aspects of the invention comprise a radiation source comprising an optical arrangement according to the first aspect and metrology devices comprising such a radiation source.
  • Figure 1 depicts a schematic overview of a lithographic apparatus
  • Figure 2 depicts a schematic overview of a lithographic cell
  • Figure 3 depicts a schematic representation of holistic lithography, representing a cooperation between three key technologies to optimize semiconductor manufacturing
  • Figure 4 depicts a schematic overview of a scatterometry apparatus used as a metrology device, which may comprise a radiation source according to embodiments of the invention
  • Figure 5 depicts a schematic overview of a level sensor apparatus which may comprise a radiation source according to embodiments of the invention
  • Figure 6 depicts a schematic overview of an alignment sensor apparatus which may comprise a radiation source according to embodiments of the invention
  • Figure 7 is a schematic cross sectional view of a hollow core optical fiber that may form part of a radiation source according to an embodiment in a transverse plane (i.e. perpendicular to an axis of the optical fiber);
  • Figure 8 depicts a schematic representation of an example radiation source for providing broadband output radiation
  • FIGS 9 (a) and (b) schematically depict the transverse cross-sections of examples of hollow core photonic crystal fiber (HC-PCF) designs for supercontinuum generation;
  • Figure 10 depicts schematically another example radiation source for providing broadband output radiation
  • Figure 11 A schematically depicts the operating principle of a plano-plano optical window based beam splitter
  • Figure 1 IB is an example plot of two reflectance curves calculated respectively for an optical wave in the p-polarization state and for an optical wave in the s-polarization state at an optical interface;
  • Figure 12 schematically depicts an optical arrangement for reflecting an incident beam of pulsed radiation in accordance with an embodiment.
  • Figure 13 depicts schematically the operating principle of an example birefringent crystal
  • Figure 14 is a block diagram that illustrates a computer system that may assist in implementing the methods and flows disclosed herein.
  • Figure 15 depicts experimentally obtained results demonstrating the effectiveness of an embodiment as depicted in figure 12.
  • the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range of about 5-100 nm).
  • the term “reticle”, “mask” or “patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate.
  • the term “light valve” can also be used in this context. Besides the classic mask (transmissive or reflective, binary, phase-shifting, hybrid, etc.), examples of other such patterning devices include a programmable mirror array and a programmable LCD array.
  • FIG. 1 schematically depicts a lithographic apparatus LA.
  • the lithographic apparatus LA includes an illumination system (also referred to as illuminator) IL configured to condition a radiation beam B (e.g., UV radiation, DUV radiation or EUV radiation), a mask support (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA in accordance with certain parameters, a substrate support (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate support in accordance with certain parameters, and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
  • the illumination system IL receives a radiation beam from a radiation source SO, e.g. via a beam delivery system BD.
  • the illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic, and/or other types of optical components, or any combination thereof, for directing, shaping, and/or controlling radiation.
  • the illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross section at a plane of the patterning device MA.
  • projection system PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and/or electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, and/or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system” PS.
  • the lithographic apparatus LA may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system PS and the substrate W - which is also referred to as immersion lithography. More information on immersion techniques is given in US6952253, which is incorporated herein by reference.
  • the lithographic apparatus LA may also be of a type having two or more substrate supports WT (also named “dual stage”). In such “multiple stage” machine, the substrate supports WT may be used in parallel, and/or steps in preparation of a subsequent exposure of the substrate W may be carried out on the substrate W located on one of the substrate support WT while another substrate W on the other substrate support WT is being used for exposing a pattern on the other substrate W.
  • the lithographic apparatus LA may comprise a measurement stage.
  • the measurement stage is arranged to hold a sensor and/or a cleaning device.
  • the sensor may be arranged to measure a property of the projection system PS or a property of the radiation beam B.
  • the measurement stage may hold multiple sensors.
  • the cleaning device may be arranged to clean part of the lithographic apparatus, for example a part of the projection system PS or a part of a system that provides the immersion liquid.
  • the measurement stage may move beneath the projection system PS when the substrate support WT is away from the projection system PS.
  • the radiation beam B is incident on the patterning device, e.g. mask, MA which is held on the mask support MT, and is patterned by the pattern (design layout) present on patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and a position measurement system IF, the substrate support WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B at a focused and aligned position.
  • the patterning device e.g. mask, MA which is held on the mask support MT, and is patterned by the pattern (design layout) present on patterning device MA.
  • the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W.
  • the substrate support WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B at a focused
  • first positioner PM and possibly another position sensor may be used to accurately position the patterning device MA with respect to the path of the radiation beam B.
  • Patterning device MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks Pl, P2.
  • substrate alignment marks Pl, P2 as illustrated occupy dedicated target portions, they may be located in spaces between target portions.
  • Substrate alignment marks Pl, P2 are known as scribe-lane alignment marks when these are located between the target portions C.
  • the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to as a lithocell or (litho)cluster, which often also includes apparatus to perform pre- and post-exposure processes on a substrate W.
  • a lithographic cell LC also sometimes referred to as a lithocell or (litho)cluster
  • these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK, e.g. for conditioning the temperature of substrates W e.g. for conditioning solvents in the resist layers.
  • a substrate handler, or robot, RO picks up substrates W from input/output ports I/Ol, I/O2, moves them between the different process apparatus and delivers the substrates W to the loading bay LB of the lithographic apparatus LA.
  • the devices in the lithocell which are often also collectively referred to as the track, are typically under the control of a track control unit TCU that in itself may be controlled by a supervisory control system SCS, which may also control the lithographic apparatus LA, e.g. via lithography control unit LACU.
  • a supervisory control system SCS which may also control the lithographic apparatus LA, e.g. via lithography control unit LACU.
  • inspection tools may be included in the lithocell LC. If errors are detected, adjustments, for example, may be made to exposures of subsequent substrates or to other processing steps that are to be performed on the substrates W, especially if the inspection is done before other substrates W of the same batch or lot are still to be exposed or processed.
  • An inspection apparatus which may also be referred to as a metrology apparatus, is used to determine properties of the substrates W, and in particular, how properties of different substrates W vary or how properties associated with different layers of the same substrate W vary from layer to layer.
  • the inspection apparatus may alternatively be constructed to identify defects on the substrate W and may, for example, be part of the lithocell LC, or may be integrated into the lithographic apparatus LA, or may even be a stand-alone device.
  • the inspection apparatus may measure the properties on a latent image (image in a resist layer after the exposure), or on a semi-latent image (image in a resist layer after a post-exposure bake step PEB), or on a developed resist image (in which the exposed or unexposed parts of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).
  • the patterning process in a lithographic apparatus LA is one of the most critical steps in the processing which requires high accuracy of dimensioning and placement of structures on the substrate W.
  • three systems may be combined in a so called “holistic” control environment as schematically depicted in Fig. 3.
  • One of these systems is the lithographic apparatus LA which is (virtually) connected to a metrology tool MT (a second system) and to a computer system CL (a third system).
  • the key of such “holistic” environment is to optimize the cooperation between these three systems to enhance the overall process window and provide tight control loops to ensure that the patterning performed by the lithographic apparatus LA stays within a process window.
  • the process window defines a range of process parameters (e.g. dose, focus, overlay) within which a specific manufacturing process yields a defined result (e.g. a functional semiconductor device) - typically within which the process parameters in the lithographic process or patterning process are allowed to vary.
  • the computer system CL may use (part of) the design layout to be patterned to predict which resolution enhancement techniques to use and to perform computational lithography simulations and calculations to determine which mask layout and lithographic apparatus settings achieve the largest overall process window of the patterning process (depicted in Fig. 3 by the double arrow in the first scale SCI).
  • the resolution enhancement techniques are arranged to match the patterning possibilities of the lithographic apparatus LA.
  • the computer system CL may also be used to detect where within the process window the lithographic apparatus LA is currently operating (e.g. using input from the metrology tool MT) to predict whether defects may be present due to e.g. sub-optimal processing (depicted in Fig. 3 by the arrow pointing “0” in the second scale SC2).
  • the metrology tool MT may provide input to the computer system CL to enable accurate simulations and predictions, and may provide feedback to the lithographic apparatus LA to identify possible drifts, e.g. in a calibration status of the lithographic apparatus LA (depicted in Fig. 3 by the multiple arrows in the third scale SC3).
  • metrology tools MT In lithographic processes, it is desirable to make frequently measurements of the structures created, e.g., for process control and verification. Tools to make such measurement are typically called metrology tools MT. Different types of metrology tools MT for making such measurements are known, including scanning electron microscopes or various forms of scatterometer metrology tools MT. Scatterometers are versatile instruments which allow measurements of the parameters of a lithographic process by having a sensor in the pupil or a conjugate plane with the pupil of the objective of the scatterometer, measurements usually referred as pupil based measurements, or by having the sensor in the image plane or a plane conjugate with the image plane, in which case the measurements are usually referred as image or field based measurements.
  • Aforementioned scatterometers may measure gratings using light from soft x-ray and visible to near-IR wavelength range.
  • the scatterometer MT is an angular resolved scatterometer.
  • reconstruction methods may be applied to the measured signal to reconstruct or calculate properties of the grating.
  • Such reconstruction may, for example, result from simulating interaction of scattered radiation with a mathematical model of the target structure and comparing the simulation results with those of a measurement. Parameters of the mathematical model are adjusted until the simulated interaction produces a diffraction pattern similar to that observed from the real target.
  • the scatterometer MT is a spectroscopic scatterometer MT.
  • the radiation emitted by a radiation source is directed onto the target and the reflected or scattered radiation from the target is directed to a spectrometer detector, which measures a spectrum (i.e. a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile of the target giving rise to the detected spectrum may be reconstructed, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra.
  • the scatterometer MT is an ellipsometric scatterometer.
  • the ellipsometric scatterometer allows for determining parameters of a lithographic process by measuring scattered radiation for each polarization states.
  • Such metrology apparatus emits polarized light (such as linear, circular, or elliptic) by using, for example, appropriate polarization filters in the illumination section of the metrology apparatus.
  • a source suitable for the metrology apparatus may provide polarized radiation as well.
  • the scatterometer MT is adapted to measure the overlay of two misaligned gratings or periodic structures by measuring asymmetry in the reflected spectrum and/or the detection configuration, the asymmetry being related to the extent of the overlay.
  • the two (typically overlapping) grating structures may be applied in two different layers (not necessarily consecutive layers), and may be formed substantially at the same position on the wafer.
  • the scatterometer may have a symmetrical detection configuration as described e.g. in co-owned patent application EP1,628,164A, such that any asymmetry is clearly distinguishable. This provides a straightforward way to measure misalignment in gratings.
  • Focus and dose may be determined simultaneously by scatterometry (or alternatively by scanning electron microscopy) as described in US patent application US2011-0249244, incorporated herein by reference in its entirety.
  • a single structure may be used which has a unique combination of critical dimension and sidewall angle measurements for each point in a focus energy matrix (FEM - also referred to as Focus Exposure Matrix). If these unique combinations of critical dimension and sidewall angle are available, the focus and dose values may be uniquely determined from these measurements.
  • FEM focus energy matrix
  • a metrology target may be an ensemble of composite gratings, formed by a lithographic process, mostly in resist, but also after etch process for example.
  • the pitch and line-width of the structures in the gratings strongly depend on the measurement optics (in particular the NA of the optics) to be able to capture diffraction orders coming from the metrology targets.
  • the diffracted signal may be used to determine shifts between two layers (also referred to ‘overlay’) or may be used to reconstruct at least part of the original grating as produced by the lithographic process. This reconstruction may be used to provide guidance of the quality of the lithographic process and may be used to control at least part of the lithographic process.
  • Targets may have smaller sub-segmentation which are configured to mimic dimensions of the functional part of the design layout in a target. Due to this sub-segmentation, the targets will behave more similar to the functional part of the design layout such that the overall process parameter measurements resembles the functional part of the design layout better.
  • the targets may be measured in an underfilled mode or in an overfilled mode. In the underfilled mode, the measurement beam generates a spot that is smaller than the overall target. In the overfilled mode, the measurement beam generates a spot that is larger than the overall target. In such overfilled mode, it may also be possible to measure different targets simultaneously, thus determining different processing parameters at the same time.
  • substrate measurement recipe may include one or more parameters of the measurement itself, one or more parameters of the one or more patterns measured, or both.
  • the measurement used in a substrate measurement recipe is a diffraction-based optical measurement
  • one or more of the parameters of the measurement may include the wavelength of the radiation, the polarization of the radiation, the incident angle of radiation relative to the substrate, the orientation of radiation relative to a pattern on the substrate, etc.
  • One of the criteria to select a measurement recipe may, for example, be a sensitivity of one of the measurement parameters to processing variations. More examples are described in US patent application US2016-0161863 and published US patent application US 2016/0370717Alincorporated herein by reference in its entirety.
  • a metrology apparatus such as a scatterometer, is depicted in Figure 4. It comprises a broadband (white light) radiation projector 2 which projects radiation onto a substrate 6. The reflected or scattered radiation is passed to a spectrometer detector 4, which measures a spectrum 10 (i.e. a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile giving rise to the detected spectrum may be reconstructed by processing unit PU, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom of Figure 3.
  • processing unit PU e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom of Figure 3.
  • a scatterometer may be configured as a normalincidence scatterometer or an oblique-incidence scatterometer.
  • substrate measurement recipe may include one or more parameters of the measurement itself, one or more parameters of the one or more patterns measured, or both.
  • the measurement used in a substrate measurement recipe is a diffraction-based optical measurement
  • one or more of the parameters of the measurement may include the wavelength of the radiation, the polarization of the radiation, the incident angle of radiation relative to the substrate, the orientation of radiation relative to a pattern on the substrate, etc.
  • One of the criteria to select a measurement recipe may, for example, be a sensitivity of one of the measurement parameters to processing variations.
  • FIG. 1 Another type of metrology tool used in IC manufacture is a topography measurement system, level sensor or height sensor.
  • a topography measurement system level sensor or height sensor.
  • Such a tool may be integrated in the lithographic apparatus, for measuring a topography of a top surface of a substrate (or wafer).
  • a map of the topography of the substrate also referred to as height map, may be generated from these measurements indicating a height of the substrate as a function of the position on the substrate.
  • This height map may subsequently be used to correct the position of the substrate during transfer of the pattern on the substrate, in order to provide an aerial image of the patterning device in a properly focus position on the substrate.
  • the level or height sensor performs measurements at a fixed location (relative to its own optical system) and a relative movement between the substrate and the optical system of the level or height sensor results in height measurements at locations across the substrate.
  • the level sensor comprises an optical system, which includes a projection unit LSP and a detection unit LSD.
  • the projection unit LSP comprises a radiation source LSO providing a beam of radiation LSB which is imparted by a projection grating PGR of the projection unit LSP.
  • the radiation source LSO may be, for example, a narrowband or broadband light source, such as a supercontinuum light source, polarized or nonpolarized, pulsed or continuous, such as a polarized or non-polarized laser beam.
  • the radiation source LSO may include a plurality of radiation sources having different colors, or wavelength ranges, such as a plurality of LEDs.
  • the radiation source LSO of the level sensor LS is not restricted to visible radiation, but may additionally or alternatively encompass UV and/or IR radiation and any range of wavelengths suitable to reflect from a surface of a substrate.
  • the projection grating PGR is a periodic grating comprising a periodic structure resulting in a beam of radiation BE1 having a periodically varying intensity.
  • the beam of radiation BE1 with the periodically varying intensity is directed towards a measurement location MLO on a substrate W having an angle of incidence ANG with respect to an axis perpendicular (Z-axis) to the incident substrate surface between 0 degrees and 90 degrees, typically between 70 degrees and 80 degrees.
  • the patterned beam of radiation BE1 is reflected by the substrate W (indicated by arrows BE2) and directed towards the detection unit LSD.
  • the level sensor further comprises a detection system comprising a detection grating DGR, a detector DET and a processing unit (not shown) for processing an output signal of the detector DET.
  • the detection grating DGR may be identical to the projection grating PGR.
  • the detector DET produces a detector output signal indicative of the light received, for example indicative of the intensity of the light received, such as a photodetector, or representative of a spatial distribution of the intensity received, such as a camera.
  • the detector DET may comprise any combination of one or more detector types.
  • the height level at the measurement location MLO can be determined.
  • the detected height level is typically related to the signal strength as measured by the detector DET, the signal strength having a periodicity that depends, amongst others, on the design of the projection grating PGR and the (oblique) angle of incidence ANG.
  • the projection unit LSP and/or the detection unit LSD may include further optical elements, such as lenses and/or mirrors, along the path of the patterned beam of radiation between the projection grating PGR and the detection grating DGR (not shown).
  • the detection grating DGR may be omitted, and the detector DET may be placed at the position where the detection grating DGR is located.
  • the detection grating DGR may be omitted, and the detector DET may be placed at the position where the detection grating DGR is located.
  • a level sensor LS may be configured to project an array of measurement beams BE1 onto the surface of the substrate W, thereby generating an array of measurement areas MLO or spots covering a larger measurement range.
  • a critical aspect of performance of the lithographic apparatus is therefore the ability to place the applied pattern correctly and accurately in relation to features laid down in previous layers (by the same apparatus or a different lithographic apparatus).
  • the substrate is provided with one or more sets of marks or targets.
  • Each mark is a structure whose position can be measured at a later time using a position sensor, typically an optical position sensor.
  • the position sensor may be referred to as “alignment sensor” and marks may be referred to as “alignment marks”.
  • a lithographic apparatus may include one or more (e.g. a plurality of) alignment sensors by which positions of alignment marks provided on a substrate can be measured accurately.
  • Alignment (or position) sensors may use optical phenomena such as diffraction and interference to obtain position information from alignment marks formed on the substrate.
  • An example of an alignment sensor used in current lithographic apparatus is based on a self-referencing interferometer as described in US6961116.
  • Various enhancements and modifications of the position sensor have been developed, for example as disclosed in US2015261097A1. The contents of all of these publications are incorporated herein by reference.
  • FIG. 6 is a schematic block diagram of an embodiment of a known alignment sensor AS, such as is described, for example, in US6961116, and which is incorporated by reference.
  • Radiation source RSO provides a beam RB of radiation of one or more wavelengths, which is diverted by diverting optics onto a mark, such as mark AM located on substrate W, as an illumination spot SP.
  • the diverting optics comprises a spot mirror SM and an objective lens OL.
  • the illumination spot SP, by which the mark AM is illuminated, may be slightly smaller in diameter than the width of the mark itself.
  • Radiation diffracted by the alignment mark AM is collimated (in this example via the objective lens OL) into an information-carrying beam IB.
  • the term “diffracted” is intended to include zero-order diffraction from the mark (which may be referred to as reflection).
  • a self-referencing interferometer SRI e.g. of the type disclosed in US6961116 mentioned above, interferes the beam IB with itself after which the beam is received by a photodetector PD. Additional optics (not shown) may be included to provide separate beams in case more than one wavelength is created by the radiation source RSO.
  • the photodetector may be a single element, or it may comprise a number of pixels, if desired.
  • the photodetector may comprise a sensor array.
  • the diverting optics which in this example comprises the spot mirror SM, may also serve to block zero order radiation reflected from the mark, so that the information-carrying beam IB comprises only higher order diffracted radiation from the mark AM (this is not essential to the measurement, but improves signal to noise ratios).
  • Intensity signals SI are supplied to a processing unit PU.
  • a processing unit PU By a combination of optical processing in the block SRI and computational processing in the unit PU, values for X- and Y- position on the substrate relative to a reference frame are output.
  • a single measurement of the type illustrated only fixes the position of the mark within a certain range corresponding to one pitch of the mark.
  • Coarser measurement techniques are used in conjunction with this to identify which period of a sine wave is the one containing the marked position.
  • the same process at coarser and/or finer levels may be repeated at different wavelengths for increased accuracy and/or for robust detection of the mark irrespective of the materials from which the mark is made, and materials on and/or below which the mark is provided.
  • the wavelengths may be multiplexed and de-multiplexed optically so as to be processed simultaneously, and/or they may be multiplexed by time division or frequency division.
  • the alignment sensor and spot SP remain stationary, while it is the substrate W that moves.
  • the alignment sensor can thus be mounted rigidly and accurately to a reference frame, while effectively scanning the mark AM in a direction opposite to the direction of movement of substrate W.
  • the substrate W is controlled in this movement by its mounting on a substrate support and a substrate positioning system controlling the movement of the substrate support.
  • a substrate support position sensor e.g. an interferometer
  • one or more (alignment) marks are provided on the substrate support.
  • a measurement of the position of the marks provided on the substrate support allows the position of the substrate support as determined by the position sensor to be calibrated (e.g. relative to a frame to which the alignment system is connected).
  • Metrology tools MT such as a scatterometer, topography measurement system, or position measurement system mentioned above may use radiation originating from a radiation source to perform a measurement.
  • the properties of the radiation used by a metrology tool may affect the type and quality of measurements that may be performed.
  • it may be advantageous to use multiple radiation frequencies to measure a substrate for example broadband radiation may be used. Multiple different frequencies may be able to propagate, irradiate, and scatter off a metrology target with no or minimal interference with other frequencies. Therefore different frequencies may for example be used to obtain more metrology data simultaneously.
  • Broadband radiation may be useful in metrology systems MT such as for example level sensors, alignment mark measurement systems, scatterometry tools, or inspection tools.
  • a broadband radiation source may be a supercontinuum source.
  • High quality broadband radiation for example supercontinuum radiation
  • One method for generating broadband radiation may be to broaden high-power narrow band or single frequency input radiation or pump radiation, for example making use of non-linear, higher order effects.
  • the input radiation (which may be produced using a laser) may be referred to as pump radiation.
  • the input radiation may be referred to as seed radiation.
  • radiation may be confined into a small area so that strongly localised high intensity radiation is achieved. In those areas, the radiation may interact with broadening structures and/or materials forming a non-linear medium so as to create broadband output radiation. In the high intensity radiation areas, different materials and/or structures may be used to enable and/or improve radiation broadening by providing a suitable non-linear medium.
  • the broadband output radiation is created in a photonic crystal fiber (PCF).
  • a photonic crystal fiber has microstructures around its fiber core assisting in confining radiation that travels through the fiber in the fiber core.
  • the fiber core can be made of a solid material that has non-linear properties and that is capable of generating broadband radiation when high intensity pump radiation is transmitted through the fiber core.
  • it is feasible to generate broadband radiation in solid core photonic crystal fibers there may be a few disadvantages of using a solid material. For example, if UV radiation is generated in the solid core, this radiation might not be present in the output spectrum of the fiber because the radiation is absorbed by most solid material.
  • methods and apparatus for broadening input radiation may use a fiber for confining input radiation, and for broadening the input radiation to output broadband radiation.
  • the fiber may be a hollow core fiber, and may comprise internal structures to achieve effective guiding and confinement of radiation in the fiber.
  • the fiber may be, for example, a solid core photonic crystal fiber (SC-PCF) or hollow core photonic crystal fiber (HC-PCF).
  • SC-PCF solid core photonic crystal fiber
  • HC-PCF hollow core photonic crystal fiber
  • a HC-PCF for example, is particularly suitable for strong radiation confinement, predominantly inside the hollow core of the fiber, achieving high radiation intensities.
  • the hollow core of the fiber may be filled with a gas acting as a broadening medium for broadening input radiation.
  • Such a fiber and gas arrangement may be used to create a supercontinuum radiation source.
  • Radiation input to the fiber may be electromagnetic radiation, for example radiation in one or more of the infrared, visible, UV, and extreme UV spectra.
  • the output radiation may consist of or comprise broadband radiation, which may be referred to herein as white light.
  • the optical fiber is a hollow-core, photonic crystal fiber (HC-PCF).
  • the optical fiber may be a hollow-core, photonic crystal fiber of a type comprising anti-resonant structures for confinement of radiation.
  • Such fibers comprising anti-resonant structures are known in the art as anti-resonant fibers, tubular fibers, single-ring fibers, negative curvature fibers or inhibited coupling fibers.
  • the optical fiber may be photonic bandgap fibers (HC-PBFs, for example a Kagome fiber).
  • HC-PCFs hollow-core photonic bandgap fibers
  • HC-ARFs hollow-core anti -resonant reflecting fibers
  • Figure 7 is a schematic cross sectional view of the optical fiber OF in a transverse plane. Further embodiments similar to the practical example of the fiber of Figure 7 are disclosed in WO2017/032454A1.
  • the optical fiber OF comprises an elongate body, which is longer in one dimension compared to the other two dimensions of the fiber OF. This longer dimension may be referred to as an axial direction and may define an axis of the optical fiber OF. The two other dimensions define a plane which may be referred to as a transverse plane.
  • Figure 7 shows a cross-section of the optical fiber OF in this transverse plane (i.e. perpendicular to the axis), which is labelled as the x-y plane.
  • the transverse cross-section of the optical fiber OF may be substantially constant along the fiber axis.
  • the optical fiber OF has some degree of flexibility and therefore the direction of the axis will not, in general, be uniform along the length of the optical fiber OF.
  • the terms such as the optical axis, the transverse cross-section and the like will be understood to mean the local optical axis, the local transverse cross-section and so on.
  • the optical fiber OF may have any length and it will be appreciated that the length of the optical fiber OF may be dependent on the application.
  • the optical fiber OF may have a length between 1 cm and 10 m, for example, the optical fiber OF may have a length between 10 cm and 100 cm.
  • the optical fiber OF comprises: a hollow core HC; a cladding portion surrounding the hollow core HC; and a support portion SP surrounding and supporting the cladding portion.
  • the optical fiber OF may be considered to comprise a body (comprising the cladding portion and the support portion SP) having a hollow core HC.
  • the cladding portion comprises a plurality of antiresonance elements for guiding radiation through the hollow core HC.
  • the plurality of anti-resonance elements are arranged to confine radiation that propagates through the optical fiber OF predominantly inside the hollow core HC and to guide the radiation along the optical fiber OF.
  • the hollow core HC of the optical fiber OF may be disposed substantially in a central region of the optical fiber OF, so that the axis of the optical fiber OF may also define an axis of the hollow core HC of the optical fiber OF.
  • the cladding portion comprises a plurality of anti-resonance elements for guiding radiation propagating through the optical fiber OF.
  • the cladding portion comprises a single ring of six tubular capillaries CAP. Each of the tubular capillaries CAP acts as an anti-resonance element.
  • the capillaries CAP may also be referred to as tubes.
  • the capillaries CAP may be circular in cross section, or may have another shape.
  • Each capillary CAP comprises a generally cylindrical wall portion WP that at least partially defines the hollow core HC of the optical fiber OF and separates the hollow core HC from a capillary cavity CC.
  • the wall portion WP may act as an anti-reflecting Fabry-Perot resonator for radiation that propagates through the hollow core HC (and which may be incident on the wall portion WP at a grazing incidence angle).
  • the thickness of the wall portion WP may be suitable so as to ensure that reflection back into the hollow core HC is generally enhanced whereas transmission into the capillary cavity CC is generally suppressed.
  • the capillary wall portion WP may have a thickness between 0.01 - 10.0 pm.
  • the term cladding portion is intended to mean a portion of the optical fiber OF for guiding radiation propagating through the optical fiber OF (i.e. the capillaries CAP which confine said radiation within the hollow core HC).
  • the radiation may be confined in the form of transverse modes, propagating along the fiber axis.
  • the support portion is generally tubular and supports the six capillaries CAP of the cladding portion.
  • the six capillaries CAP are distributed evenly around an inner surface if the inner support portion SP.
  • the six capillaries CAP may be described as being disposed in a generally hexagonal formation.
  • the capillaries CAP are arranged so that each capillary is not in contact with any of the other capillaries CAP.
  • Each of the capillaries CAP is in contact with the inner support portion SP and spaced apart from adjacent capillaries CAP in the ring structure.
  • Such an arrangement may be beneficial since it may increase a transmission bandwidth of the optical fiber OF (relative, for example, to an arrangement wherein the capillaries are in contact with each other).
  • each of the capillaries CAP may be in contact with adjacent capillaries CAP in the ring structure.
  • the six capillaries CAP of the cladding portion are disposed in a ring structure around the hollow core HC.
  • An inner surface of the ring structure of capillaries CAP at least partially defines the hollow core HC of the optical fiber OF.
  • the diameter d of the hollow core HC (which may be defined as the smallest dimension between opposed capillaries, indicated by arrow d) may be between 10 and 1000 pm.
  • the diameter d of the hollow core HC may affect the mode field diameter, impact loss, dispersion, modal plurality, and non-linearity properties of the hollow core HC optical fiber OF.
  • the cladding portion comprises a single ring arrangement of capillaries CAP (which act as anti-resonance elements). Therefore, a line in any radial direction from a center of the hollow core HC to an exterior of the optical fiber OF passes through no more than one capillary CAP.
  • FIG. 9(a) shows an embodiment of HC-PCFs with three rings of capillaries CAP stacking on top of each other along the radial direction.
  • each capillary CAP is in contact with other capillaries both in the same ring and in a different ring.
  • the embodiment shown in Figure 7 comprises a ring of six capillaries, in other embodiments, one or more rings comprising any number of antiresonance elements (for example 4, 5, 6, 7, 8, 9, 10, 11 or 12 capillaries) may be provided in the cladding portion.
  • Figure 9(b) shows a modified embodiment of the above discussed HC-PCFs with a single ring of tubular capillaries.
  • a support tube ST may be included in the HC-PCF.
  • the support tube may be made of silica.
  • the tubular capillaries of the examples of Figure 7 and Figures 9 (a) and (b) may have a circular cross-sectional shape. Other shapes are also possible for the tubular capillaries, like elliptical or polygonal cross-sections. Additionally, the solid material of the tubular capillaries of the examples of Figure 7 and Figures 9 (a) and (b) may comprise plastic material, like PMA, glass, like silica, or soft glass.
  • FIG 8 depicts a radiation source RDS for providing broadband output radiation.
  • the radiation source RDS comprises a pulsed pump radiation source PRS or any other type of source that is capable of generating short pulses of a desired length and energy level; an optical fiber OF (for example of the type shown in Figure 7) with a hollow core HC; and a working medium WM (for example a gas) disposed within the hollow core HC.
  • the radiation source RDS comprises the optical fiber OF shown in Figure 7, in alternative embodiments other types of hollow core HC optical fiber OF may be used.
  • the pulsed pump radiation source PRS is configured to provide input radiation IRD.
  • the hollow core HC of the optical fiber OF is arranged to receive the input radiation IRD from the pulsed pump radiation source PRS, and broaden it to provide output radiation ORD.
  • the working medium WM enables the broadening of the frequency range of the received input radiation IRD so as to provide broadband output radiation ORD.
  • the radiation source RDS further comprises a reservoir RSV.
  • the optical fiber OF is disposed inside the reservoir RSV.
  • the reservoir RSV may also be referred to as a housing, container or gas cell.
  • the reservoir RSV is configured to contain the working medium WM.
  • the reservoir RSV may comprise one or more features, known in the art, for controlling, regulating, and/or monitoring the composition of the working medium WM (which may be a gas) inside the reservoir RSV.
  • the reservoir RSV may comprise a first transparent window TW1.
  • the optical fiber OF is disposed inside the reservoir RSV such that the first transparent window TW 1 is located proximate to an input end IE of the optical fiber OF.
  • the first transparent window TW 1 may form part of a wall of the reservoir RSV.
  • the first transparent window TW1 may be transparent for at least the received input radiation frequencies, so that received input radiation IRD (or at least a large portion thereof) may be coupled into the optical fiber OF located inside reservoir RSV. It will be appreciated that optics (not shown) may be provided for coupling the input radiation IRD into the optical fiber OF.
  • the reservoir RSV comprises a second transparent window TW2, forming part of a wall of the reservoir RSV. In use, when the optical fiber OF is disposed inside the reservoir RSV, the second transparent window TW2 is located proximate to an output end OE of the optical fiber OF. The second transparent window TW2 may be transparent for at least the frequencies of the broadband output radiation ORD of the apparatus 120.
  • the two opposed ends of the optical fiber OF may be placed inside different reservoirs.
  • the optical fiber OF may comprise a first end section configured to receive input radiation IRD, and a second end section for outputting broadband output radiation ORD.
  • the first end section may be placed inside a first reservoir, comprising a working medium WM.
  • the second end section may be placed inside a second reservoir, wherein the second reservoir may also comprise a working medium WM.
  • the functioning of the reservoirs may be as described in relation to Figure 8 above.
  • the first reservoir may comprise a first transparent window, configured to be transparent for input radiation IRD.
  • the second reservoir may comprise a second transparent window configured to be transparent for broadband output broadband radiation ORD.
  • the first and second reservoirs may also comprise a sealable opening to permit the optical fiber OF to be placed partially inside and partially outside the reservoir, so that a gas can be sealed inside the reservoir.
  • the optical fiber OF may further comprise a middle section not contained inside a reservoir.
  • Such an arrangement using two separate gas reservoirs may be particularly convenient for embodiments wherein the optical fiber OF is relatively long (for example when the length is more than 1 m). It will be appreciated that for such arrangements which use two separate gas reservoirs, the two reservoirs (which may comprise one or more features, known in the art, for controlling, regulating, and/or monitoring the composition of a gas inside the two reservoirs) may be considered to provide an apparatus for providing the working medium WM within the hollow core HC of the optical fiber OF.
  • a window may be transparent for a frequency if at least 50%, 75%, 85%, 90%, 95%, or 99% of incident radiation of that frequency on the window is transmitted through the window.
  • Both the first TW1 and the second TW2 transparent windows may form an airtight seal within the walls of the reservoir RSV so that the working medium WM (which may be a gas) may be contained within the reservoir RSV. It will be appreciated that the gas WM may be contained within the reservoir RSV at a pressure different to the ambient pressure of the reservoir RSV.
  • the working medium WM which may be a gas
  • the working medium WM may comprise a noble gas such as Argon, Krypton, and Xenon, a Raman active gas such as Hydrogen, Deuterium and Nitrogen, or a gas mixture such as an Argon/Hydrogen mixture, a Xenon/Deuterium mixture, a Krypton/Nitrogen mixture, or a Nitrogen/Hydrogen mixture.
  • a noble gas such as Argon, Krypton, and Xenon
  • a Raman active gas such as Hydrogen, Deuterium and Nitrogen
  • a gas mixture such as an Argon/Hydrogen mixture, a Xenon/Deuterium mixture, a Krypton/Nitrogen mixture, or a Nitrogen/Hydrogen mixture.
  • the nonlinear optical processes can include modulational instability (MI), soliton self-compression, soliton fission, Kerr effect, Raman effect and dispersive wave generation (DWG), details of which are described in WO2018/127266A1 and US9160137B1 (both of which are hereby incorporated by reference). Since the dispersion of the filling gas can be tuned by varying the working medium WM pressure in the reservoir RSR (i.e. gas cell pressure), the generated broadband pulse dynamics and the associated spectral broadening characteristics can be adjusted so as to optimize the frequency conversion
  • the working medium WM may be disposed within the hollow core HC at least during receipt of input radiation IRD for producing broadband output radiation ORD. It will be appreciated that, while the optical fiber OF is not receiving input radiation IRD for producing broadband output radiation, the gas WM may be wholly or partially absent from the hollow core HC. [00084] In order to achieve frequency broadening high intensity radiation may be desirable.
  • An advantage of having a hollow core HC optical fiber OF is that it may achieve high intensity radiation through strong spatial confinement of radiation propagating through the optical fiber OF, achieving high localised radiation intensities.
  • the radiation intensity inside the optical fiber OF may be high, for example due to high received input radiation intensity and/or due to strong spatial confinement of the radiation inside the optical fiber OF.
  • hollow core optical fibers are that they can guide radiation having a broader wavelength range that solid-core fibers and, in particular, hollow core optical fibers can guide radiation in both the ultraviolet and infrared ranges.
  • An advantage of using a hollow core HC optical fiber OF may be that the majority of the radiation guided inside the optical fiber OF is confined to the hollow core HC. Therefore, the majority of the interaction of the radiation inside the optical fiber OF is with the working medium WM, which is provided inside the hollow core HC of the optical fiber OF. As a result, the broadening effects of the working medium WM on the radiation may be increased.
  • the received input radiation IRD may be electromagnetic radiation.
  • the input radiation IRD may be received as pulsed radiation.
  • the input radiation IRD may comprise ultrafast pulses, for example, generated by a laser.
  • the input radiation IRD may be coherent radiation.
  • the input radiation IRD may be collimated radiation, an advantage of which may be to facilitate and improve the efficiency of coupling the input radiation IRD into the optical fiber OF.
  • the input radiation IRD may comprise a single frequency, or a narrow range of frequencies.
  • the input radiation IRD may be generated by a laser.
  • the output radiation ORD may be collimated and/or may be coherent.
  • the broadband range of the output radiation ORD may be a continuous range, comprising a continuous range of radiation frequencies.
  • the output radiation ORD may comprise supercontinuum radiation.
  • Continuous radiation may be beneficial for use in a number of applications, for example in metrology applications.
  • the continuous range of frequencies may be used to interrogate a large number of properties.
  • the continuous range of frequencies may for example be used to determine and/or eliminate a frequency dependency of a measured property.
  • Supercontinuum output radiation ORD may comprise for example electromagnetic radiation over a wavelength range of 100 nm - 4000 nm.
  • the broadband output radiation ORD frequency range may be for example 400 nm - 900 nm, 500 nm - 900 nm, or 200 nm - 2000 nm.
  • the supercontinuum output radiation ORD may comprise white light.
  • the input radiation IRD provided by the pulsed pump radiation source PRS may be pulsed.
  • the input radiation IRD may comprise electromagnetic radiation of one or more frequencies between 200 nm and 2 pm.
  • the input radiation IRD may for example comprise electromagnetic radiation with a wavelength of 1.03 pm.
  • the repetition rate of the pulsed radiation IRD may be of an order of magnitude of 1 kHz to 100 MHz.
  • the pulse energies may have an order of magnitude of 0.1 pJ to 100 pj, for example 1 - 10 pJ.
  • a pulse duration for the input radiation IRD may be between 10 fs and 10 ps, for example 300 fs.
  • the average power of input radiation IRD may be between 100 mW to several 100 W.
  • the average power of input radiation IRD may for example be 20 - 50 W.
  • the pulsed pump radiation source PRS may be a laser.
  • the spatio-temporal transmission characteristics of such a laser pulse, e.g. its spectral amplitude and phase, transmitted along the optical fiber OF can be varied and tuned through adjustment of (pump) laser parameters, working component WM variations, and optical fiber OF parameters.
  • Said spatio-temporal transmission characteristics may include one or more of: output power, output mode profile, output temporal profile, width of the output temporal profile (or output pulse width), output spectral profile, and bandwidth of the output spectral profile (or output spectral bandwidth).
  • Said pulsed pump radiation source PRS parameters may include one or more of: pump wavelength, pump pulse energy, pump pulse width, pump pulse repetition rate.
  • Said optical fiber OF parameters may include one or more of: optical fiber length, size and shape of the hollow core HC, size and shape of the capillaries, thickness of the walls of the capillaries surrounding the hollow core HC.
  • Said working component WM, e.g. filling gas, parameters may include one or more of: gas type, gas pressure and gas temperature.
  • the broadband output radiation ORD provided by the radiation source RDS may have an average output power of at least 1 W.
  • the average output power may be at least 5 W.
  • the average output power may be at least 10 W.
  • the broadband output radiation ORD may be pulsed broadband output radiation ORD.
  • the broadband output radiation ORD may have a power spectral density in the entire wavelength band of the output radiation of at least 0.01 mW/nm.
  • the power spectral density in the entire wavelength band of the broadband output radiation may be at least 3 mW/nm.
  • the radiation source RDS typically requires free-space coupling of the input radiation IRD provided by the pulsed pump radiation source PRS into a hollow core HC optical fiber OF (e.g., HC-PCF); the characteristics of the broadband output radiation ORD are therefore alignment-sensitive. A small deviation from optimal in-coupling alignment can result in a considerable change in the characteristics of the broadband output radiation ORD (e.g., spectrum, power, temporal profile, spatial profile).
  • the radiation source RDS is often equipped with one or more diagnostic tools which are configured to measure the input radiation IRD and the output light from the hollow core HC optical fiber OF (e.g., HC-PCF) and, if necessary, provide a feedback to the radiation source RDS for corrective action (e.g., make a certain adjustment to the in-coupling alignment of the input radiation IRD so as to compensate the change in the broadband output radiation).
  • diagnostic tools configured to measure the input radiation IRD and the output light from the hollow core HC optical fiber OF (e.g., HC-PCF) and, if necessary, provide a feedback to the radiation source RDS for corrective action (e.g., make a certain adjustment to the in-coupling alignment of the input radiation IRD so as to compensate the change in the broadband output radiation).
  • the initial alignment of the input radiation IRD with respect to the HC-PCF may comprise two main steps, i.e., coarse alignment and fine alignment.
  • Coarse alignment is performed at a sufficiently low pump pulse energy or pump power to prevent damage to the HC-PCF. This step is to ensure the pump beam is properly coupled into the hollow core of the HC-PCF and the transverse core modes are excited at the front (or input) facet of the HC-PCF. Without coarse alignment, damage might occur when the center of the high power pump laser beam hits the cladding walls of the HC- PCF.
  • transmission efficiency defined as the ratio between the fiber output power and the fiber input power, is maximized at the low power level
  • fine alignment is to further maximize the transmission efficiency.
  • a maximized transmission efficiency (i.e. a maximized output power at a given input power) does not necessarily correspond to the highest mode purity, defined as the ratio between the power in the fundamental transverse mode and the total output power.
  • HOM higher order mode
  • the power and the spatial profile of the light emitted from the HC-PCF will need to be actively monitored by for example by a power measuring device and a mode measuring device, respectively.
  • the radiation source RDS may begin long-term operation.
  • the broadband output radiation ORD may be actively monitored by one or more diagnostic tools.
  • the radiation source RDS may take corrective action (e.g., through one or more of: adjusting the pulsed pump radiation source PRS, adjusting the in-coupling alignment of the input radiation, adjust the parameters of the working medium WM) to compensate the performance change and thus recover the optimal performance.
  • Such diagnostic tools may comprise, for example, one or more of: a power measuring device for measuring the power of the output radiation ORD, a mode measuring device for measuring the spatial profile of the output radiation ORD, a pulse measuring device for measuring temporal profile of the output radiation ORD and a spectrum measuring device for measuring spectral profile of the output radiation ORD.
  • a power measuring device for measuring the power of the output radiation ORD
  • a mode measuring device for measuring the spatial profile of the output radiation ORD
  • a pulse measuring device for measuring temporal profile of the output radiation ORD
  • a spectrum measuring device for measuring spectral profile of the output radiation ORD.
  • FIG 10 schematically depicts another example radiation source RDS.
  • an alignment unit AU is employed to control the alignment of the input radiation IRD with respect to the hollow core HC optical fiber OF.
  • the alignment unit AU may comprise one or more free space optics (e.g., a pair of highly reflective mirrors) arranged to adjust the beam direction of the input radiation IRD.
  • two conventional beam splitters BS1, BS2 are placed in the beam path of the output radiation ORD.
  • the two beam splitters BS1, BS2 are arranged to reflect off a portion DB1, DB2 of the output radiation ORD and direct them into two diagnostic tools DIG1, DIG2, respectively.
  • one or both of the two diagnostic tools DIG1, DIG2 may generate and transmit an error signal ER1, ER2 to one or more of the reservoir RSV, alignment unit AU and pulsed pump radiation source PRS, which upon receiving the error signals ER1, ER2 may make suitable adjustments (e.g., re-optimizing the in-coupling of the input radiation IRD to the optical fiber OF) to compensate the performance degradation.
  • suitable adjustments e.g., re-optimizing the in-coupling of the input radiation IRD to the optical fiber OF
  • each of the beam splitters BS1, BS2 is a plano-plano optical window (with or without optical coatings) rotated in such a way that the angle of incidence (AOI) of the optical beam (e.g., the beam of the output radiation ORD) is around 45 degrees.
  • Figure 11A schematically depicts the operating principle of a plano-plano optical window (or optical substrate made from a certain optical material, e.g., fused silica) based beam splitter.
  • the refractive index of the air is n t and the refractive index of the optical window is n 2 .
  • An un-polarized optical beam IB is incident on the first surface FS of the optical window OW at an AOI 0/ which is formed between the propagation direction of the incident beam IB and the normal vector NV of the first surface FS.
  • the AOI can be adjusted by rotating the optical window OW about the rotation axis which is perpendicular to the plane of incidence (POI).
  • the POI is made by the propagation direction of the incident optical beam IB and the normal vector NV which is perpendicular to the plane of the interface (e.g., the first surface FS of the optical window OW).
  • the rotation axis of the optical window OW coincides with the Z-axis and the POI overlaps with the X-Y plane.
  • a portion RB of the optical beam IB is reflected off the first surface FS and follows the direction having an angle with the normal vector NV.
  • the transmitted portion TB of the optical beam IB is refracted through the optical window OW at a refraction angle 9 t (with respect to the normal vector NV).
  • part (not shown) of the transmitted portion TB is reflected off the second surface SS while the other part transmits through the second surface SS and forms the output beam OB.
  • One drawback of using such an optical window OW is that the reflectance (or reflectivity) for the p-polarization P-pol and the reflectance for the s-polarization S-pol vary differently with the AOI.
  • the different variations in reflectance have the consequence of inducing polarization splitting to the incident optical beam IB and thus causing uncertainty in the characteristics of the reflected (and transmitted) beam RB.
  • the polarization splitting effect causes a change in the ratio between the power of the S-pol component and the power of the P-pol component of the reflected beam RB (or the transmitted beam TB) with respect to that of the incident optical beam IB.
  • the p-polarization P-pol and s-polarization S-pol states are mutually orthogonal and both perpendicular to propagation direction of the incident optical beam IB.
  • the polarization states are defined with respect to the plane of incidence POI: the P-pol state is parallel to the POI whereas the S- pol state is perpendicular to the POI.
  • the Fresnel equations [1] and [2] can be used to calculate the reflectance (or reflection coefficients) for both p-polarization P-pol and s-polarization S-pol states.
  • Figure 1 IB is an example plot of two reflectance curves calculated respectively for an optical wave in the p-polarization state and for an optical wave in the s-polarization state at an optical interface (e.g., the first surface FS of the optical window OW as shown in Figure 10).
  • an optical interface e.g., the first surface FS of the optical window OW as shown in Figure 10.
  • the AOI is 0 degree
  • the reflectance of the optical wave in the p-polarization state is the same as the reflectance of the optical wave in the s-polarization state.
  • the two reflectance curves maintain substantial overlapped until the AOI reaches around 10 degree where the two reflectance curves start to diverge and follow two separate trends.
  • the S-pol reflectance continues to increase as the AOI increases whereas the P-pol reflectance decreases first to a minimum (e.g., when the AOI is around 56 degree, also known as the Brewster angle) before increasing again.
  • the S-pol reflectance coincides again with the P-pol reflectance when the AOI is 90 degree.
  • the R s reflectance e.g., 0.083 in Figure 1 IB
  • the R p reflectance e.g., 0.007 in Figure 1 IB
  • the intensity I p of the P-pol component of the optical beam IB is substantially the same as the intensity I s of the S-pol component of the optical beam IB and the intensity ratio l s / I p between the two polarization components is 1.
  • the transmitted beam TB may also become partially polarized (with the power of the P-pol component being more than 10 times higher than that of the S-pol component).
  • the two polarization components of the incident optical beam may not have the same intensity but instead may vary with the angle of the polarization direction with respect to the S-pol or P-pol direction through the following equations:
  • the intensity ratio I s /I p between the two polarization components is dependent on the angle of the polarization direction with respect to the S-pol or P-pol direction.
  • the intensity of the reflected optical beam RB depends not only on the reflectance values for the S-pol and P-pol states at a given AOI but also on the ratio between the intensities of the S-pol polarization component and the P-pol polarization component of the incident optical beam IB. If the incident optical beam IB is linearly polarized along the P-pol direction, the P-pol component will have 100% of the intensity of the optical beam IB while there will be no S-pol component. However, only a small fraction of the P-pol component will be reflected at the front surface FS due to the very small reflectance value R p (e.g., as shown in Figure 1 IB) at an AOI of 45 degrees.
  • R p very small reflectance value
  • the reflectance (e.g., R s , R p ) of the beam splitter BS1, BS2 would be highly dependent on the polarization state of the output radiation ORD. Since the polarization state of the broadband output radiation ORD is typically undefined, the change in the characteristics (e.g., spectral profile, spatial profile, power) of the reflected beam DB1, DB2 resulting from the difference in reflectance for the two polarization states can thus vary significantly for different input polarization states.
  • the desired AOI should be no more than 10 degrees, or preferably no more than 5 degrees.
  • a small AOI results in a small angular separation between the incident optical beam IB and the reflected beam RB.
  • a significantly large path length will be needed in order to be able to spatially separate the two optical beams. Using a large path length is undesirable as it leads to a bulky optical setup which tends to suffer more stability issues compared to a compact setup.
  • the present disclosure proposes an optical arrangement that is capable of reflecting a beam of pulsed radiation in a more defined and compact manner. This is achieved by decomposing each pulse of the pulsed radiation into two pulse components having two orthogonal polarizations, imposing a temporal delay on the two pulse components and reflecting individually the two pulse components at a well-defined reflectance (or effective reflectance) R e f, which may be expressed as:
  • Figure 12 schematically depicts an optical arrangement for reflecting an incident beam IB of pulsed radiation (e.g., the output radiation ORD from the radiation source RDS shown in Figure 10) in accordance with an embodiment.
  • the optical arrangement OA comprises an optical retarder OT and an optical reflector OR.
  • the optical arrangement OA may be arranged to allow the beam IB of pulsed radiation to first pass through the optical retarder OT at a substantially normal incidence and then be at least partially reflected by the optical reflector OR.
  • the optical retarder OT comprises a first axis FA coinciding with a first linear polarization FPOL and a second axis SA coinciding with a second linear polarization SPOL.
  • the first axis FA and the second axis SA are orthogonal to each other; and thus the first linear polarization FPOL and the second linear polarization SPOL are orthogonal to each other.
  • the optical retarder OT is configured to decompose each pulse of the incident beam IB into a first pulse component FC having the first linear polarization FPOL and a second pulse component SC having the second linear polarization SPOL.
  • the optical retarder OT is configured to further impose a temporal delay TD between the first pulse component FC and the second pulse component SC of each pulse of the incident beam IB after having traversed the optical retarder OT.
  • the optical reflector OR comprises an axis of rotation RA which is perpendicular to the plane of incidence POL
  • the optical reflector OR is configured to at least partially reflect the first pulse component FC and the second pulse component SC of each pulse of the incident beam IB.
  • the optical arrangement is configured such that the first axis FA and second axis SA of the optical retarder OT are each at an angle which differs by substantially 45 degrees (e.g., around an axis defined by the propagation direction of the incident beam IB) to the axis of rotation RA of the optical reflector OR.
  • the optical retarder OT may comprise a birefringent crystal BRC.
  • the birefringent crystal BRC may be configured to decompose each pulse of the incident beam IB into an ordinary wave (o-wave) and an extraordinary wave (e-wave) corresponding respectively the first pulse component FC and second pulse component SC.
  • the o-wave may have first linear polarization FPOL and the e-wave may have the second linear polarization SPOL.
  • the birefringent crystal BRC may comprise one or more anisotropic optical materials, such as for example crystalline quartz, calcite, and sapphire.
  • the first axis and the second axis of the birefringent crystal BRC may be perpendicular to the propagation direction of the incident beam IB.
  • one of the first axis and the second axis may be the optical axis of the birefringent crystal BRC.
  • Figure 15 demonstrates the effectiveness of an embodiment as depicted in figure 12.
  • the polarization direction of the radiation beam IB was varied by rotation of a Half-Wave Plate (HWP) across a range of 360 degrees while monitoring a signal (voltage) of a photodiode positioned such that it monitors the intensity of the radiation beam RB after reflection from the optical reflector (OR).
  • the experiment was done with (solid line in the figure) and without the optical retarder OT (dashed line in the figure) present in the optical path.
  • the optical retarder was chosen to be a birefringent crystal BRC (alpha-BBO). From figure 15 it is clear that the presence of the Birefringent Crystal BRC almost completely removes any polarization dependency of the measured intensity of the radiation beam RB.
  • FIG 13 schematically depicts the operating principle of an example birefringent crystal BRC.
  • the birefringent crystal BRC comprises a first axis FA along the Y direction and a second axis SA along the X direction, the X and Y directions being indicated by the coordinate reference system shown in Figure 13.
  • Both of the first axis FA and the second axis SA are perpendicular to the propagation direction of the incident beam IB.
  • the first axis coincides with the optical axis OA of the birefringent crystal BRC.
  • the birefringent crystal BRC has a length L which together with the ordinary refractive index n 0 and extraordinary refractive index n e determine the amount of the temporal delay TD between the o-wave and e-wave after having traversed the full length of the birefringent crystal BRC.
  • the relation between the temporal delay TD and the refractive indices of the birefringent crystal BRC can be expressed by:
  • TD * (n e — n 0 ), in case of positive birefringence (n 0 ⁇ n e ), [7] wherein c denotes the speed of light, the ordinary refractive index n 0 and the extraordinary refractive index n e are the refractive indices experienced by the o-wave and the e-wave, respectively. The values of the two refractive indices are dependent on the material of the birefringent crystal BRC.
  • the extraordinary wave experiences a propagation direction dependent refractive index n e (0), where 6 denotes the angle formed between the propagation direction and the optical axis OA.
  • n e (0) a propagation direction dependent refractive index
  • More details on birefringence and how to calculate refractive indices for the o-wave and the e-wave in a birefringent crystal BRC can be referred to Amnon Yariv’s book, Optical Electronics, published by Holt, Rinehart, and Winston; 3rd Edition (January 1, 1984), incorporated herein by reference.
  • the birefringent crystal BRC is configured such that the temporal delay TD between the o-wave and e-wave is at least 50% of the pulse length of the incident beam IB.
  • the temporal delay TD is at least 50 fs, at least 100 fs, at least 200 fs, at least 400 fs, or at least 600 fs.
  • the optical retarder OT may comprise a liquid crystal polymer.
  • a liquid crystal polymer has a much lower damage threshold. Furthermore, retardation is more wavelength dependent.
  • the optical reflector OR may comprise an optical window OW (e.g., as shown in Figure 11 A).
  • the optical window OW may be operable to reflect the temporally delayed first pulse component FC and second pulse component SC of each pulse at any given AOI.
  • the AOI may be for example, at least 10 degrees, at least 20 degrees, at least 30 degrees, at least 40 degrees, or around 45 degrees.
  • the AOI may be adjusted by rotating the optical window OW about the rotation axis which is perpendicular to the POI (e.g., along the Z-axis shown in Figure 11 A).
  • the first pulse component FC and second pulse component SC of each pulse may be temporally delayed by a birefringent crystal BRC (e.g., as shown in Figure 13).
  • the first pulse component FC may be the o-wave having a first linear polarization FPOE along the second axis SA (e.g., as shown in Figure 13) and the second pulse component SC may be the e-wave having a second linear polarization SPOE along 1 the first axis FA or the optical axis OA (e.g., as shown in Figure 13).
  • the birefringent crystal BRC may be orientated in such a way that the first linear polarization FPOL of the o-wave and the second linear polarization SPOL of the e-wave both form an angle of substantially 45 degree with respect to the axis of rotation RA of the optical window OW.
  • the first linear polarization FPOL of the o-wave and the second linear polarization SPOL of the e-wave correspondingly thus also form an angle of substantially 45 degrees with respect to either the S-pol polarization (perpendicular to the POI) or the P-pol polarization (parallel to the POI).
  • any of the foregoing embodiments of the optical arrangement OA are used to replace each of the beam splitters BS 1, BS2, they will provide a well-defined reflectance for the pulsed radiation ORD and thus a certainty in the characteristics of the reflected beam DB1, DB2 which in turn result in more accurate error signals ER1, ER2 being generated by the diagnostic tools DIG1 DIG2 and more correct actions being taken by the radiation source RDS.
  • the optical reflector OR may comprise an optical substrate or window OW (e.g., as shown in Figure 11 A) having a front reflecting surface FS and a back reflecting surface SS, the front reflecting surface FS interacting with the pulsed radiation before the back reflecting surface SS, wherein the front reflecting surface FS comprises a first optical coating configured to partially reflect the first pulse component FC and the second pulse component SC of each pulse of the pulsed radiation (e.g., the output radiation ORD from the radiation source RDS shown in Figure 10).
  • an optical substrate or window OW e.g., as shown in Figure 11 A
  • the front reflecting surface FS comprises a first optical coating configured to partially reflect the first pulse component FC and the second pulse component SC of each pulse of the pulsed radiation (e.g., the output radiation ORD from the radiation source RDS shown in Figure 10).
  • the first optical coating may be configured to be highly reflective in a first wavelength range while highly transmissive in a second wavelength range of the pulsed radiation.
  • the first wavelength range may be for example, between 100 nm and 400 nm, between 150 nm and 400 nm, between 150 nm and 350 nm, or between 350 nm and 400 nm.
  • the second wavelength range may be for example, between 400 nm and 2000 nm, between 400 nm and 1600 nm, between 400 nm and 1200 nm, or between 400 nm and 700 nm.
  • the reflectivity of the first optical coating in the first wavelength range may be for example, higher than 80%, higher than 85%, higher than 90%, higher than higher than 95%, or higher than 99%.
  • the reflectivity of the first optical coating in the second wavelength range may be for example, lower than 20%, lower than 15%, lower than higher than 10%, or lower than 5%.
  • the first optical coating may be configured to be partially reflective and partially transmissive across the whole wavelength range (e.g., 100 nm to 4000 nm) of the pulsed radiation.
  • the partial reflectivity of the first optical coating may be for example, 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80% or 90%.
  • the back reflecting surface SS may comprise a second optical coating configured to at least partially reflect the part (e.g., in the second wavelength range) of the first pulse component FC and the second pulse component SC of each pulse of the pulsed radiation that has reached the back reflecting surface SS after traversing through the optical window OW.
  • the optical arrangement OA may further comprise one or more polarization conserving optical elements (e.g. total internal reflection (TIR) prisms) operable to direct the first pulse component and second pulse component of each pulse to one or more locations (e.g., to one or more sensors of interest).
  • TIR total internal reflection
  • the operation of the optical arrangement may comprise for example the following steps: directing the pulsed radiation into the optical arrangement such that the pulsed radiation first passes through the optical retarder and subsequently is reflected by the optical reflector to a target location; identifying the first axis and the second axis of the optical retarder; identifying the axis of rotation of the optical reflector; and rotating the optical retarder in the plane of the first axis and the second axis such that the first axis and the second axis of the optical retarder form an angle of substantially 45 degree with the axis of rotation of the optical reflector.
  • FIG. 14 is a block diagram that illustrates a computer system 1400 that may assist in implementing the methods and flows disclosed herein.
  • Computer system 1400 includes a bus 1402 or other communication mechanism for communicating information, and a processor 1404 (or multiple processors 1404 and 1405) coupled with bus 1402 for processing information.
  • Computer system 1400 also includes a main memory 1406, such as a random access memory (RAM) or other dynamic storage device, coupled to bus 1402 for storing information and instructions to be executed by processor 1404.
  • Main memory 1406 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor 1404.
  • Computer system 1400 further includes a read only memory (ROM) 1408 or other static storage device coupled to bus 1402 for storing static information and instructions for processor 1404.
  • ROM read only memory
  • a storage device 1410 such as a magnetic disk or optical disk, is provided and coupled to bus 1402 for storing information and instructions.
  • Computer system 1400 may be coupled via bus 1402 to a display 1412, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • a display 1412 such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • An input device 1414 is coupled to bus 1402 for communicating information and command selections to processor 1404.
  • cursor control 1414 such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor 1404 and for controlling cursor movement on display 1412.
  • This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane.
  • a touch panel (screen) display may also be used as an input device.
  • One or more of the methods as described herein may be performed by computer system 1400 in response to processor 1404 executing one or more sequences of one or more instructions contained in main memory 1406. Such instructions may be read into main memory 1406 from another computer-readable medium, such as storage device 1410. Execution of the sequences of instructions contained in main memory 1406 causes processor 1404 to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 1406. In an alternative embodiment, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
  • Nonvolatile media include, for example, optical or magnetic disks, such as storage device 1410.
  • Volatile media include dynamic memory, such as main memory 1406.
  • Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus 1402. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD- ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 1404 for execution.
  • the instructions may initially be borne on a magnetic disk of a remote computer.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem.
  • a modem local to computer system 1400 can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal.
  • An infrared detector coupled to bus 1402 can receive the data carried in the infrared signal and place the data on bus 1402.
  • Bus 1402 carries the data to main memory 1406, from which processor 1404 retrieves and executes the instructions.
  • the instructions received by main memory 1406 may optionally be stored on storage device 1410 either before or after execution by processor 1404.
  • Computer system 1400 also preferably includes a communication interface 1418 coupled to bus 1402.
  • Communication interface 1418 provides a two-way data communication coupling to a network link 1420 that is connected to a local network 1422.
  • communication interface 1418 may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line.
  • ISDN integrated services digital network
  • communication interface 1418 may be a local area network (LAN) card to provide a data communication connection to a compatible LAN.
  • LAN local area network
  • Wireless links may also be implemented.
  • communication interface 1418 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
  • Network link 1420 typically provides data communication through one or more networks to other data devices.
  • network link 1420 may provide a connection through local network 1422 to a host computer 1424 or to data equipment operated by an Internet Service Provider (ISP) 1426.
  • ISP 1426 in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the “Internet” 1428.
  • Internet 1428 uses electrical, electromagnetic or optical signals that carry digital data streams.
  • the signals through the various networks and the signals on network link 1420 and through communication interface 1418, which carry the digital data to and from computer system 1400, are exemplary forms of carrier waves transporting the information.
  • Computer system 1400 may send messages and receive data, including program code, through the network(s), network link 1420, and communication interface 1418.
  • a server 1430 might transmit a requested code for an application program through Internet 1428, ISP 1426, local network 1422 and communication interface 1418.
  • One such downloaded application may provide for one or more of the techniques described herein, for example.
  • the received code may be executed by processor 1404 as it is received, and/or stored in storage device 1410, or other non-volatile storage for later execution. In this manner, computer system 1400 may obtain application code in the form of a carrier wave.
  • An optical arrangement for reflecting pulsed radiation comprising: an optical retarder comprising a first axis coinciding with a first linear polarization state and a second axis coinciding with a second linear polarization state, said first axis and said second axis being orthogonal to each other; said optical retarder being configured to receive said pulsed radiation and decompose each pulse of the pulsed radiation into a first pulse component having the first linear polarization state and a second pulse component having the second polarization state; said optical retarder being further configured to impose a temporal delay between the first pulse component and the second pulse component of each pulse; and an optical reflector comprising an axis of rotation, said axis of rotation being perpendicular to a plane of incidence of the first pulse component and second first pulse component on the optical reflector, and being at an angle having a magnitude of substantially 45 degrees with respect to each of the first axis and second axis of the optical retarder, the optical reflector being configured to at least partially reflect the first pulse component and the
  • optical retarder comprises a birefringent crystal or liquid crystal polymer configured to decompose the pulsed radiation into an ordinary wave (o-wave) and an extraordinary wave (e-wave) corresponding respectively the first pulse component and second pulse component.
  • optical retarder comprises a birefringent crystal, the birefringent crystal comprising one or more of crystalline quartz, calcite, and sapphire.
  • optical reflector comprises an optical substrate having a front reflecting surface and a back reflecting surface, the front reflecting surface interacting with the pulsed radiation before the back reflecting surface; wherein the front reflecting surface comprises a first optical coating configured to partially reflect the first component and the second component of each pulse of the pulsed radiation.
  • the first optical coating is configured to have a first reflectivity in a first wavelength range and a second reflectivity in a second wavelength range, each of the first wavelength range and the second wavelength range being a sub-range of the pulsed radiation.
  • the first reflectivity is higher than 80% and the first wavelength range is between 100 nm and 400 nm; and wherein the second reflectivity is lower than 20% and the second wavelength range is between 400 nm and 2000 nm.
  • back reflecting surface comprises a second optical coating configured to partially reflect the part of the first component and the second component of the pulsed radiation that has reached the back reflecting surface after traversing through the optical substrate.
  • a method of setting up an optical arrangement comprising: identifying a first axis and a second axis of an optical retarder; identifying an axis of rotation of the optical reflector; and rotating the one or both of: the optical retarder in a first plane defined by the first axis and the second axis and the optical reflector in a plane parallel to said first plane, such that the first axis and the second axis of the optical retarder are each oriented at an angle having a magnitude substantially 45 degrees with the axis of rotation of the optical reflector.
  • a radiation source comprising: an optical fiber for generating broadband output radiation; and at least one optical arrangement of any of clauses 1 to 18, being arranged to reflect a portion of the output radiation of said radiation source.
  • a metrology device comprising a radiation source as defined in any of clauses 20 to 23.
  • a metrology device according to clause 24, wherein said metrology device is operable as scatterometer metrology apparatus.
  • a metrology device according to clause 24, wherein said metrology device is operable as a level sensor or an alignment sensor.
  • a lithographic apparatus comprising at least one said metrology device according to clause 26 for performing alignment and/or levelling metrology.
  • a lithographic cell comprising the lithographic apparatus of clause 27 and a metrology device according to clause 25.
  • lithographic apparatus may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid- crystal displays (LCDs), thin-film magnetic heads, etc.
  • Embodiments of the invention may form part of a mask inspection apparatus, a metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device). These apparatus may be generally referred to as lithographic tools. Such a lithographic tool may use vacuum conditions or ambient (non-vacuum) conditions.

Abstract

Disclosed is an optical arrangement for reflecting pulsed radiation, comprising: an optical retarder and optical reflector. The optical retarder comprises a first axis coinciding with a first linear polarization state and a second axis, orthogonal to the first axis, coinciding with a second linear polarization state. The optical retarder decomposes each pulse of the pulsed radiation into a first pulse component having the first linear polarization state and a second pulse component having the second polarization state and imposes a temporal delay between the first pulse component and the second pulse component of each pulse. The optical reflector comprises an axis of rotation at an angle having a magnitude of substantially 45 degrees with respect to each of the first axis and second axis of the optical retarder, the optical reflector being configured to at least partially reflect the first pulse component and the second pulse component of each pulse.

Description

METHOD AND APPARATUS FOR REFLECTING PULSED RADIATION
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority of EP application 22157862.8 which was filed on February 22, 2022 and which is incorporated herein in its entirety by reference.
FIELD
[0002] The present invention relates to a method and apparatus for reflecting pulsed radiation, in particular when such pulsed radiation is generated from a hollow-core photonic crystal fiber based broadband radiation generator.
BACKGROUND
[0003] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may, for example, project a pattern (also often referred to as “design layout” or “design”) at a patterning device (e.g., a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate (e.g., a wafer).
[0004] To project a pattern on a substrate a lithographic apparatus may use electromagnetic radiation. The wavelength of this radiation determines the minimum size of features which can be formed on the substrate. Typical wavelengths currently in use are 365 nm (i-line), 248 nm, 193 nm and 13.5 nm. A lithographic apparatus, which uses extreme ultraviolet (EUV) radiation, having a wavelength within the range 4-20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on a substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.
[0005] Low-ki lithography may be used to process features with dimensions smaller than the classical resolution limit of a lithographic apparatus. In such process, the resolution formula may be expressed as CD = kix /NA, where /. is the wavelength of radiation employed, NA is the numerical aperture of the projection optics in the lithographic apparatus, CD is the “critical dimension” (generally the smallest feature size printed, but in this case half-pitch) and ki is an empirical resolution factor. In general, the smaller ki the more difficult it becomes to reproduce the pattern on the substrate that resembles the shape and dimensions planned by a circuit designer in order to achieve particular electrical functionality and performance. To overcome these difficulties, sophisticated fine-tuning steps may be applied to the lithographic projection apparatus and/or design layout. These include, for example, but not limited to, optimization of NA, customized illumination schemes, use of phase shifting patterning devices, various optimization of the design layout such as optical proximity correction (OPC, sometimes also referred to as “optical and process correction”) in the design layout, or other methods generally defined as “resolution enhancement techniques” (RET). Alternatively, tight control loops for controlling a stability of the lithographic apparatus may be used to improve reproduction of the pattern at low kl.
[0006] Metrology tools are used in many aspects of the IC manufacturing process, for example as alignment tools for proper positioning of a substrate prior to an exposure, leveling tools to measure a surface topology of the substrate, for e.g., focus control and scatterometry based tools for inspecting/measuring the exposed and/or etched product in process control. In each case, a radiation source is required. For various reasons, including measurement robustness and accuracy, broadband or white light radiation sources are increasingly used for such metrology applications. It would be desirable to improve on present devices for broadband radiation generation.
SUMMARY
[0007] In a first aspect of the invention there is provided an optical arrangement for reflecting pulsed radiation, comprising: an optical retarder comprising a first axis coinciding with a first linear polarization state and a second axis coinciding with a second linear polarization state, said first axis and said second axis being orthogonal to each other; said optical retarder being configured to receive said pulsed radiation and decompose each pulse of the pulsed radiation into a first pulse component having the first linear polarization state and a second pulse component having the second polarization state; said optical retarder being further configured to impose a temporal delay between the first pulse component and the second pulse component of each pulse; and an optical reflector comprising an axis of rotation, said axis of rotation being perpendicular to a plane of incidence of the first pulse component and second first pulse component on the optical reflector, and being at an angle having a magnitude of substantially 45 degrees with respect to each of the first axis and second axis of the optical retarder, the optical reflector being configured to at least partially reflect the first pulse component and the second pulse component of each pulse.
[0008] In a second aspect of the invention there is provided a method of setting up an optical arrangement as claimed in any preceding claim, comprising: identifying a first axis and a second axis of an optical retarder; identifying an axis of rotation of the optical reflector; and rotating the one or both of: the optical retarder in a first plane defined by the first axis and the second axis and the optical reflector in a plane parallel to said first plane, such that the first axis and the second axis of the optical retarder are each oriented at an angle having a magnitude substantially 45 degrees with the axis of rotation of the optical reflector.
[0009] Other aspects of the invention comprise a radiation source comprising an optical arrangement according to the first aspect and metrology devices comprising such a radiation source.
BRIEF DESCRIPTION OF THE DRAWINGS
[00010] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which: Figure 1 depicts a schematic overview of a lithographic apparatus;
Figure 2 depicts a schematic overview of a lithographic cell;
Figure 3 depicts a schematic representation of holistic lithography, representing a cooperation between three key technologies to optimize semiconductor manufacturing;
Figure 4 depicts a schematic overview of a scatterometry apparatus used as a metrology device, which may comprise a radiation source according to embodiments of the invention;
Figure 5 depicts a schematic overview of a level sensor apparatus which may comprise a radiation source according to embodiments of the invention;
Figure 6 depicts a schematic overview of an alignment sensor apparatus which may comprise a radiation source according to embodiments of the invention;
Figure 7 is a schematic cross sectional view of a hollow core optical fiber that may form part of a radiation source according to an embodiment in a transverse plane (i.e. perpendicular to an axis of the optical fiber);
Figure 8 depicts a schematic representation of an example radiation source for providing broadband output radiation;
Figures 9 (a) and (b) schematically depict the transverse cross-sections of examples of hollow core photonic crystal fiber (HC-PCF) designs for supercontinuum generation;
Figure 10 depicts schematically another example radiation source for providing broadband output radiation;
Figure 11 A schematically depicts the operating principle of a plano-plano optical window based beam splitter;
Figure 1 IB is an example plot of two reflectance curves calculated respectively for an optical wave in the p-polarization state and for an optical wave in the s-polarization state at an optical interface;
Figure 12 schematically depicts an optical arrangement for reflecting an incident beam of pulsed radiation in accordance with an embodiment.;
Figure 13 depicts schematically the operating principle of an example birefringent crystal; and Figure 14 is a block diagram that illustrates a computer system that may assist in implementing the methods and flows disclosed herein.
Figure 15 depicts experimentally obtained results demonstrating the effectiveness of an embodiment as depicted in figure 12.
DETAILED DESCRIPTION
[00011] In the present document, the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range of about 5-100 nm). [00012] The term “reticle”, “mask” or “patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate. The term “light valve” can also be used in this context. Besides the classic mask (transmissive or reflective, binary, phase-shifting, hybrid, etc.), examples of other such patterning devices include a programmable mirror array and a programmable LCD array.
[00013] Figure 1 schematically depicts a lithographic apparatus LA. The lithographic apparatus LA includes an illumination system (also referred to as illuminator) IL configured to condition a radiation beam B (e.g., UV radiation, DUV radiation or EUV radiation), a mask support (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA in accordance with certain parameters, a substrate support (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate support in accordance with certain parameters, and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
[00014] In operation, the illumination system IL receives a radiation beam from a radiation source SO, e.g. via a beam delivery system BD. The illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic, and/or other types of optical components, or any combination thereof, for directing, shaping, and/or controlling radiation. The illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross section at a plane of the patterning device MA.
[00015] The term “projection system” PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and/or electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, and/or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system” PS.
[00016] The lithographic apparatus LA may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system PS and the substrate W - which is also referred to as immersion lithography. More information on immersion techniques is given in US6952253, which is incorporated herein by reference.
[00017] The lithographic apparatus LA may also be of a type having two or more substrate supports WT (also named “dual stage”). In such “multiple stage” machine, the substrate supports WT may be used in parallel, and/or steps in preparation of a subsequent exposure of the substrate W may be carried out on the substrate W located on one of the substrate support WT while another substrate W on the other substrate support WT is being used for exposing a pattern on the other substrate W. [00018] In addition to the substrate support WT, the lithographic apparatus LA may comprise a measurement stage. The measurement stage is arranged to hold a sensor and/or a cleaning device. The sensor may be arranged to measure a property of the projection system PS or a property of the radiation beam B. The measurement stage may hold multiple sensors. The cleaning device may be arranged to clean part of the lithographic apparatus, for example a part of the projection system PS or a part of a system that provides the immersion liquid. The measurement stage may move beneath the projection system PS when the substrate support WT is away from the projection system PS.
[00019] In operation, the radiation beam B is incident on the patterning device, e.g. mask, MA which is held on the mask support MT, and is patterned by the pattern (design layout) present on patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and a position measurement system IF, the substrate support WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B at a focused and aligned position. Similarly, the first positioner PM and possibly another position sensor (which is not explicitly depicted in Figure 1) may be used to accurately position the patterning device MA with respect to the path of the radiation beam B. Patterning device MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks Pl, P2. Although the substrate alignment marks Pl, P2 as illustrated occupy dedicated target portions, they may be located in spaces between target portions. Substrate alignment marks Pl, P2 are known as scribe-lane alignment marks when these are located between the target portions C.
[00020] As shown in Figure 2 the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to as a lithocell or (litho)cluster, which often also includes apparatus to perform pre- and post-exposure processes on a substrate W. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK, e.g. for conditioning the temperature of substrates W e.g. for conditioning solvents in the resist layers. A substrate handler, or robot, RO picks up substrates W from input/output ports I/Ol, I/O2, moves them between the different process apparatus and delivers the substrates W to the loading bay LB of the lithographic apparatus LA. The devices in the lithocell, which are often also collectively referred to as the track, are typically under the control of a track control unit TCU that in itself may be controlled by a supervisory control system SCS, which may also control the lithographic apparatus LA, e.g. via lithography control unit LACU.
[00021] In order for the substrates W exposed by the lithographic apparatus LA to be exposed correctly and consistently, it is desirable to inspect substrates to measure properties of patterned structures, such as overlay errors between subsequent layers, line thicknesses, critical dimensions (CD), etc. For this purpose, inspection tools (not shown) may be included in the lithocell LC. If errors are detected, adjustments, for example, may be made to exposures of subsequent substrates or to other processing steps that are to be performed on the substrates W, especially if the inspection is done before other substrates W of the same batch or lot are still to be exposed or processed.
[00022] An inspection apparatus, which may also be referred to as a metrology apparatus, is used to determine properties of the substrates W, and in particular, how properties of different substrates W vary or how properties associated with different layers of the same substrate W vary from layer to layer. The inspection apparatus may alternatively be constructed to identify defects on the substrate W and may, for example, be part of the lithocell LC, or may be integrated into the lithographic apparatus LA, or may even be a stand-alone device. The inspection apparatus may measure the properties on a latent image (image in a resist layer after the exposure), or on a semi-latent image (image in a resist layer after a post-exposure bake step PEB), or on a developed resist image (in which the exposed or unexposed parts of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).
[00023] Typically the patterning process in a lithographic apparatus LA is one of the most critical steps in the processing which requires high accuracy of dimensioning and placement of structures on the substrate W. To ensure this high accuracy, three systems may be combined in a so called “holistic” control environment as schematically depicted in Fig. 3. One of these systems is the lithographic apparatus LA which is (virtually) connected to a metrology tool MT (a second system) and to a computer system CL (a third system). The key of such “holistic” environment is to optimize the cooperation between these three systems to enhance the overall process window and provide tight control loops to ensure that the patterning performed by the lithographic apparatus LA stays within a process window. The process window defines a range of process parameters (e.g. dose, focus, overlay) within which a specific manufacturing process yields a defined result (e.g. a functional semiconductor device) - typically within which the process parameters in the lithographic process or patterning process are allowed to vary.
[00024] The computer system CL may use (part of) the design layout to be patterned to predict which resolution enhancement techniques to use and to perform computational lithography simulations and calculations to determine which mask layout and lithographic apparatus settings achieve the largest overall process window of the patterning process (depicted in Fig. 3 by the double arrow in the first scale SCI). Typically, the resolution enhancement techniques are arranged to match the patterning possibilities of the lithographic apparatus LA. The computer system CL may also be used to detect where within the process window the lithographic apparatus LA is currently operating (e.g. using input from the metrology tool MT) to predict whether defects may be present due to e.g. sub-optimal processing (depicted in Fig. 3 by the arrow pointing “0” in the second scale SC2).
[00025] The metrology tool MT may provide input to the computer system CL to enable accurate simulations and predictions, and may provide feedback to the lithographic apparatus LA to identify possible drifts, e.g. in a calibration status of the lithographic apparatus LA (depicted in Fig. 3 by the multiple arrows in the third scale SC3).
[00026] In lithographic processes, it is desirable to make frequently measurements of the structures created, e.g., for process control and verification. Tools to make such measurement are typically called metrology tools MT. Different types of metrology tools MT for making such measurements are known, including scanning electron microscopes or various forms of scatterometer metrology tools MT. Scatterometers are versatile instruments which allow measurements of the parameters of a lithographic process by having a sensor in the pupil or a conjugate plane with the pupil of the objective of the scatterometer, measurements usually referred as pupil based measurements, or by having the sensor in the image plane or a plane conjugate with the image plane, in which case the measurements are usually referred as image or field based measurements. Such scatterometers and the associated measurement techniques are further described in patent applications US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 or EP1,628,164A, incorporated herein by reference in their entirety. Aforementioned scatterometers may measure gratings using light from soft x-ray and visible to near-IR wavelength range.
[00027] In a first embodiment, the scatterometer MT is an angular resolved scatterometer. In such a scatterometer reconstruction methods may be applied to the measured signal to reconstruct or calculate properties of the grating. Such reconstruction may, for example, result from simulating interaction of scattered radiation with a mathematical model of the target structure and comparing the simulation results with those of a measurement. Parameters of the mathematical model are adjusted until the simulated interaction produces a diffraction pattern similar to that observed from the real target.
[00028] In a second embodiment, the scatterometer MT is a spectroscopic scatterometer MT. In such spectroscopic scatterometer MT, the radiation emitted by a radiation source is directed onto the target and the reflected or scattered radiation from the target is directed to a spectrometer detector, which measures a spectrum (i.e. a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile of the target giving rise to the detected spectrum may be reconstructed, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra.
[00029] In a third embodiment, the scatterometer MT is an ellipsometric scatterometer. The ellipsometric scatterometer allows for determining parameters of a lithographic process by measuring scattered radiation for each polarization states. Such metrology apparatus emits polarized light (such as linear, circular, or elliptic) by using, for example, appropriate polarization filters in the illumination section of the metrology apparatus. A source suitable for the metrology apparatus may provide polarized radiation as well. Various embodiments of existing ellipsometric scatterometers are described in US patent applications 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110 and 13/891,410 incorporated herein by reference in their entirety.
[00030] In one embodiment of the scatterometer MT, the scatterometer MT is adapted to measure the overlay of two misaligned gratings or periodic structures by measuring asymmetry in the reflected spectrum and/or the detection configuration, the asymmetry being related to the extent of the overlay. The two (typically overlapping) grating structures may be applied in two different layers (not necessarily consecutive layers), and may be formed substantially at the same position on the wafer. The scatterometer may have a symmetrical detection configuration as described e.g. in co-owned patent application EP1,628,164A, such that any asymmetry is clearly distinguishable. This provides a straightforward way to measure misalignment in gratings. Further examples for measuring overlay error between the two layers containing periodic structures as target is measured through asymmetry of the periodic structures may be found in PCT patent application publication no. WO 2011/012624 or US patent application US 20160161863, incorporated herein by reference in its entirety.
[00031] Other parameters of interest may be focus and dose. Focus and dose may be determined simultaneously by scatterometry (or alternatively by scanning electron microscopy) as described in US patent application US2011-0249244, incorporated herein by reference in its entirety. A single structure may be used which has a unique combination of critical dimension and sidewall angle measurements for each point in a focus energy matrix (FEM - also referred to as Focus Exposure Matrix). If these unique combinations of critical dimension and sidewall angle are available, the focus and dose values may be uniquely determined from these measurements.
[00032] A metrology target may be an ensemble of composite gratings, formed by a lithographic process, mostly in resist, but also after etch process for example. Typically the pitch and line-width of the structures in the gratings strongly depend on the measurement optics (in particular the NA of the optics) to be able to capture diffraction orders coming from the metrology targets. As indicated earlier, the diffracted signal may be used to determine shifts between two layers (also referred to ‘overlay’) or may be used to reconstruct at least part of the original grating as produced by the lithographic process. This reconstruction may be used to provide guidance of the quality of the lithographic process and may be used to control at least part of the lithographic process. Targets may have smaller sub-segmentation which are configured to mimic dimensions of the functional part of the design layout in a target. Due to this sub-segmentation, the targets will behave more similar to the functional part of the design layout such that the overall process parameter measurements resembles the functional part of the design layout better. The targets may be measured in an underfilled mode or in an overfilled mode. In the underfilled mode, the measurement beam generates a spot that is smaller than the overall target. In the overfilled mode, the measurement beam generates a spot that is larger than the overall target. In such overfilled mode, it may also be possible to measure different targets simultaneously, thus determining different processing parameters at the same time. [00033] Overall measurement quality of a lithographic parameter using a specific target is at least partially determined by the measurement recipe used to measure this lithographic parameter. The term “substrate measurement recipe” may include one or more parameters of the measurement itself, one or more parameters of the one or more patterns measured, or both. For example, if the measurement used in a substrate measurement recipe is a diffraction-based optical measurement, one or more of the parameters of the measurement may include the wavelength of the radiation, the polarization of the radiation, the incident angle of radiation relative to the substrate, the orientation of radiation relative to a pattern on the substrate, etc. One of the criteria to select a measurement recipe may, for example, be a sensitivity of one of the measurement parameters to processing variations. More examples are described in US patent application US2016-0161863 and published US patent application US 2016/0370717Alincorporated herein by reference in its entirety.
[00034] A metrology apparatus, such as a scatterometer, is depicted in Figure 4. It comprises a broadband (white light) radiation projector 2 which projects radiation onto a substrate 6. The reflected or scattered radiation is passed to a spectrometer detector 4, which measures a spectrum 10 (i.e. a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile giving rise to the detected spectrum may be reconstructed by processing unit PU, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom of Figure 3. In general, for the reconstruction, the general form of the structure is known and some parameters are assumed from knowledge of the process by which the structure was made, leaving only a few parameters of the structure to be determined from the scatterometry data. Such a scatterometer may be configured as a normalincidence scatterometer or an oblique-incidence scatterometer.
[00035] Overall measurement quality of a lithographic parameter via measurement of a metrology target is at least partially determined by the measurement recipe used to measure this lithographic parameter. The term “substrate measurement recipe” may include one or more parameters of the measurement itself, one or more parameters of the one or more patterns measured, or both. For example, if the measurement used in a substrate measurement recipe is a diffraction-based optical measurement, one or more of the parameters of the measurement may include the wavelength of the radiation, the polarization of the radiation, the incident angle of radiation relative to the substrate, the orientation of radiation relative to a pattern on the substrate, etc. One of the criteria to select a measurement recipe may, for example, be a sensitivity of one of the measurement parameters to processing variations. More examples are described in US patent application US2016/0161863 and published US patent application US 2016/0370717Alincorporated herein by reference in its entirety. [00036] Another type of metrology tool used in IC manufacture is a topography measurement system, level sensor or height sensor. Such a tool may be integrated in the lithographic apparatus, for measuring a topography of a top surface of a substrate (or wafer). A map of the topography of the substrate, also referred to as height map, may be generated from these measurements indicating a height of the substrate as a function of the position on the substrate. This height map may subsequently be used to correct the position of the substrate during transfer of the pattern on the substrate, in order to provide an aerial image of the patterning device in a properly focus position on the substrate. It will be understood that “height” in this context refers to a dimension broadly out of the plane to the substrate (also referred to as Z-axis). Typically, the level or height sensor performs measurements at a fixed location (relative to its own optical system) and a relative movement between the substrate and the optical system of the level or height sensor results in height measurements at locations across the substrate.
[00037] An example of a level or height sensor LS as known in the art is schematically shown in Figure 5, which illustrates only the principles of operation. In this example, the level sensor comprises an optical system, which includes a projection unit LSP and a detection unit LSD. The projection unit LSP comprises a radiation source LSO providing a beam of radiation LSB which is imparted by a projection grating PGR of the projection unit LSP. The radiation source LSO may be, for example, a narrowband or broadband light source, such as a supercontinuum light source, polarized or nonpolarized, pulsed or continuous, such as a polarized or non-polarized laser beam. The radiation source LSO may include a plurality of radiation sources having different colors, or wavelength ranges, such as a plurality of LEDs. The radiation source LSO of the level sensor LS is not restricted to visible radiation, but may additionally or alternatively encompass UV and/or IR radiation and any range of wavelengths suitable to reflect from a surface of a substrate.
[00038] The projection grating PGR is a periodic grating comprising a periodic structure resulting in a beam of radiation BE1 having a periodically varying intensity. The beam of radiation BE1 with the periodically varying intensity is directed towards a measurement location MLO on a substrate W having an angle of incidence ANG with respect to an axis perpendicular (Z-axis) to the incident substrate surface between 0 degrees and 90 degrees, typically between 70 degrees and 80 degrees. At the measurement location MLO, the patterned beam of radiation BE1 is reflected by the substrate W (indicated by arrows BE2) and directed towards the detection unit LSD.
[00039] In order to determine the height level at the measurement location MLO, the level sensor further comprises a detection system comprising a detection grating DGR, a detector DET and a processing unit (not shown) for processing an output signal of the detector DET. The detection grating DGR may be identical to the projection grating PGR. The detector DET produces a detector output signal indicative of the light received, for example indicative of the intensity of the light received, such as a photodetector, or representative of a spatial distribution of the intensity received, such as a camera. The detector DET may comprise any combination of one or more detector types.
[00040] By means of triangulation techniques, the height level at the measurement location MLO can be determined. The detected height level is typically related to the signal strength as measured by the detector DET, the signal strength having a periodicity that depends, amongst others, on the design of the projection grating PGR and the (oblique) angle of incidence ANG. [00041] The projection unit LSP and/or the detection unit LSD may include further optical elements, such as lenses and/or mirrors, along the path of the patterned beam of radiation between the projection grating PGR and the detection grating DGR (not shown).
[00042] In an embodiment, the detection grating DGR may be omitted, and the detector DET may be placed at the position where the detection grating DGR is located. Such a configuration provides a more direct detection of the image of the projection grating PGR.
[00043] In order to cover the surface of the substrate W effectively, a level sensor LS may be configured to project an array of measurement beams BE1 onto the surface of the substrate W, thereby generating an array of measurement areas MLO or spots covering a larger measurement range.
[00044] Various height sensors of a general type are disclosed for example in US7265364 and US7646471, both incorporated by reference. A height sensor using UV radiation instead of visible or infrared radiation is disclosed in US2010233600A1, incorporated by reference. In W02016102127A1, incorporated by reference, a compact height sensor is described which uses a multi-element detector to detect and recognize the position of a grating image, without needing a detection grating.
[00045] Another type of metrology tool used in IC manufacture is an alignment sensor. A critical aspect of performance of the lithographic apparatus is therefore the ability to place the applied pattern correctly and accurately in relation to features laid down in previous layers (by the same apparatus or a different lithographic apparatus). For this purpose, the substrate is provided with one or more sets of marks or targets. Each mark is a structure whose position can be measured at a later time using a position sensor, typically an optical position sensor. The position sensor may be referred to as “alignment sensor” and marks may be referred to as “alignment marks”.
[00046] A lithographic apparatus may include one or more (e.g. a plurality of) alignment sensors by which positions of alignment marks provided on a substrate can be measured accurately. Alignment (or position) sensors may use optical phenomena such as diffraction and interference to obtain position information from alignment marks formed on the substrate. An example of an alignment sensor used in current lithographic apparatus is based on a self-referencing interferometer as described in US6961116. Various enhancements and modifications of the position sensor have been developed, for example as disclosed in US2015261097A1. The contents of all of these publications are incorporated herein by reference.
[00047] Figure 6 is a schematic block diagram of an embodiment of a known alignment sensor AS, such as is described, for example, in US6961116, and which is incorporated by reference. Radiation source RSO provides a beam RB of radiation of one or more wavelengths, which is diverted by diverting optics onto a mark, such as mark AM located on substrate W, as an illumination spot SP. In this example the diverting optics comprises a spot mirror SM and an objective lens OL. The illumination spot SP, by which the mark AM is illuminated, may be slightly smaller in diameter than the width of the mark itself.
[00048] Radiation diffracted by the alignment mark AM is collimated (in this example via the objective lens OL) into an information-carrying beam IB. The term “diffracted” is intended to include zero-order diffraction from the mark (which may be referred to as reflection). A self-referencing interferometer SRI, e.g. of the type disclosed in US6961116 mentioned above, interferes the beam IB with itself after which the beam is received by a photodetector PD. Additional optics (not shown) may be included to provide separate beams in case more than one wavelength is created by the radiation source RSO. The photodetector may be a single element, or it may comprise a number of pixels, if desired. The photodetector may comprise a sensor array.
[00049] The diverting optics, which in this example comprises the spot mirror SM, may also serve to block zero order radiation reflected from the mark, so that the information-carrying beam IB comprises only higher order diffracted radiation from the mark AM (this is not essential to the measurement, but improves signal to noise ratios).
[00050] Intensity signals SI are supplied to a processing unit PU. By a combination of optical processing in the block SRI and computational processing in the unit PU, values for X- and Y- position on the substrate relative to a reference frame are output.
[00051] A single measurement of the type illustrated only fixes the position of the mark within a certain range corresponding to one pitch of the mark. Coarser measurement techniques are used in conjunction with this to identify which period of a sine wave is the one containing the marked position. The same process at coarser and/or finer levels may be repeated at different wavelengths for increased accuracy and/or for robust detection of the mark irrespective of the materials from which the mark is made, and materials on and/or below which the mark is provided. The wavelengths may be multiplexed and de-multiplexed optically so as to be processed simultaneously, and/or they may be multiplexed by time division or frequency division.
[00052] In this example, the alignment sensor and spot SP remain stationary, while it is the substrate W that moves. The alignment sensor can thus be mounted rigidly and accurately to a reference frame, while effectively scanning the mark AM in a direction opposite to the direction of movement of substrate W. The substrate W is controlled in this movement by its mounting on a substrate support and a substrate positioning system controlling the movement of the substrate support. A substrate support position sensor (e.g. an interferometer) measures the position of the substrate support (not shown). In an embodiment, one or more (alignment) marks are provided on the substrate support. A measurement of the position of the marks provided on the substrate support allows the position of the substrate support as determined by the position sensor to be calibrated (e.g. relative to a frame to which the alignment system is connected). A measurement of the position of the alignment marks provided on the substrate allows the position of the substrate relative to the substrate support to be determined. [00053] Metrology tools MT, such as a scatterometer, topography measurement system, or position measurement system mentioned above may use radiation originating from a radiation source to perform a measurement. The properties of the radiation used by a metrology tool may affect the type and quality of measurements that may be performed. For some applications, it may be advantageous to use multiple radiation frequencies to measure a substrate, for example broadband radiation may be used. Multiple different frequencies may be able to propagate, irradiate, and scatter off a metrology target with no or minimal interference with other frequencies. Therefore different frequencies may for example be used to obtain more metrology data simultaneously. Different radiation frequencies may also be able to interrogate and discover different properties of a metrology target. Broadband radiation may be useful in metrology systems MT such as for example level sensors, alignment mark measurement systems, scatterometry tools, or inspection tools. A broadband radiation source may be a supercontinuum source.
[00054] High quality broadband radiation, for example supercontinuum radiation, may be difficult to generate. One method for generating broadband radiation may be to broaden high-power narrow band or single frequency input radiation or pump radiation, for example making use of non-linear, higher order effects. The input radiation (which may be produced using a laser) may be referred to as pump radiation. Alternatively, the input radiation may be referred to as seed radiation. To obtain high power radiation for broadening effects, radiation may be confined into a small area so that strongly localised high intensity radiation is achieved. In those areas, the radiation may interact with broadening structures and/or materials forming a non-linear medium so as to create broadband output radiation. In the high intensity radiation areas, different materials and/or structures may be used to enable and/or improve radiation broadening by providing a suitable non-linear medium.
[00055] In some implementations, the broadband output radiation is created in a photonic crystal fiber (PCF). In several embodiments, such a photonic crystal fiber has microstructures around its fiber core assisting in confining radiation that travels through the fiber in the fiber core. The fiber core can be made of a solid material that has non-linear properties and that is capable of generating broadband radiation when high intensity pump radiation is transmitted through the fiber core. Although it is feasible to generate broadband radiation in solid core photonic crystal fibers, there may be a few disadvantages of using a solid material. For example, if UV radiation is generated in the solid core, this radiation might not be present in the output spectrum of the fiber because the radiation is absorbed by most solid material.
[00056] In some implementations, as discussed further below with reference to Figure 8, methods and apparatus for broadening input radiation may use a fiber for confining input radiation, and for broadening the input radiation to output broadband radiation. The fiber may be a hollow core fiber, and may comprise internal structures to achieve effective guiding and confinement of radiation in the fiber. The fiber may be, for example, a solid core photonic crystal fiber (SC-PCF) or hollow core photonic crystal fiber (HC-PCF). [00057] A HC-PCF, for example, is particularly suitable for strong radiation confinement, predominantly inside the hollow core of the fiber, achieving high radiation intensities. The hollow core of the fiber may be filled with a gas acting as a broadening medium for broadening input radiation. Such a fiber and gas arrangement may be used to create a supercontinuum radiation source. Radiation input to the fiber may be electromagnetic radiation, for example radiation in one or more of the infrared, visible, UV, and extreme UV spectra. The output radiation may consist of or comprise broadband radiation, which may be referred to herein as white light.
[00058] Some embodiments relate to a new design of such a broadband radiation source comprising an optical fiber. The optical fiber is a hollow-core, photonic crystal fiber (HC-PCF). In particular, the optical fiber may be a hollow-core, photonic crystal fiber of a type comprising anti-resonant structures for confinement of radiation. Such fibers comprising anti-resonant structures are known in the art as anti-resonant fibers, tubular fibers, single-ring fibers, negative curvature fibers or inhibited coupling fibers. Various different designs of such fibers are known in the art. Alternatively, the optical fiber may be photonic bandgap fibers (HC-PBFs, for example a Kagome fiber).
[00059] A number of types of HC-PCFs can be engineered, each based on a different physical guidance mechanism. Two such HC-PCFs include: hollow-core photonic bandgap fibers (HC-PBFs) and hollow-core anti -resonant reflecting fibers (HC-ARFs). Detail on the design and manufacture of HC-PCFs can be found in US patent US2004/015085A1 (for HC-PBFs) and International PCT patent application WO2017/032454A1 (for Hollow Core anti-resonant reflecting fibers), which are incorporated herein by reference. Figure 9(a) shows a Kagome fiber, comprising a Kagome lattice structure.
[00060] An example of an optical fiber for use in the radiation source is now described with reference to Figure 7, which is a schematic cross sectional view of the optical fiber OF in a transverse plane. Further embodiments similar to the practical example of the fiber of Figure 7 are disclosed in WO2017/032454A1.
[00061] The optical fiber OF comprises an elongate body, which is longer in one dimension compared to the other two dimensions of the fiber OF. This longer dimension may be referred to as an axial direction and may define an axis of the optical fiber OF. The two other dimensions define a plane which may be referred to as a transverse plane. Figure 7 shows a cross-section of the optical fiber OF in this transverse plane (i.e. perpendicular to the axis), which is labelled as the x-y plane. The transverse cross-section of the optical fiber OF may be substantially constant along the fiber axis.
[00062] It will be appreciated that the optical fiber OF has some degree of flexibility and therefore the direction of the axis will not, in general, be uniform along the length of the optical fiber OF. The terms such as the optical axis, the transverse cross-section and the like will be understood to mean the local optical axis, the local transverse cross-section and so on. Furthermore, where components are described as being cylindrical or tubular these terms will be understood to encompass such shapes that may have been distorted as the optical fiber OF is flexed. [00063] The optical fiber OF may have any length and it will be appreciated that the length of the optical fiber OF may be dependent on the application. The optical fiber OF may have a length between 1 cm and 10 m, for example, the optical fiber OF may have a length between 10 cm and 100 cm.
[00064] The optical fiber OF comprises: a hollow core HC; a cladding portion surrounding the hollow core HC; and a support portion SP surrounding and supporting the cladding portion. The optical fiber OF may be considered to comprise a body (comprising the cladding portion and the support portion SP) having a hollow core HC. The cladding portion comprises a plurality of antiresonance elements for guiding radiation through the hollow core HC. In particular, the plurality of anti-resonance elements are arranged to confine radiation that propagates through the optical fiber OF predominantly inside the hollow core HC and to guide the radiation along the optical fiber OF. The hollow core HC of the optical fiber OF may be disposed substantially in a central region of the optical fiber OF, so that the axis of the optical fiber OF may also define an axis of the hollow core HC of the optical fiber OF.
[00065] The cladding portion comprises a plurality of anti-resonance elements for guiding radiation propagating through the optical fiber OF. In particular, in this embodiment, the cladding portion comprises a single ring of six tubular capillaries CAP. Each of the tubular capillaries CAP acts as an anti-resonance element.
[00066] The capillaries CAP may also be referred to as tubes. The capillaries CAP may be circular in cross section, or may have another shape. Each capillary CAP comprises a generally cylindrical wall portion WP that at least partially defines the hollow core HC of the optical fiber OF and separates the hollow core HC from a capillary cavity CC. It will be appreciated that the wall portion WP may act as an anti-reflecting Fabry-Perot resonator for radiation that propagates through the hollow core HC (and which may be incident on the wall portion WP at a grazing incidence angle). The thickness of the wall portion WP may be suitable so as to ensure that reflection back into the hollow core HC is generally enhanced whereas transmission into the capillary cavity CC is generally suppressed. In some embodiments, the capillary wall portion WP may have a thickness between 0.01 - 10.0 pm.
[00067] It will be appreciated that, as used herein, the term cladding portion is intended to mean a portion of the optical fiber OF for guiding radiation propagating through the optical fiber OF (i.e. the capillaries CAP which confine said radiation within the hollow core HC). The radiation may be confined in the form of transverse modes, propagating along the fiber axis.
[00068] The support portion is generally tubular and supports the six capillaries CAP of the cladding portion. The six capillaries CAP are distributed evenly around an inner surface if the inner support portion SP. The six capillaries CAP may be described as being disposed in a generally hexagonal formation. [00069] The capillaries CAP are arranged so that each capillary is not in contact with any of the other capillaries CAP. Each of the capillaries CAP is in contact with the inner support portion SP and spaced apart from adjacent capillaries CAP in the ring structure. Such an arrangement may be beneficial since it may increase a transmission bandwidth of the optical fiber OF (relative, for example, to an arrangement wherein the capillaries are in contact with each other). Alternatively, in some embodiments, each of the capillaries CAP may be in contact with adjacent capillaries CAP in the ring structure.
[00070] The six capillaries CAP of the cladding portion are disposed in a ring structure around the hollow core HC. An inner surface of the ring structure of capillaries CAP at least partially defines the hollow core HC of the optical fiber OF. The diameter d of the hollow core HC (which may be defined as the smallest dimension between opposed capillaries, indicated by arrow d) may be between 10 and 1000 pm. The diameter d of the hollow core HC may affect the mode field diameter, impact loss, dispersion, modal plurality, and non-linearity properties of the hollow core HC optical fiber OF. [00071] In this embodiment, the cladding portion comprises a single ring arrangement of capillaries CAP (which act as anti-resonance elements). Therefore, a line in any radial direction from a center of the hollow core HC to an exterior of the optical fiber OF passes through no more than one capillary CAP.
[00072] It will be appreciated that other embodiments may be provided with different arrangements of anti-resonance elements. These may include arrangements having multiple rings of anti-resonance elements and arrangements having nested anti-resonance elements. Figure 9(a) shows an embodiment of HC-PCFs with three rings of capillaries CAP stacking on top of each other along the radial direction. In this embodiment, each capillary CAP is in contact with other capillaries both in the same ring and in a different ring. Furthermore, although the embodiment shown in Figure 7 comprises a ring of six capillaries, in other embodiments, one or more rings comprising any number of antiresonance elements (for example 4, 5, 6, 7, 8, 9, 10, 11 or 12 capillaries) may be provided in the cladding portion.
[00073] Figure 9(b) shows a modified embodiment of the above discussed HC-PCFs with a single ring of tubular capillaries. In the example of Figure 9(b) there are two coaxial rings of tubular capillaries 21. For holding the inner and outer rings of tubular capillaries 21, a support tube ST may be included in the HC-PCF. The support tube may be made of silica.
[00074] The tubular capillaries of the examples of Figure 7 and Figures 9 (a) and (b) may have a circular cross-sectional shape. Other shapes are also possible for the tubular capillaries, like elliptical or polygonal cross-sections. Additionally, the solid material of the tubular capillaries of the examples of Figure 7 and Figures 9 (a) and (b) may comprise plastic material, like PMA, glass, like silica, or soft glass.
[00075] Figure 8 depicts a radiation source RDS for providing broadband output radiation. The radiation source RDS comprises a pulsed pump radiation source PRS or any other type of source that is capable of generating short pulses of a desired length and energy level; an optical fiber OF (for example of the type shown in Figure 7) with a hollow core HC; and a working medium WM (for example a gas) disposed within the hollow core HC. Although in Figure 8 the radiation source RDS comprises the optical fiber OF shown in Figure 7, in alternative embodiments other types of hollow core HC optical fiber OF may be used.
[00076] The pulsed pump radiation source PRS is configured to provide input radiation IRD. The hollow core HC of the optical fiber OF is arranged to receive the input radiation IRD from the pulsed pump radiation source PRS, and broaden it to provide output radiation ORD. The working medium WM enables the broadening of the frequency range of the received input radiation IRD so as to provide broadband output radiation ORD.
[00077] The radiation source RDS further comprises a reservoir RSV. The optical fiber OF is disposed inside the reservoir RSV. The reservoir RSV may also be referred to as a housing, container or gas cell. The reservoir RSV is configured to contain the working medium WM. The reservoir RSV may comprise one or more features, known in the art, for controlling, regulating, and/or monitoring the composition of the working medium WM (which may be a gas) inside the reservoir RSV. The reservoir RSV may comprise a first transparent window TW1. In use, the optical fiber OF is disposed inside the reservoir RSV such that the first transparent window TW 1 is located proximate to an input end IE of the optical fiber OF. The first transparent window TW 1 may form part of a wall of the reservoir RSV. The first transparent window TW1 may be transparent for at least the received input radiation frequencies, so that received input radiation IRD (or at least a large portion thereof) may be coupled into the optical fiber OF located inside reservoir RSV. It will be appreciated that optics (not shown) may be provided for coupling the input radiation IRD into the optical fiber OF. [00078] The reservoir RSV comprises a second transparent window TW2, forming part of a wall of the reservoir RSV. In use, when the optical fiber OF is disposed inside the reservoir RSV, the second transparent window TW2 is located proximate to an output end OE of the optical fiber OF. The second transparent window TW2 may be transparent for at least the frequencies of the broadband output radiation ORD of the apparatus 120.
[00079] Alternatively, in another embodiment, the two opposed ends of the optical fiber OF may be placed inside different reservoirs. The optical fiber OF may comprise a first end section configured to receive input radiation IRD, and a second end section for outputting broadband output radiation ORD. The first end section may be placed inside a first reservoir, comprising a working medium WM. The second end section may be placed inside a second reservoir, wherein the second reservoir may also comprise a working medium WM. The functioning of the reservoirs may be as described in relation to Figure 8 above. The first reservoir may comprise a first transparent window, configured to be transparent for input radiation IRD. The second reservoir may comprise a second transparent window configured to be transparent for broadband output broadband radiation ORD. The first and second reservoirs may also comprise a sealable opening to permit the optical fiber OF to be placed partially inside and partially outside the reservoir, so that a gas can be sealed inside the reservoir. The optical fiber OF may further comprise a middle section not contained inside a reservoir. Such an arrangement using two separate gas reservoirs may be particularly convenient for embodiments wherein the optical fiber OF is relatively long (for example when the length is more than 1 m). It will be appreciated that for such arrangements which use two separate gas reservoirs, the two reservoirs (which may comprise one or more features, known in the art, for controlling, regulating, and/or monitoring the composition of a gas inside the two reservoirs) may be considered to provide an apparatus for providing the working medium WM within the hollow core HC of the optical fiber OF. [00080] In this context a window may be transparent for a frequency if at least 50%, 75%, 85%, 90%, 95%, or 99% of incident radiation of that frequency on the window is transmitted through the window.
[00081] Both the first TW1 and the second TW2 transparent windows may form an airtight seal within the walls of the reservoir RSV so that the working medium WM (which may be a gas) may be contained within the reservoir RSV. It will be appreciated that the gas WM may be contained within the reservoir RSV at a pressure different to the ambient pressure of the reservoir RSV.
[00082] The working medium WM may comprise a noble gas such as Argon, Krypton, and Xenon, a Raman active gas such as Hydrogen, Deuterium and Nitrogen, or a gas mixture such as an Argon/Hydrogen mixture, a Xenon/Deuterium mixture, a Krypton/Nitrogen mixture, or a Nitrogen/Hydrogen mixture. Depending on the type of filling gas, the nonlinear optical processes can include modulational instability (MI), soliton self-compression, soliton fission, Kerr effect, Raman effect and dispersive wave generation (DWG), details of which are described in WO2018/127266A1 and US9160137B1 (both of which are hereby incorporated by reference). Since the dispersion of the filling gas can be tuned by varying the working medium WM pressure in the reservoir RSR (i.e. gas cell pressure), the generated broadband pulse dynamics and the associated spectral broadening characteristics can be adjusted so as to optimize the frequency conversion
[00083] In one implementation, the working medium WM may be disposed within the hollow core HC at least during receipt of input radiation IRD for producing broadband output radiation ORD. It will be appreciated that, while the optical fiber OF is not receiving input radiation IRD for producing broadband output radiation, the gas WM may be wholly or partially absent from the hollow core HC. [00084] In order to achieve frequency broadening high intensity radiation may be desirable. An advantage of having a hollow core HC optical fiber OF is that it may achieve high intensity radiation through strong spatial confinement of radiation propagating through the optical fiber OF, achieving high localised radiation intensities. The radiation intensity inside the optical fiber OF may be high, for example due to high received input radiation intensity and/or due to strong spatial confinement of the radiation inside the optical fiber OF. An advantage of hollow core optical fibers is that they can guide radiation having a broader wavelength range that solid-core fibers and, in particular, hollow core optical fibers can guide radiation in both the ultraviolet and infrared ranges. [00085] An advantage of using a hollow core HC optical fiber OF may be that the majority of the radiation guided inside the optical fiber OF is confined to the hollow core HC. Therefore, the majority of the interaction of the radiation inside the optical fiber OF is with the working medium WM, which is provided inside the hollow core HC of the optical fiber OF. As a result, the broadening effects of the working medium WM on the radiation may be increased.
[00086] The received input radiation IRD may be electromagnetic radiation. The input radiation IRD may be received as pulsed radiation. For example, the input radiation IRD may comprise ultrafast pulses, for example, generated by a laser.
[00087] The input radiation IRD may be coherent radiation. The input radiation IRD may be collimated radiation, an advantage of which may be to facilitate and improve the efficiency of coupling the input radiation IRD into the optical fiber OF. The input radiation IRD may comprise a single frequency, or a narrow range of frequencies. The input radiation IRD may be generated by a laser. Similarly, the output radiation ORD may be collimated and/or may be coherent.
[00088] The broadband range of the output radiation ORD may be a continuous range, comprising a continuous range of radiation frequencies. The output radiation ORD may comprise supercontinuum radiation. Continuous radiation may be beneficial for use in a number of applications, for example in metrology applications. For example, the continuous range of frequencies may be used to interrogate a large number of properties. The continuous range of frequencies may for example be used to determine and/or eliminate a frequency dependency of a measured property. Supercontinuum output radiation ORD may comprise for example electromagnetic radiation over a wavelength range of 100 nm - 4000 nm. The broadband output radiation ORD frequency range may be for example 400 nm - 900 nm, 500 nm - 900 nm, or 200 nm - 2000 nm. The supercontinuum output radiation ORD may comprise white light.
[00089] The input radiation IRD provided by the pulsed pump radiation source PRS may be pulsed. The input radiation IRD may comprise electromagnetic radiation of one or more frequencies between 200 nm and 2 pm. The input radiation IRD may for example comprise electromagnetic radiation with a wavelength of 1.03 pm. The repetition rate of the pulsed radiation IRD may be of an order of magnitude of 1 kHz to 100 MHz. The pulse energies may have an order of magnitude of 0.1 pJ to 100 pj, for example 1 - 10 pJ. A pulse duration for the input radiation IRD may be between 10 fs and 10 ps, for example 300 fs. The average power of input radiation IRD may be between 100 mW to several 100 W. The average power of input radiation IRD may for example be 20 - 50 W.
[00090] The pulsed pump radiation source PRS may be a laser. The spatio-temporal transmission characteristics of such a laser pulse, e.g. its spectral amplitude and phase, transmitted along the optical fiber OF can be varied and tuned through adjustment of (pump) laser parameters, working component WM variations, and optical fiber OF parameters. Said spatio-temporal transmission characteristics may include one or more of: output power, output mode profile, output temporal profile, width of the output temporal profile (or output pulse width), output spectral profile, and bandwidth of the output spectral profile (or output spectral bandwidth). Said pulsed pump radiation source PRS parameters may include one or more of: pump wavelength, pump pulse energy, pump pulse width, pump pulse repetition rate. Said optical fiber OF parameters may include one or more of: optical fiber length, size and shape of the hollow core HC, size and shape of the capillaries, thickness of the walls of the capillaries surrounding the hollow core HC. Said working component WM, e.g. filling gas, parameters may include one or more of: gas type, gas pressure and gas temperature.
[00091] The broadband output radiation ORD provided by the radiation source RDS may have an average output power of at least 1 W. The average output power may be at least 5 W. The average output power may be at least 10 W. The broadband output radiation ORD may be pulsed broadband output radiation ORD. The broadband output radiation ORD may have a power spectral density in the entire wavelength band of the output radiation of at least 0.01 mW/nm. The power spectral density in the entire wavelength band of the broadband output radiation may be at least 3 mW/nm.
[00092] The radiation source RDS (e.g., as shown in Figure 8) typically requires free-space coupling of the input radiation IRD provided by the pulsed pump radiation source PRS into a hollow core HC optical fiber OF (e.g., HC-PCF); the characteristics of the broadband output radiation ORD are therefore alignment-sensitive. A small deviation from optimal in-coupling alignment can result in a considerable change in the characteristics of the broadband output radiation ORD (e.g., spectrum, power, temporal profile, spatial profile). Thus, the radiation source RDS is often equipped with one or more diagnostic tools which are configured to measure the input radiation IRD and the output light from the hollow core HC optical fiber OF (e.g., HC-PCF) and, if necessary, provide a feedback to the radiation source RDS for corrective action (e.g., make a certain adjustment to the in-coupling alignment of the input radiation IRD so as to compensate the change in the broadband output radiation).
[00093] The initial alignment of the input radiation IRD with respect to the HC-PCF may comprise two main steps, i.e., coarse alignment and fine alignment. Coarse alignment is performed at a sufficiently low pump pulse energy or pump power to prevent damage to the HC-PCF. This step is to ensure the pump beam is properly coupled into the hollow core of the HC-PCF and the transverse core modes are excited at the front (or input) facet of the HC-PCF. Without coarse alignment, damage might occur when the center of the high power pump laser beam hits the cladding walls of the HC- PCF. Once the transverse core modes are excited and transmission efficiency, defined as the ratio between the fiber output power and the fiber input power, is maximized at the low power level, fine alignment at a high power level is started. Again, the purpose of fine alignment is to further maximize the transmission efficiency.
[00094] However, in such an optimization method, a maximized transmission efficiency (i.e. a maximized output power at a given input power) does not necessarily correspond to the highest mode purity, defined as the ratio between the power in the fundamental transverse mode and the total output power. In other words, higher order mode (HOM) content can still be present in the output of a broadband radiation source RDS even after the transmission efficiency is optimized. To obtain a good balance between the transmission efficiency of the input radiation IRD and the mode purity of the output radiation ORD, the power and the spatial profile of the light emitted from the HC-PCF will need to be actively monitored by for example by a power measuring device and a mode measuring device, respectively.
[00095] Once the initial alignment optimization is complete, the radiation source RDS may begin long-term operation. To maintain a stable performance, the broadband output radiation ORD may be actively monitored by one or more diagnostic tools. Where a performance degradation is identified by the diagnostic tools, the radiation source RDS may take corrective action (e.g., through one or more of: adjusting the pulsed pump radiation source PRS, adjusting the in-coupling alignment of the input radiation, adjust the parameters of the working medium WM) to compensate the performance change and thus recover the optimal performance. Such diagnostic tools may comprise, for example, one or more of: a power measuring device for measuring the power of the output radiation ORD, a mode measuring device for measuring the spatial profile of the output radiation ORD, a pulse measuring device for measuring temporal profile of the output radiation ORD and a spectrum measuring device for measuring spectral profile of the output radiation ORD. One or more of such diagnostic tools may require at least a portion of the output radiation ORD to be split from the main beam of the output radiation ORD.
[00096] Figure 10 schematically depicts another example radiation source RDS. In this example, an alignment unit AU is employed to control the alignment of the input radiation IRD with respect to the hollow core HC optical fiber OF. The alignment unit AU may comprise one or more free space optics (e.g., a pair of highly reflective mirrors) arranged to adjust the beam direction of the input radiation IRD. At the output end of the radiation source RDS, two conventional beam splitters BS1, BS2 are placed in the beam path of the output radiation ORD. The two beam splitters BS1, BS2 are arranged to reflect off a portion DB1, DB2 of the output radiation ORD and direct them into two diagnostic tools DIG1, DIG2, respectively. In the cases of performance degradation, one or both of the two diagnostic tools DIG1, DIG2 may generate and transmit an error signal ER1, ER2 to one or more of the reservoir RSV, alignment unit AU and pulsed pump radiation source PRS, which upon receiving the error signals ER1, ER2 may make suitable adjustments (e.g., re-optimizing the in-coupling of the input radiation IRD to the optical fiber OF) to compensate the performance degradation.
[00097] Conventionally, each of the beam splitters BS1, BS2 is a plano-plano optical window (with or without optical coatings) rotated in such a way that the angle of incidence (AOI) of the optical beam (e.g., the beam of the output radiation ORD) is around 45 degrees. Figure 11A schematically depicts the operating principle of a plano-plano optical window (or optical substrate made from a certain optical material, e.g., fused silica) based beam splitter. The refractive index of the air is nt and the refractive index of the optical window is n2. An un-polarized optical beam IB is incident on the first surface FS of the optical window OW at an AOI 0/ which is formed between the propagation direction of the incident beam IB and the normal vector NV of the first surface FS. The AOI can be adjusted by rotating the optical window OW about the rotation axis which is perpendicular to the plane of incidence (POI). The POI is made by the propagation direction of the incident optical beam IB and the normal vector NV which is perpendicular to the plane of the interface (e.g., the first surface FS of the optical window OW). According to the coordinate reference system shown in Figure 11 A, the rotation axis of the optical window OW coincides with the Z-axis and the POI overlaps with the X-Y plane. A portion RB of the optical beam IB is reflected off the first surface FS and follows the direction having an angle with the normal vector NV. The transmitted portion TB of the optical beam IB is refracted through the optical window OW at a refraction angle 9t (with respect to the normal vector NV). Upon impinging the second surface SS of the optical window OW, part (not shown) of the transmitted portion TB is reflected off the second surface SS while the other part transmits through the second surface SS and forms the output beam OB.
[00098] One drawback of using such an optical window OW is that the reflectance (or reflectivity) for the p-polarization P-pol and the reflectance for the s-polarization S-pol vary differently with the AOI. The different variations in reflectance have the consequence of inducing polarization splitting to the incident optical beam IB and thus causing uncertainty in the characteristics of the reflected (and transmitted) beam RB. The polarization splitting effect causes a change in the ratio between the power of the S-pol component and the power of the P-pol component of the reflected beam RB (or the transmitted beam TB) with respect to that of the incident optical beam IB. Referring back to Figure 11 A, the p-polarization P-pol and s-polarization S-pol states are mutually orthogonal and both perpendicular to propagation direction of the incident optical beam IB. The polarization states are defined with respect to the plane of incidence POI: the P-pol state is parallel to the POI whereas the S- pol state is perpendicular to the POI. The Fresnel equations [1] and [2] can be used to calculate the reflectance (or reflection coefficients) for both p-polarization P-pol and s-polarization S-pol states.
Figure imgf000023_0001
[00099] Figure 1 IB is an example plot of two reflectance curves calculated respectively for an optical wave in the p-polarization state and for an optical wave in the s-polarization state at an optical interface (e.g., the first surface FS of the optical window OW as shown in Figure 10). As can be seen in the figure, when the AOI is 0 degree, the reflectance of the optical wave in the p-polarization state is the same as the reflectance of the optical wave in the s-polarization state. The two reflectance curves maintain substantial overlapped until the AOI reaches around 10 degree where the two reflectance curves start to diverge and follow two separate trends. The S-pol reflectance continues to increase as the AOI increases whereas the P-pol reflectance decreases first to a minimum (e.g., when the AOI is around 56 degree, also known as the Brewster angle) before increasing again. The S-pol reflectance coincides again with the P-pol reflectance when the AOI is 90 degree. Hence, when the AOI is 45 degree, the Rs reflectance (e.g., 0.083 in Figure 1 IB) is more than one order of magnitude larger than the Rp reflectance (e.g., 0.007 in Figure 1 IB).
[000100] With reference to Figure 11 A, in the case where the incident optical beam IB is unpolarized, the intensity Ip of the P-pol component of the optical beam IB is substantially the same as the intensity Is of the S-pol component of the optical beam IB and the intensity ratio ls/ Ip between the two polarization components is 1. Upon reflection at the front surface FS of the optical window OW, the two polarization components may experience different reflectances Rp, Rs and consequently the reflected optical beam RB may become partially polarized (with the intensity of the reflected S-pol component IRBs = Is * Rs being more than 10 times higher than that of the P-pol component IRBp = Ip * Rp . Correspondingly, the transmitted beam TB may also become partially polarized (with the power of the P-pol component being more than 10 times higher than that of the S-pol component). By contrast, in the case where the incident optical beam IB is linearly polarized, the two polarization components of the incident optical beam may not have the same intensity but instead may vary with the angle of the polarization direction with respect to the S-pol or P-pol direction through the following equations:
Is = I IB * sin(y); and [3] Ip = IIB * cos(y); [4] where IIB denotes the intensity of the incident optical beam IB and y denotes the angle of the polarization direction of the incident optical beam IB with respect to the P-pol direction. The intensity ratio Is/Ip between the two polarization components is dependent on the angle of the polarization direction with respect to the S-pol or P-pol direction. As such, the intensity of the reflected optical beam RB (or the transmitted beam TB) depends not only on the reflectance values for the S-pol and P-pol states at a given AOI but also on the ratio between the intensities of the S-pol polarization component and the P-pol polarization component of the incident optical beam IB. If the incident optical beam IB is linearly polarized along the P-pol direction, the P-pol component will have 100% of the intensity of the optical beam IB while there will be no S-pol component. However, only a small fraction of the P-pol component will be reflected at the front surface FS due to the very small reflectance value Rp (e.g., as shown in Figure 1 IB) at an AOI of 45 degrees.
[000101] If the above-described optical windows OW were used as the beam splitters BS1, BS2 in the setup shown in Figure 10, the reflectance (e.g., Rs , Rp ) of the beam splitter BS1, BS2 would be highly dependent on the polarization state of the output radiation ORD. Since the polarization state of the broadband output radiation ORD is typically undefined, the change in the characteristics (e.g., spectral profile, spatial profile, power) of the reflected beam DB1, DB2 resulting from the difference in reflectance for the two polarization states can thus vary significantly for different input polarization states. The lack of certainty in the characteristics of the reflected beam DB1, DB2 in turn would result in inaccurate error signals ER1, ER2 being generated by the diagnostic tools DIG1 DIG2 and incorrect actions being taken by the radiation source RDS. One presently used method to avoid the pulse splitting issue is to use a sufficiently small AOI such that the reflectance values for the P-pol and S-pol states are the same or substantially close to each other. Referring back to Figure 11B, the desired AOI should be no more than 10 degrees, or preferably no more than 5 degrees. A small AOI results in a small angular separation between the incident optical beam IB and the reflected beam RB. Thus, a significantly large path length will be needed in order to be able to spatially separate the two optical beams. Using a large path length is undesirable as it leads to a bulky optical setup which tends to suffer more stability issues compared to a compact setup.
[000102] To mitigate the aforementioned issues, the present disclosure proposes an optical arrangement that is capable of reflecting a beam of pulsed radiation in a more defined and compact manner. This is achieved by decomposing each pulse of the pulsed radiation into two pulse components having two orthogonal polarizations, imposing a temporal delay on the two pulse components and reflecting individually the two pulse components at a well-defined reflectance (or effective reflectance) Ref, which may be expressed as:
Figure imgf000025_0001
[000103] Figure 12 schematically depicts an optical arrangement for reflecting an incident beam IB of pulsed radiation (e.g., the output radiation ORD from the radiation source RDS shown in Figure 10) in accordance with an embodiment. The optical arrangement OA comprises an optical retarder OT and an optical reflector OR. The optical arrangement OA may be arranged to allow the beam IB of pulsed radiation to first pass through the optical retarder OT at a substantially normal incidence and then be at least partially reflected by the optical reflector OR. [000104] The optical retarder OT comprises a first axis FA coinciding with a first linear polarization FPOL and a second axis SA coinciding with a second linear polarization SPOL. The first axis FA and the second axis SA are orthogonal to each other; and thus the first linear polarization FPOL and the second linear polarization SPOL are orthogonal to each other. The optical retarder OT is configured to decompose each pulse of the incident beam IB into a first pulse component FC having the first linear polarization FPOL and a second pulse component SC having the second linear polarization SPOL. The optical retarder OT is configured to further impose a temporal delay TD between the first pulse component FC and the second pulse component SC of each pulse of the incident beam IB after having traversed the optical retarder OT.
[000105] The optical reflector OR comprises an axis of rotation RA which is perpendicular to the plane of incidence POL The optical reflector OR is configured to at least partially reflect the first pulse component FC and the second pulse component SC of each pulse of the incident beam IB. The optical arrangement is configured such that the first axis FA and second axis SA of the optical retarder OT are each at an angle which differs by substantially 45 degrees (e.g., around an axis defined by the propagation direction of the incident beam IB) to the axis of rotation RA of the optical reflector OR. [000106] In an embodiment, the optical retarder OT may comprise a birefringent crystal BRC. The birefringent crystal BRC may be configured to decompose each pulse of the incident beam IB into an ordinary wave (o-wave) and an extraordinary wave (e-wave) corresponding respectively the first pulse component FC and second pulse component SC. The o-wave may have first linear polarization FPOL and the e-wave may have the second linear polarization SPOL. The birefringent crystal BRC may comprise one or more anisotropic optical materials, such as for example crystalline quartz, calcite, and sapphire. In an embodiment, the first axis and the second axis of the birefringent crystal BRC may be perpendicular to the propagation direction of the incident beam IB. In an embodiment, one of the first axis and the second axis may be the optical axis of the birefringent crystal BRC.
[000107] Figure 15 demonstrates the effectiveness of an embodiment as depicted in figure 12. The polarization direction of the radiation beam IB was varied by rotation of a Half-Wave Plate (HWP) across a range of 360 degrees while monitoring a signal (voltage) of a photodiode positioned such that it monitors the intensity of the radiation beam RB after reflection from the optical reflector (OR). The experiment was done with (solid line in the figure) and without the optical retarder OT (dashed line in the figure) present in the optical path. In this case the optical retarder was chosen to be a birefringent crystal BRC (alpha-BBO). From figure 15 it is clear that the presence of the Birefringent Crystal BRC almost completely removes any polarization dependency of the measured intensity of the radiation beam RB.
[000108] Figure 13 schematically depicts the operating principle of an example birefringent crystal BRC. In this example, the birefringent crystal BRC comprises a first axis FA along the Y direction and a second axis SA along the X direction, the X and Y directions being indicated by the coordinate reference system shown in Figure 13. Both of the first axis FA and the second axis SA are perpendicular to the propagation direction of the incident beam IB. The first axis coincides with the optical axis OA of the birefringent crystal BRC. The birefringent crystal BRC has a length L which together with the ordinary refractive index n0 and extraordinary refractive index ne determine the amount of the temporal delay TD between the o-wave and e-wave after having traversed the full length of the birefringent crystal BRC. The relation between the temporal delay TD and the refractive indices of the birefringent crystal BRC can be expressed by:
TD = * (n0 — rig), in case of negative birefringence (n0 > ne), or [6]
TD = * (ne — n0), in case of positive birefringence (n0 < ne), [7] wherein c denotes the speed of light, the ordinary refractive index n0 and the extraordinary refractive index ne are the refractive indices experienced by the o-wave and the e-wave, respectively. The values of the two refractive indices are dependent on the material of the birefringent crystal BRC. In the cases where the optical axis OA is not perpendicular to the propagation direction of the incident beam IB, the extraordinary wave experiences a propagation direction dependent refractive index ne (0), where 6 denotes the angle formed between the propagation direction and the optical axis OA. More details on birefringence and how to calculate refractive indices for the o-wave and the e-wave in a birefringent crystal BRC can be referred to Amnon Yariv’s book, Optical Electronics, published by Holt, Rinehart, and Winston; 3rd Edition (January 1, 1984), incorporated herein by reference.
[000109] Preferably, the birefringent crystal BRC is configured such that the temporal delay TD between the o-wave and e-wave is at least 50% of the pulse length of the incident beam IB. In an embodiment, the temporal delay TD is at least 50 fs, at least 100 fs, at least 200 fs, at least 400 fs, or at least 600 fs.
[000110] As an alternative the optical retarder OT may comprise a liquid crystal polymer. A liquid crystal polymer has a much lower damage threshold. Furthermore, retardation is more wavelength dependent.
[000111] In an embodiment, the optical reflector OR may comprise an optical window OW (e.g., as shown in Figure 11 A). The optical window OW may be operable to reflect the temporally delayed first pulse component FC and second pulse component SC of each pulse at any given AOI. The AOI may be for example, at least 10 degrees, at least 20 degrees, at least 30 degrees, at least 40 degrees, or around 45 degrees. The AOI may be adjusted by rotating the optical window OW about the rotation axis which is perpendicular to the POI (e.g., along the Z-axis shown in Figure 11 A). In the embodiment, the first pulse component FC and second pulse component SC of each pulse may be temporally delayed by a birefringent crystal BRC (e.g., as shown in Figure 13). Thus, the first pulse component FC may be the o-wave having a first linear polarization FPOE along the second axis SA (e.g., as shown in Figure 13) and the second pulse component SC may be the e-wave having a second linear polarization SPOE along 1 the first axis FA or the optical axis OA (e.g., as shown in Figure 13). The birefringent crystal BRC may be orientated in such a way that the first linear polarization FPOL of the o-wave and the second linear polarization SPOL of the e-wave both form an angle of substantially 45 degree with respect to the axis of rotation RA of the optical window OW. Referring back to Figure 11 A, since the rotation axis is perpendicular to the POI with respect which the S-pol and P-pol directions are defined, the first linear polarization FPOL of the o-wave and the second linear polarization SPOL of the e-wave correspondingly thus also form an angle of substantially 45 degrees with respect to either the S-pol polarization (perpendicular to the POI) or the P-pol polarization (parallel to the POI).
[000112] Therefore, as described above and according to equations [3] and [4], for an incident optical beam IB having a linear polarization oriented at 45 degree with respect to either the P-pol direction or the S-pol direction, its S-pol component and P-pol component will have the same intensity, i.e. 50% of the intensity of the incident optical beam IB. Accordingly, for either o-wave or e-wave, its S-pol component and P-pol component will have the same intensity. Consequently, the effective reflectance for the o-wave and the e-wave can be determined according to equation [5] for a given AOI. As shown in Figure 12, upon reflection, the first pulse component FC and the second pulse component SC of each pulse of the reflected beam RB may substantially preserve their respective linear polarizations.
[000113] Referring back to Figure 10, if any of the foregoing embodiments of the optical arrangement OA are used to replace each of the beam splitters BS 1, BS2, they will provide a well-defined reflectance for the pulsed radiation ORD and thus a certainty in the characteristics of the reflected beam DB1, DB2 which in turn result in more accurate error signals ER1, ER2 being generated by the diagnostic tools DIG1 DIG2 and more correct actions being taken by the radiation source RDS.
[000114] In an embodiment, the optical reflector OR may comprise an optical substrate or window OW (e.g., as shown in Figure 11 A) having a front reflecting surface FS and a back reflecting surface SS, the front reflecting surface FS interacting with the pulsed radiation before the back reflecting surface SS, wherein the front reflecting surface FS comprises a first optical coating configured to partially reflect the first pulse component FC and the second pulse component SC of each pulse of the pulsed radiation (e.g., the output radiation ORD from the radiation source RDS shown in Figure 10).
[000115] In an embodiment, the first optical coating may be configured to be highly reflective in a first wavelength range while highly transmissive in a second wavelength range of the pulsed radiation. The first wavelength range may be for example, between 100 nm and 400 nm, between 150 nm and 400 nm, between 150 nm and 350 nm, or between 350 nm and 400 nm. The second wavelength range may be for example, between 400 nm and 2000 nm, between 400 nm and 1600 nm, between 400 nm and 1200 nm, or between 400 nm and 700 nm. The reflectivity of the first optical coating in the first wavelength range (e.g., any of the above example first ranges) may be for example, higher than 80%, higher than 85%, higher than 90%, higher than higher than 95%, or higher than 99%. The reflectivity of the first optical coating in the second wavelength range (e.g., any of the above example second ranges) may be for example, lower than 20%, lower than 15%, lower than higher than 10%, or lower than 5%. In an embodiment, the first optical coating may be configured to be partially reflective and partially transmissive across the whole wavelength range (e.g., 100 nm to 4000 nm) of the pulsed radiation. The partial reflectivity of the first optical coating may be for example, 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80% or 90%. Optionally or in addition, the back reflecting surface SS may comprise a second optical coating configured to at least partially reflect the part (e.g., in the second wavelength range) of the first pulse component FC and the second pulse component SC of each pulse of the pulsed radiation that has reached the back reflecting surface SS after traversing through the optical window OW. [000116] In an embodiment, the optical arrangement OA may further comprise one or more polarization conserving optical elements (e.g. total internal reflection (TIR) prisms) operable to direct the first pulse component and second pulse component of each pulse to one or more locations (e.g., to one or more sensors of interest).
[000117] In an embodiment, the operation of the optical arrangement may comprise for example the following steps: directing the pulsed radiation into the optical arrangement such that the pulsed radiation first passes through the optical retarder and subsequently is reflected by the optical reflector to a target location; identifying the first axis and the second axis of the optical retarder; identifying the axis of rotation of the optical reflector; and rotating the optical retarder in the plane of the first axis and the second axis such that the first axis and the second axis of the optical retarder form an angle of substantially 45 degree with the axis of rotation of the optical reflector.
[000118] Figure 14 is a block diagram that illustrates a computer system 1400 that may assist in implementing the methods and flows disclosed herein. Computer system 1400 includes a bus 1402 or other communication mechanism for communicating information, and a processor 1404 (or multiple processors 1404 and 1405) coupled with bus 1402 for processing information. Computer system 1400 also includes a main memory 1406, such as a random access memory (RAM) or other dynamic storage device, coupled to bus 1402 for storing information and instructions to be executed by processor 1404. Main memory 1406 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor 1404. Computer system 1400 further includes a read only memory (ROM) 1408 or other static storage device coupled to bus 1402 for storing static information and instructions for processor 1404. A storage device 1410, such as a magnetic disk or optical disk, is provided and coupled to bus 1402 for storing information and instructions.
[000119] Computer system 1400 may be coupled via bus 1402 to a display 1412, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user. An input device 1414, including alphanumeric and other keys, is coupled to bus 1402 for communicating information and command selections to processor 1404. Another type of user input device is cursor control 1414, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor 1404 and for controlling cursor movement on display 1412. This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane. A touch panel (screen) display may also be used as an input device.
[000120] One or more of the methods as described herein may be performed by computer system 1400 in response to processor 1404 executing one or more sequences of one or more instructions contained in main memory 1406. Such instructions may be read into main memory 1406 from another computer-readable medium, such as storage device 1410. Execution of the sequences of instructions contained in main memory 1406 causes processor 1404 to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 1406. In an alternative embodiment, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
[000121] The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to processor 1404 for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Nonvolatile media include, for example, optical or magnetic disks, such as storage device 1410. Volatile media include dynamic memory, such as main memory 1406. Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus 1402. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD- ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
[000122] Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 1404 for execution. For example, the instructions may initially be borne on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to computer system 1400 can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal. An infrared detector coupled to bus 1402 can receive the data carried in the infrared signal and place the data on bus 1402. Bus 1402 carries the data to main memory 1406, from which processor 1404 retrieves and executes the instructions. The instructions received by main memory 1406 may optionally be stored on storage device 1410 either before or after execution by processor 1404.
[000123] Computer system 1400 also preferably includes a communication interface 1418 coupled to bus 1402. Communication interface 1418 provides a two-way data communication coupling to a network link 1420 that is connected to a local network 1422. For example, communication interface 1418 may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, communication interface 1418 may be a local area network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, communication interface 1418 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
[000124] Network link 1420 typically provides data communication through one or more networks to other data devices. For example, network link 1420 may provide a connection through local network 1422 to a host computer 1424 or to data equipment operated by an Internet Service Provider (ISP) 1426. ISP 1426 in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the “Internet” 1428. Local network 1422 and Internet 1428 both use electrical, electromagnetic or optical signals that carry digital data streams. The signals through the various networks and the signals on network link 1420 and through communication interface 1418, which carry the digital data to and from computer system 1400, are exemplary forms of carrier waves transporting the information.
[000125] Computer system 1400 may send messages and receive data, including program code, through the network(s), network link 1420, and communication interface 1418. In the Internet example, a server 1430 might transmit a requested code for an application program through Internet 1428, ISP 1426, local network 1422 and communication interface 1418. One such downloaded application may provide for one or more of the techniques described herein, for example. The received code may be executed by processor 1404 as it is received, and/or stored in storage device 1410, or other non-volatile storage for later execution. In this manner, computer system 1400 may obtain application code in the form of a carrier wave.
[000126] Further embodiments of the invention are disclosed in the list of numbered clauses below:
1. An optical arrangement for reflecting pulsed radiation, comprising: an optical retarder comprising a first axis coinciding with a first linear polarization state and a second axis coinciding with a second linear polarization state, said first axis and said second axis being orthogonal to each other; said optical retarder being configured to receive said pulsed radiation and decompose each pulse of the pulsed radiation into a first pulse component having the first linear polarization state and a second pulse component having the second polarization state; said optical retarder being further configured to impose a temporal delay between the first pulse component and the second pulse component of each pulse; and an optical reflector comprising an axis of rotation, said axis of rotation being perpendicular to a plane of incidence of the first pulse component and second first pulse component on the optical reflector, and being at an angle having a magnitude of substantially 45 degrees with respect to each of the first axis and second axis of the optical retarder, the optical reflector being configured to at least partially reflect the first pulse component and the second pulse component of each pulse. 2. An optical arrangement according to clause 1, wherein the optical retarder comprises a birefringent crystal or liquid crystal polymer configured to decompose the pulsed radiation into an ordinary wave (o-wave) and an extraordinary wave (e-wave) corresponding respectively the first pulse component and second pulse component.
3. An optical arrangement according to clause 2, wherein the optical retarder comprises a birefringent crystal, the birefringent crystal comprising one or more of crystalline quartz, calcite, and sapphire.
4. An optical arrangement according to any of clauses 1 to 3, being configured such that the first axis and the second axis of the optical retarder are each perpendicular to the propagation direction of the pulsed radiation.
5. An optical arrangement according to any of clauses 1 to 4, wherein one of the first axis and the second axis is the optical axis of the optical retarder.
6. An optical arrangement according to any preceding clause, wherein the temporal delay is at least 50% of a pulse length of the pulsed radiation.
7. An optical arrangement according to clause 6, wherein the temporal delay is at least 50 fs.
8. An optical arrangement according to clause 6, wherein the temporal delay is at least 100 fs.
9. An optical arrangement according to clause 6, wherein the temporal delay is at least 200 fs.
10. An optical arrangement according to any preceding clause, wherein the angle of incidence of the first pulse component and the second pulse component of each pulse on the optical reflector is at least 10 degrees.
11. An optical arrangement according to any of clauses 1 to 9, wherein the angle of incidence of the first pulse component and the second pulse component of each pulse on the optical reflector is at least 30 degrees.
12. An optical arrangement according to any of clauses 1 to 9, wherein the angle of incidence of the first pulse component and the second pulse component of each pulse on the optical reflector is between 40 degrees and 50 degrees.
13. An optical arrangement according to any preceding clause, wherein the optical reflector comprises an optical substrate having a front reflecting surface and a back reflecting surface, the front reflecting surface interacting with the pulsed radiation before the back reflecting surface; wherein the front reflecting surface comprises a first optical coating configured to partially reflect the first component and the second component of each pulse of the pulsed radiation.
14. An optical arrangement according to clause 13, wherein the first optical coating is configured to have a first reflectivity in a first wavelength range and a second reflectivity in a second wavelength range, each of the first wavelength range and the second wavelength range being a sub-range of the pulsed radiation. 15. An optical arrangement according to clause 14, wherein the first reflectivity is higher than 80% and the first wavelength range is between 100 nm and 400 nm; and wherein the second reflectivity is lower than 20% and the second wavelength range is between 400 nm and 2000 nm.
16. An optical arrangement according to clause 13, wherein the first optical coating is configured to be partially reflective across the entire wavelength range of the pulsed radiation.
17. An optical arrangement according to any of clauses 13 to 16, wherein the back reflecting surface comprises a second optical coating configured to partially reflect the part of the first component and the second component of the pulsed radiation that has reached the back reflecting surface after traversing through the optical substrate.
18. An optical arrangement according to any preceding clause, further comprising polarization conserving optical elements operable to direct the reflected first component and second component of each pulse of the pulsed radiation to one or more locations.
19. A method of setting up an optical arrangement according to any preceding clause, comprising: identifying a first axis and a second axis of an optical retarder; identifying an axis of rotation of the optical reflector; and rotating the one or both of: the optical retarder in a first plane defined by the first axis and the second axis and the optical reflector in a plane parallel to said first plane, such that the first axis and the second axis of the optical retarder are each oriented at an angle having a magnitude substantially 45 degrees with the axis of rotation of the optical reflector.
20. A radiation source comprising: an optical fiber for generating broadband output radiation; and at least one optical arrangement of any of clauses 1 to 18, being arranged to reflect a portion of the output radiation of said radiation source.
21. A radiation source according to clause 20, further comprising at least one diagnostic sensor or tool arranged to receive and measure said portion of output radiation.
22. A radiation source according to clause 21, further comprising an alignment module for aligning a pump radiation beam into an input facet of the optical fiber; and a control arrangement operable to control said alignment module based on an output of said at least one diagnostic sensor or tool.
23. A radiation source according to clause 20, 21 or 22, wherein the optical fiber is a solid core or hollow core photonic crystal fiber.
24. A metrology device comprising a radiation source as defined in any of clauses 20 to 23.
25. A metrology device according to clause 24, wherein said metrology device is operable as scatterometer metrology apparatus.
26. A metrology device according to clause 24, wherein said metrology device is operable as a level sensor or an alignment sensor.
27. A lithographic apparatus comprising at least one said metrology device according to clause 26 for performing alignment and/or levelling metrology.
28. A lithographic cell comprising the lithographic apparatus of clause 27 and a metrology device according to clause 25. [000127] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid- crystal displays (LCDs), thin-film magnetic heads, etc.
[000128] Although specific reference may be made in this text to embodiments of the invention in the context of a lithographic apparatus, embodiments of the invention may be used in other apparatus. Embodiments of the invention may form part of a mask inspection apparatus, a metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device). These apparatus may be generally referred to as lithographic tools. Such a lithographic tool may use vacuum conditions or ambient (non-vacuum) conditions.
[000129] Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention, where the context allows, is not limited to optical lithography and may be used in other applications, for example imprint lithography.
While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

Claims

1. An optical arrangement for reflecting pulsed radiation, comprising: an optical retarder comprising a first axis coinciding with a first linear polarization state and a second axis coinciding with a second linear polarization state, said first axis and said second axis being orthogonal to each other; said optical retarder being configured to receive said pulsed radiation and decompose each pulse of the pulsed radiation into a first pulse component having the first linear polarization state and a second pulse component having the second polarization state; said optical retarder being further configured to impose a temporal delay between the first pulse component and the second pulse component of each pulse; and an optical reflector comprising an axis of rotation, said axis of rotation being perpendicular to a plane of incidence of the first pulse component and second first pulse component on the optical reflector, and being at an angle having a magnitude of substantially 45 degrees with respect to each of the first axis and second axis of the optical retarder, the optical reflector being configured to at least partially reflect the first pulse component and the second pulse component of each pulse.
2. An optical arrangement as claimed in claim 1, wherein the optical retarder comprises a birefringent crystal or liquid crystal polymer configured to decompose the pulsed radiation into an ordinary wave (o-wave) and an extraordinary wave (e-wave) corresponding respectively the first pulse component and second pulse component.
3. An optical arrangement as claimed in claim 2, wherein the optical retarder comprises a birefringent crystal, the birefringent crystal comprising one or more of crystalline quartz, calcite, and sapphire.
4. An optical arrangement as claimed in claim 1, being configured such that the first axis and the second axis of the optical retarder are each perpendicular to the propagation direction of the pulsed radiation.
5. An optical arrangement as claimed in claim 1, wherein one of the first axis and the second axis is the optical axis of the optical retarder.
6. An optical arrangement as claimed in claim 1, wherein the temporal delay is at least 50% of a pulse length of the pulsed radiation.
7. An optical arrangement as claimed in claim 6, wherein the temporal delay is at least 50 fs.
8. An optical arrangement as claimed in claim 1, wherein the angle of incidence of the first pulse component and the second pulse component of each pulse on the optical reflector is at least 10 degrees.
9. An optical arrangement as claimed in claim 1, wherein the angle of incidence of the first pulse component and the second pulse component of each pulse on the optical reflector is between 40 degrees and 50 degrees.
10. An optical arrangement as claimed in claim 1, wherein the optical reflector comprises an optical substrate having a front reflecting surface and a back reflecting surface, the front reflecting surface interacting with the pulsed radiation before the back reflecting surface; wherein the front reflecting surface comprises a first optical coating configured to partially reflect the first component and the second component of each pulse of the pulsed radiation.
11. An optical arrangement as claimed in claim 10, wherein the first optical coating is configured to have a first reflectivity in a first wavelength range and a second reflectivity in a second wavelength range, each of the first wavelength range and the second wavelength range being a sub-range of the pulsed radiation.
12. A radiation source comprising: an optical fiber for generating broadband output radiation; and at least one optical arrangement of any of claims 1 to 11, being arranged to reflect a portion of the output radiation of said radiation source.
13. A radiation source as claimed in claim 12, further comprising at least one diagnostic sensor or tool arranged to receive and measure said portion of output radiation.
14. A radiation source as claimed in claim 13, further comprising an alignment module for aligning a pump radiation beam into an input facet of the optical fiber; and a control arrangement operable to control said alignment module based on an output of said at least one diagnostic sensor or tool.
15. A metrology device comprising a radiation source according to claim 12.
PCT/EP2023/051594 2022-02-22 2023-01-23 Method and apparatus for reflecting pulsed radiation WO2023160924A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP22157862 2022-02-22
EP22157862.8 2022-02-22

Publications (1)

Publication Number Publication Date
WO2023160924A1 true WO2023160924A1 (en) 2023-08-31

Family

ID=80446591

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2023/051594 WO2023160924A1 (en) 2022-02-22 2023-01-23 Method and apparatus for reflecting pulsed radiation

Country Status (2)

Country Link
TW (1) TW202349129A (en)
WO (1) WO2023160924A1 (en)

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040015085A1 (en) 2002-07-19 2004-01-22 Kwang-Sup Soh Apparatus and method for detecting luminescence from biological systems in response to magnetic fields
US6952253B2 (en) 2002-11-12 2005-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US6961116B2 (en) 2002-06-11 2005-11-01 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1628164A2 (en) 2004-08-16 2006-02-22 ASML Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterisation
US7265364B2 (en) 2004-06-10 2007-09-04 Asml Netherlands B.V. Level sensor for lithographic apparatus
EP2053349A2 (en) * 2007-10-25 2009-04-29 ASML Netherlands B.V. Method and apparatus for determining properties of a lithographic pattern on a substrate
US7646471B2 (en) 2003-01-14 2010-01-12 Asml Netherlands B.V. Lithographic apparatus, level sensor, method of inspection, device manufacturing method, and device manufactured thereby
US20100233600A1 (en) 2009-03-13 2010-09-16 Asml Netherlands B.V. Level sensor arrangement for lithographic apparatus and device manufacturing method
US20100328655A1 (en) 2007-12-17 2010-12-30 Asml, Netherlands B.V. Diffraction Based Overlay Metrology Tool and Method
US20110026032A1 (en) 2008-04-09 2011-02-03 Asml Netherland B.V. Method of Assessing a Model of a Substrate, an Inspection Apparatus and a Lithographic Apparatus
WO2011012624A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, and lithographic processing cell
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20110249244A1 (en) 2008-10-06 2011-10-13 Asml Netherlands B.V. Lithographic Focus and Dose Measurement Using A 2-D Target
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
EP2672244A1 (en) * 2012-06-07 2013-12-11 Politecnico Di Milano Phase-locked delay device including an optical wedge pair
US20150261097A1 (en) 2012-07-30 2015-09-17 Asml Netherlands B.V. Position Measuring Apparatus, Position Measuring Method, Lithographic Apparatus and Device Manufacturing Method
CN104934841A (en) * 2015-06-23 2015-09-23 南京朗研光电科技有限公司 High-energy pulse laser separation and compression method and device
US9160137B1 (en) 2014-05-09 2015-10-13 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e. V. Method and device for creating supercontinuum light pulses
US20160161863A1 (en) 2014-11-26 2016-06-09 Asml Netherlands B.V. Metrology method, computer product and system
CN103560391B (en) * 2013-11-13 2016-06-15 上海朗研光电科技有限公司 The high magnification separation pulse strenching method of multi-stage cascade polarization beam splitting
WO2016102127A1 (en) 2014-12-22 2016-06-30 Asml Netherlands B.V. Level sensor, lithographic apparatus and device manufacturing method
US20160370717A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
WO2017032454A1 (en) 2015-08-26 2017-03-02 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Hollow-core fibre and method of manufacturing thereof
WO2018127266A1 (en) 2017-01-09 2018-07-12 Max-Planck-Gesellschaft Zur Broadband light source device and method of creating broadband light pulses
US20210026255A1 (en) * 2019-07-24 2021-01-28 Asml Netherlands B.V. Radiation source

Patent Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6961116B2 (en) 2002-06-11 2005-11-01 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US20040015085A1 (en) 2002-07-19 2004-01-22 Kwang-Sup Soh Apparatus and method for detecting luminescence from biological systems in response to magnetic fields
US6952253B2 (en) 2002-11-12 2005-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7646471B2 (en) 2003-01-14 2010-01-12 Asml Netherlands B.V. Lithographic apparatus, level sensor, method of inspection, device manufacturing method, and device manufactured thereby
US7265364B2 (en) 2004-06-10 2007-09-04 Asml Netherlands B.V. Level sensor for lithographic apparatus
EP1628164A2 (en) 2004-08-16 2006-02-22 ASML Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterisation
EP2053349A2 (en) * 2007-10-25 2009-04-29 ASML Netherlands B.V. Method and apparatus for determining properties of a lithographic pattern on a substrate
US20100328655A1 (en) 2007-12-17 2010-12-30 Asml, Netherlands B.V. Diffraction Based Overlay Metrology Tool and Method
US20110026032A1 (en) 2008-04-09 2011-02-03 Asml Netherland B.V. Method of Assessing a Model of a Substrate, an Inspection Apparatus and a Lithographic Apparatus
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20110249244A1 (en) 2008-10-06 2011-10-13 Asml Netherlands B.V. Lithographic Focus and Dose Measurement Using A 2-D Target
US20100233600A1 (en) 2009-03-13 2010-09-16 Asml Netherlands B.V. Level sensor arrangement for lithographic apparatus and device manufacturing method
WO2011012624A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, and lithographic processing cell
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
EP2672244A1 (en) * 2012-06-07 2013-12-11 Politecnico Di Milano Phase-locked delay device including an optical wedge pair
US20150261097A1 (en) 2012-07-30 2015-09-17 Asml Netherlands B.V. Position Measuring Apparatus, Position Measuring Method, Lithographic Apparatus and Device Manufacturing Method
CN103560391B (en) * 2013-11-13 2016-06-15 上海朗研光电科技有限公司 The high magnification separation pulse strenching method of multi-stage cascade polarization beam splitting
US9160137B1 (en) 2014-05-09 2015-10-13 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e. V. Method and device for creating supercontinuum light pulses
US20160161863A1 (en) 2014-11-26 2016-06-09 Asml Netherlands B.V. Metrology method, computer product and system
WO2016102127A1 (en) 2014-12-22 2016-06-30 Asml Netherlands B.V. Level sensor, lithographic apparatus and device manufacturing method
US20160370717A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
CN104934841A (en) * 2015-06-23 2015-09-23 南京朗研光电科技有限公司 High-energy pulse laser separation and compression method and device
WO2017032454A1 (en) 2015-08-26 2017-03-02 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Hollow-core fibre and method of manufacturing thereof
WO2018127266A1 (en) 2017-01-09 2018-07-12 Max-Planck-Gesellschaft Zur Broadband light source device and method of creating broadband light pulses
US20210026255A1 (en) * 2019-07-24 2021-01-28 Asml Netherlands B.V. Radiation source

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
"Amnon Yariv's book, Optical Electronics", 1 January 1984, RINEHART, AND WINSTON
CASSATARO MARCO ET AL: "Generation of broadband mid-IR and UV light in gas-filled single-ring hollow-core PCF", OPTICS EXPRESS, vol. 25, no. 7, 3 April 2017 (2017-04-03), US, pages 7637, XP055887235, ISSN: 2161-2072, DOI: 10.1364/OE.25.007637 *

Also Published As

Publication number Publication date
TW202349129A (en) 2023-12-16

Similar Documents

Publication Publication Date Title
TWI769439B (en) Frequency broadening apparatus and method, and related metrology arrangement, metrology apparatus and lithographic apparatus
US11237486B2 (en) Radiation source
US20240061314A1 (en) Supercontinuum radiation source and associated metrology devices
EP3715944A1 (en) Frequency broadening apparatus and method
US11886096B2 (en) Assembly including a non-linear element and a method of use thereof
WO2023160924A1 (en) Method and apparatus for reflecting pulsed radiation
EP4174567A1 (en) Hollow-core photonic crystal fiber based broadband radiation generator
EP4060404A1 (en) Hollow-core photonic crystal fiber based multiple wavelength light source device
EP4141531A1 (en) Improved broadband radiation generation in photonic crystal or highly non-linear fibres
EP4163715A1 (en) Improved broadband radiation generation in photonic crystal or highly non-linear fibres
EP4174568A1 (en) Hollow-core photonic crystal fiber based broadband radiation generator
TWI820964B (en) Hollow-core photonic crystal fiber based broadband radiation generator
EP4273622A1 (en) Hollow-core optical fiber based radiation source
EP4086698A1 (en) Hollow-core optical fiber based radiation source
EP4289798A1 (en) Method of producing photonic crystal fibers
EP4012492A1 (en) Hollow-core photonic crystal fiber based broadband radiation generator
EP4309000A1 (en) Hollow-core optical fiber based radiation source
WO2023194049A1 (en) Hollow-core optical fiber based radiation source
WO2024056284A1 (en) A multi-pass radiation device
KR20240046486A (en) Improved broadband radiation generation within photonic crystals or highly nonlinear fibers
WO2022135823A1 (en) Methods and apparatus for providing a broadband light source
EP4288823A1 (en) Methods and apparatuses for spatially filtering optical pulses

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23701515

Country of ref document: EP

Kind code of ref document: A1