CN111316172A - 量测设备和确定感兴趣的特性的方法 - Google Patents

量测设备和确定感兴趣的特性的方法 Download PDF

Info

Publication number
CN111316172A
CN111316172A CN201880072181.5A CN201880072181A CN111316172A CN 111316172 A CN111316172 A CN 111316172A CN 201880072181 A CN201880072181 A CN 201880072181A CN 111316172 A CN111316172 A CN 111316172A
Authority
CN
China
Prior art keywords
sensor
radiation
optical system
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880072181.5A
Other languages
English (en)
Inventor
A·J·登博夫
R·J·A·范登厄特拉尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17207587.1A external-priority patent/EP3499312A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN111316172A publication Critical patent/CN111316172A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Multimedia (AREA)
  • Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

用于确定与衬底上的至少一个结构有关的感兴趣的特性的量测设备和方法。量测设备包括传感器和光学系统。传感器用于检测入射在传感器上的辐射的特性。光学系统包括照射路径和检测路径。光学系统被配置为利用经由照射路径从源接收的辐射来照射至少一个结构。光学系统被配置为接收由至少一个结构散射的辐射,并且经由检测路径将接收的辐射透射至传感器。

Description

量测设备和确定感兴趣的特性的方法
相关申请的交叉引用
本申请要求于2017年11月7日提交的欧洲申请17200265.1,于2017年11月28日提交的欧洲申请17204158.4,于2017年12月15日提交的欧洲申请17207587.1的优先权,这些欧洲申请的全部内容以引用的方式并入本文中。
技术领域
本发明涉及一种用于确定衬底上的结构的感兴趣的特性的量测设备。本发明还涉及一种确定感兴趣的特性的方法。
背景技术
光刻设备是被构造成将期望的图案施加到衬底上的机器。例如,光刻设备可用于制造集成电路(IC)。光刻设备可以例如将图案形成装置(例如,掩模)上的图案(通常也称为“设计布局”或“设计”)投影到设置在衬底(例如,晶片)上的辐射敏感材料(抗蚀剂)层上。
为了将图案投影在衬底上,光刻设备可以使用电磁辐射。该辐射的波长决定了可以形成在衬底上的特征的最小大小。当前使用的典型波长是365nm(i线),248nm,193nm和13.5nm。与使用例如波长为193nm的辐射的光刻设备相比,使用具有在4-20nm(例如6.7nm或13.5nm)范围内的波长的极紫外(EUV)辐射的光刻设备可用于在衬底上形成更小的特征。
低k1光刻术可用于处理尺寸小于光刻设备的经典分辨率极限的特征。在这样的过程中,分辨率公式可以表示为CD=k1×λ/NA,其中λ是所采用的辐射的波长,NA是光刻设备中投影光学器件的数值孔径,CD是“临界尺寸”(通常是印制的最小特征大小,但在这种情况下为半节距),k1是经验分辨率因数。通常,k1越小,在衬底上再现类似于电路设计者为实现特定的电气功能和性能而计划的形状和尺寸的图案的难度就越大。为了克服这些困难,可以将复杂的微调步骤应用于光刻投影设备和/或设计布局。这些包括,例如但不限于,NA的优化、定制的照射方案、相移图案形成装置的使用、设计布局的各种优化(例如光学邻近校正(OPC,有时也称为“光学和过程校正”))、或通常被定义为“分辨率增强技术”(RET)的其他方法。可替代地,可以使用用于控制光刻设备的稳定性的严格控制回路来改善在低k1下的图案的再现。
在光刻过程中,期望频繁地测量所创建的结构,例如,以进行过程控制和验证。进行这样的测量的各种工具是已知的,包括扫描电子显微镜或各种形式的量测设备,例如散射仪。量测设备可用于确定衬底上两个叠置的层之间的重叠值。如果重叠值偏离期望值,则量测设备可以将与期望值的偏离报告为重叠误差。
发明内容
一个目的是提供一种用于比已知的量测设备或检查设备更好的检查设备或量测设备的有效且高效的解决方案。
根据本发明的一个方面,提供了如权利要求中所限定的量测设备。根据本发明的另一方面,如权利要求中所限定的,提供了一种确定与衬底上的至少一个结构有关的感兴趣的特性的方法。权利要求通过引用合并于此。
附图说明
现在将参考所附示意性附图、仅以示例的方式来描述本发明的实施例,其中:
图1示出了光刻设备的示意图;
图2是光刻单元的示意图;
图3示出了整体光刻的示意图,代表了用于优化半导体制造的三种关键技术之间的协作;
图4示出了量测设备的实施例的示意图;
图5示出了量测设备的第二实施例的示意图;
图6示出了可以在衬底上制造并且可以通过量测设备的实施例进行检查的结构的多个示意图;
图7示出了可以通过量测设备的传感器获得的图像的一实施例;
图8示出了量测设备的第三实施例的示意图;
图9示出了量测设备的第四实施例的示意图;以及
图10示出了要在衬底上制造以确定重叠值的结构的示意图;
图11示出了目标的实施例的子分段的示意图;
图12示出了量测设备的照射光瞳和检测光瞳的示意图;
图13在图13(a)和图13(b)中示意性地示出了灵活和/或可控制的照射和检测光瞳布置的示例;
图14在图14(a)和图14(b)中示意性地示出了灵活和/或可控的照射和检测光瞳布置的其他示例;
图15示意性地示出了目标的另一实施例。
具体实施方式
在本文献中,术语“辐射”和“束”用于涵盖所有类型的电磁辐射,包括紫外辐射(例如波长为365、248、193、157或126nm)和EUV/软X射线辐射(极紫外辐射,例如,波长范围约为1-100nm)。
本文中所使用的术语“掩模版”、“掩模”或“图案形成装置”可以广义地解释为是指一种通用图案形成装置,其可用于向入射辐射束赋予图案化的横截面,该图案化的横截面对应于将在衬底的目标部分中创建的图案。在这种情况下,也可以使用术语“光阀”。除了经典的掩模(透射式或反射式、二元式、相移式、混合式等)之外,其他这样的图案形成装置的示例还包括可编程反射镜阵列和可编程LCD阵列。
图1示意性地示出了一种光刻设备LA。光刻设备LA包括:被配置为调节辐射束B(例如,UV辐射、DUV辐射或EUV辐射)的照射系统(也称为照射器)IL;掩模支撑件T(例如,掩模台),所述掩模支撑件被构造成支撑图案形成装置(例如掩模)MA,并被连接到被配置成用于根据某些参数精确地定位图案形成装置MA的第一定位器PM;衬底支撑件(例如,晶片台)WT,所述衬底支撑件被构造成保持衬底(例如,涂覆有抗蚀剂的晶片)W,且连接至配置成根据某些参数准确定位衬底支撑件的第二定位器PW;以及投影系统(例如折射式投影透镜系统)PS,所述投影系统配置成用于将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一个或更多个管芯)上。
在操作中,照射系统IL从辐射源SO例如通过束传递系统BD从辐射源SO接收辐射束。照射系统IL可以包括各种类型的光学部件,例如折射型、反射型、磁性型、电磁型、静电型和/或其它类型的光学部件、或其任意组合,以引导、成形、和/或控制辐射。照射器IL可以用于调节辐射束B以使其在图案形成装置MA的平面处的横截面中具有期望的空间强度分布和角强度分布。
本文中使用的术语“投影系统”PS应该被广义地理解为包括适合于所使用的曝光辐射和/或其他因素(诸如使用浸没液体或使用真空)的各种类型的投影系统,包括折射型、反射型、反射折射型、变形型、磁性型、电磁型和/或静电型光学系统,或它们的任何组合。本文中对术语“投影透镜”的任何使用可以被认为与更上位的术语“投影系统”PS同义。
光刻设备LA也可属于如下类型:其中衬底的至少一部分可由具有相对高折射率的液体(例如,水)覆盖,以便填充投影系统PS与衬底W之间的空间-这也被称为浸没式光刻术。关于浸没技术的更多信息在US6952253中给出,其通过引用并入本文。
光刻设备LA也可以属于具有两个或更多个衬底支撑件WT的类型(也称为“双平台”)。在这样的“多平台”机器中,可以并行地使用衬底支撑件WT,和/或可以在位于衬底支撑件WT之一上的衬底W上进行衬底W的随后曝光的准备的步骤的同时将另一衬底支撑件WT上的另一衬底W用于在该另一衬底W上曝光图案。
除了衬底支撑件WT之外,光刻设备LA可以包括测量平台。测量平台布置成保持传感器和/或清洁装置。传感器可以被布置为测量投影系统PS的属性或辐射束B的属性。测量平台可以保持多个传感器。清洁装置可以被布置为清洁光刻设备的一部分,例如投影系统PS的一部分或提供浸没液体的系统的一部分。当衬底支撑件WT远离投影系统PS时,测量平台可以在投影系统PS下方移动。
在操作中,辐射束B入射到图案形成装置(例如,被保持在掩模支撑件T上的掩模MA)上,并且通过存在于图案形成装置MA上的图案(设计布局)被图案化。横穿掩模MA之后,辐射束B穿过投影系统PS,所述投影系统PS将所述束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置测量系统IF,衬底支撑件WT可被精确地移动,例如,以将不同的目标部分C在聚焦和对准位置处定位在辐射束B的路径中。类似地,第一定位器PM和另一位置传感器(其未在图1中被明确地示出)可被用于相对于辐射束B的路径来精确地定位图案形成装置MA。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA和衬底W。尽管如图所示的衬底对准标记P1、P2占据专用目标部分,但是它们可以位于目标部分之间的空间中。当衬底对准标记P1、P2位于目标部分C之间时,它们被称为划线对准标记。
如图2所示,光刻设备LA可构成光刻单元LC的一部分,并且有时被称为光刻元或(光刻)簇,其还通常包括用于在衬底W上进行曝光前和曝光后过程的设备。通常,这些设备包括用于沉积抗蚀剂层的旋涂机SC、用于显影曝光后的抗蚀剂的显影器DE、激冷板CH和焙烤板BK(例如,用于调节衬底W的温度,例如用于调节抗蚀剂层中的溶剂)。衬底处理装置或机器人RO从输入/输出端口I/O1、I/O2拾取衬底W,在不同的过程设备之间移动衬底,然后将衬底W传递到光刻设备LA的进料LB。光刻单元中的装置(通常也统称为涂覆显影系统)通常受涂覆显影系统控制单元TCU的控制,涂覆显影系统控制单元TCU本身可以由管理控制系统SCS进行控制,该管理控制系统SCS也可以例如通过光刻控制单元LACU控制光刻设备LA。
为了使由光刻设备LA曝光的衬底W正确且一致地曝光,期望检查衬底以测量图案化结构的属性,例如后续层之间的重叠误差、线厚度、临界尺寸(CD)等。为此,可以将检查工具(未示出)包括在光刻单元LC中。如果检测到误差,则例如可以对随后的衬底的曝光或要在衬底W上执行的其他处理步骤进行调整,尤其是在同一批或批次的其他衬底仍将要曝光或处理之前进行检查的情况下。
检查设备(也可以称为量测设备)用于确定衬底W的属性,尤其是确定不同衬底W的属性如何变化,或与同一衬底W的不同层相关的属性如何在层与层之间变化。检查设备可以替代地被构造为识别衬底W上的缺陷,并且可以例如是光刻单元LC的一部分,或者可以被集成到光刻设备LA中,或者甚至可以是独立的装置。检查设备可以测量潜像(曝光后的抗蚀剂层中的图像)、或半潜像(曝光后焙烤步骤PEB之后的抗蚀剂层中的图像)、或显影后的抗蚀剂图像(其中抗蚀剂的已曝光或未曝光部分已去除)、或甚至已蚀刻的图像(在图案转印步骤(例如蚀刻)之后)的属性。
通常,光刻设备LA中的图案化过程是处理中最关键的步骤之一,其需要高准确度地确定在衬底W上的结构的尺寸和将所述结构放置在衬底W上。为确保这种高准确度,可以将三个系统组合成一个所谓的“整体”控制环境,如图3所示。这些系统之一是光刻设备LA,其(虚拟地)连接到量测工具MT(第二系统)和计算机系统CL(第三系统)。这种“整体”环境的关键是优化这三个系统之间的协作以增强整个过程窗口并提供严格控制回路,以确保由光刻设备LA执行的图案化保持在过程窗口内。过程窗口定义了过程参数(例如,剂量、聚焦、重叠)的范围,在这些过程参数的范围内,特定的制造过程会产生确定的结果(例如,功能半导体器件)-光刻过程或图案化过程中的过程参数通常允许在该范围内改变。
计算机系统CL可以使用要被图案化的设计布局(的一部分)来预测要使用哪种分辨率增强技术,并执行计算光刻模拟和计算以确定哪些掩模布局和光刻设备设置实现图案化过程的最大总体过程窗口(图3中第一标尺SC1中的双箭头所示)。通常,分辨率增强技术被布置为匹配光刻设备LA的图案化可能性。计算机系统CL还可以用于检测光刻设备LA当前正在过程窗口内的哪个位置(例如,使用来自量测工具MT的输入)操作,以预测是否存在由于例如次优化处理(在图3中,第二标尺SC2中指向“0”的箭头所示)而产生的缺陷。
量测工具MT可以向计算机系统CL提供输入以使得能够进行精确的模拟和预测,并且可以向光刻设备LA提供反馈以识别在例如光刻设备LA的校准状态(在图3中由第三标尺SC3中的多个箭头表示)中的可能的漂移。
在光刻过程中,期望频繁地测量所创建的结构,例如,以进行过程控制和验证。进行这样的测量的工具通常也称为量测工具MT。
用于进行这样的测量的不同类型的量测工具MT是已知的,包括扫描电子显微镜或各种形式的散射仪量测工具MT。散射仪是多功能仪器,其通过使传感器位于散射仪物镜的光瞳中或散射仪物镜的光瞳的共轭平面中来测量光刻过程的参数,该测量通常称为基于光瞳的测量,或者通过使传感器位于像平面或与像平面共轭的平面中来测量光刻过程的参数,在这种情况下,这些测量通常称为基于图像或场的测量。在专利申请US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中进一步描述了这样的散射仪和相关的测量技术,这些专利申请的全部内容通过引用并入本文中。前述散射仪可以使用来自软x射线和可见到近红外波长范围的光来测量光栅。
在第一实施例中,散射仪MT是角分辨散射仪。在这种散射仪中,可以将重构方法应用于所测量的信号以重构或计算光栅的属性。例如,可以通过模拟散射辐射与目标结构的数学模型的相互作用,并将模拟结果与测量结果进行比较,来进行这种重构。调整数学模型的参数,直到模拟的相互作用产生的衍射图案类似于从真实目标观察到的衍射图案。
在第二实施例中,散射仪MT是光谱散射仪MT。在这样的光谱散射仪MT中,由辐射源发射的辐射被引导到目标上,并且来自目标的反射或散射的辐射被引导到光谱仪检测器,该光谱仪检测器测量镜面反射辐射的光谱(即,强度测量结果作为波长的函数)。根据该数据,可以重构引起检测光谱的目标的结构或轮廓,例如,通过严格耦合波分析和非线性回归或通过与仿真光谱库进行比较。
在第三实施例中,散射仪MT是椭圆散射仪。椭圆散射仪可以通过测量每个偏振态的散射辐射来确定光刻过程。这样的量测设备通过在量测设备的照射部分中使用例如适当的偏振滤光器来发射偏振光(诸如线性、圆形或椭圆形的偏振光)。适用于量测设备的源也可以提供偏振辐射。在美国专利申请11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110和13/891,410中描述了现有的椭圆散射仪,这些专利申请通过引用整体并入本文。
在散射仪MT的一个实施例中,散射仪MT适于通过测量反射光谱和/或检测配置中的不对称性来测量两个未对准的光栅或周期性结构的重叠,该不对称性与重叠的程度有关。两个(通常叠置的)光栅结构可以施加在两个不同的层(不一定是连续的层)中,并且可以形成在晶片上的基本上相同的位置处。散射仪可以具有例如在共有专利申请EP1,628,164A中所述的对称检测配置,使得任何不对称性都是明显可区分的。这提供了一种测量光栅中的未对准的简单方法。可以在PCT专利申请公开号WO2011/012624A1或美国专利申请US20160161863A1中找到具有周期性结构作为目标并通过周期性结构的不对称性被测量的两层之间的重叠误差的测量的其他示例,这些专利申请通过引用整体并入本文。
在散射仪MT的又一实施例中,散射仪MT适于阻挡第零阶衍射辐射,并基于第一或更高衍射阶来形成图像,其中该图像不能分辨晶片上存在的结构。这样的设备是暗场量测设备,并且在国际专利申请WO2009/078708A1和WO2009/106279A1中描述了暗场设置中基于衍射的量测的示例,这些文献的全部内容通过引用合并本文中。另外,文献US2006/0098199A1通过引用整体并入本文。
感兴趣的其他参数可以是聚焦和剂量。如美国专利申请US2011-0249244中所述,可以通过散射测量(或可选地通过扫描电子显微镜法)同时确定聚焦和剂量,该专利申请全文以引用方式并入本文。可以使用单个结构,该结构对聚焦能量矩阵(FEM-也称为聚焦曝光矩阵)中的每个点具有临界尺寸和侧壁角测量结果的唯一组合。如果可以使用临界尺寸和侧壁角的这些唯一组合,则可以从这些测量结果中唯一地确定聚焦和剂量值。
量测目标可以是复合光栅的整体,该复合光栅通过光刻过程形成,主要是在抗蚀剂中,但是也可以在例如蚀刻过程之后形成。通常,光栅中结构的节距和线宽强烈地取决于测量波长和/或测量光学器件(特别是光学器件的NA),以便能够捕获来自量测目标的衍射阶。如前所述,衍射信号可用于确定两层之间的偏移(也称为“重叠”),或可用于重构光刻过程产生的至少部分原始光栅。该重构可以用于提供光刻过程的质量的指导,并且可以用于控制光刻过程的至少一部分。目标可以具有较小的子分段,该子分段被配置为模仿目标中设计布局的功能部分的尺寸。由于这种子分段,目标的行为将与设计布局的功能部分更加相似,从而使总体过程参数测量结果更类似于设计布局的功能部分。可以以欠填充模式或过填充模式测量目标。在欠填充模式下,测量束会产生一个小于整个目标的斑。在过填充模式下,测量束产生的斑大于整个目标。在这种过填充模式下,也可能同时测量不同的目标,从而同时确定不同的处理参数。这样的多个目标测量的示例可以在美国专利申请US20120123581A1中找到,该专利申请全文以引用的方式并入本文。
使用特定目标的光刻参数的总体测量质量至少部分地由用于测量该光刻参数的测量选配方案确定。术语“衬底测量选配方案”可包括测量本身的一个或更多个参数,一个或更多个测量的图案的一个或更多个参数,或这两者。例如,如果在衬底测量选配方案中使用的测量是基于衍射的光学测量,则测量的一个或更多个参数可以包括辐射的波长、辐射的偏振、辐射相对于衬底的入射角、辐射相对于衬底上的图案的方向等。选择测量选配方案的标准之一可以是例如测量参数之一对处理变化的敏感性。更多示例在美国专利申请US20160161863A1和尚未公开的美国专利申请15/181,126中描述,这些专利申请的全部内容通过引用并入本文中。
图4示出了量测设备400的实施例的示意图。量测设备可以是图3的量测设备MT。在本文献中,术语量测设备可以与术语检查设备互换。
量测设备400用于确定与衬底SUB,450上的至少一个结构STR,460有关的感兴趣的特性。感兴趣的特性可以是确定与衬底450上的结构460有关的一个或更多个值。感兴趣的特性还可以是衬底450上的结构460与预期结构的偏差。感兴趣的特性还可以是与这种结构的预期的存在或不存在相比,结构460在衬底450上的存在或不存在。感兴趣的特性还可以是确定结构460在衬底450上的方向,或者确定结构460的表面的倾斜等。
量测设备包括传感器SNS,440和光学系统420。传感器440用于检测由光学系统420透射到传感器上的辐射的特性。传感器440可以包括像素阵列,该像素阵列能够产生入射到传感器440上的辐射的图像。传感器440可以布置在光学系统的像平面中或像平面的共轭平面中。
传感器440可以被配置为记录入射辐射的图像。传感器440还可被配置为记录具有高于0.1、或可选地高于1、或可选地高于10的信噪比的图像。该传感器可以包括CCD照相机,其积分时间短于1秒,并且可选地,积分时间短于500μs。传感器440的采集时间可以包括至少积分时间,并且还可以包括用于信号处理和/或将所获得的图像发送到例如确定系统470的时间间隔。在一个实施例中,传感器440可操作以用来获取图像,即具有采集时间,直到接收到的信号高于阈值为止。通常,传感器440可以被配置为在最大时间量内获得具有足够高的信噪比的图像,该图像可以由图案识别算法处理以在图像中检测与期望结构相似的结构。。
传感器也可以是基于锁定检测的传感器。
量测设备还可被配置为通过根据预定图案来调制由源410产生的辐射,从而调制入射到结构460上的辐射,从而抑制噪声。随后,朝着传感器440透射的辐射将在很大程度上也根据预定图案进行调制,并且期望可选地存在的噪声没有根据该图案进行调制。因此,传感器440和/或确定系统470能够仅通过记录和/或分析具有预定调制图案的信号来将噪声与源自衬底上的结构460的信号区分开。
光学系统420包括照射路径和检测路径。光学系统420被配置为利用经由照射路径从源SRC,410接收的辐射来照射至少一种结构。光学系统420被配置为接收由至少一个结构460散射的辐射,并且经由检测路径将接收的辐射透射至传感器440。
光学系统420被配置为将衬底450上的至少一个结构460成像到传感器440上。可选地,光学系统420和/或传感器440的精度和分辨率使得结构460的特征可以在形成在传感器440上和/或由传感器440记录的图像中被单独地区分。这可能意味着,例如,光学系统420的光学元件的布置足够精确到将特征成像到传感器440上。这可能意味着光学系统420的光学元件足够精确,例如,它们具有低像差,使得可以在由传感器440记录的图像中区分特征。这可能意味着传感器440的分辨率足够大,以区分传感器440上的结构460的各个特征。因此,在传感器440上存在适当数量的可用像素,并且传感器440的像素足够灵敏。这也可能意味着光学系统420以使结构的各个特征成像在传感器440的一个或更多个像素上的方式来放大或缩小结构460的特征的图像。
在一个实施例中,光学系统420包括高NA透镜,或者光学系统420整体上具有高NA。成像系统(例如光学系统420)的分辨率与传感器440观察到的或由源410产生的光的波长成正比,与其物镜的大小成反比,如阿贝衍射极限
Figure BDA0002481039730000111
所示,其中NA是物镜的数值孔径,d是由光学系统420聚焦的光形成的斑的半径。为了形成图像,斑大小可以使得它小于要成像的各个特征。在优选实施例中,光学系统420包括高NA透镜。在一个实施例中,NA为0.7。在一个实施例中,NA为0.8。在一个实施例中,NA为0.9。在一个实施例中,NA大于0.95。在一实施例中,光学系统420与结构460之间存在特定材料,NA大于1。特定材料的示例是可能增加NA的气体、或诸如水之类的流体。
注意,可以在照射数值孔径和检测数值孔径之间区分差异。照射数值孔径是照射在衬底450上的结构460上的照射辐射束的数值孔径。该照射数值孔径可以显著小于最接近结构460的光学系统420的透镜424所支持的数值孔径,因为照射束可能仅使用光学系统420的透镜424的最接近所述结构的一部分。在一个实施例中,照射数值孔径小于0.5,或者可选地小于0.2,或者可选地小于0.1,或者可选地小于0.05。在一个实施例中,检测数值孔径尽可能大。检测数值孔径限定直至哪个衍射角,更高的衍射角可以由光学系统420捕获到并且可以被朝传感器440透射。在先前的段落中,讨论的数值孔径(NA)与检测数值孔径有关,因此在很大程度上与最接近结构460的光学系统的透镜424的数值孔径有关。
量测设备400被配置为防止散射的辐射的0衍射阶的辐射向传感器440透射。如图4所示,辐射射线以相对于衬底450垂直的角度入射到结构460上。结构460以垂直于衬底450的角度将导致第零衍射阶的辐射散射到朝向光学系统420的方向,并且将正负第一衍射阶散射到光学系统420的方向,并且两者都与第零衍射阶成角度。额外的较高衍射阶可以沿远离衬底450的方向行进。为了清楚起见,未示出这些较高衍射阶。在光学系统中,可以存在阻挡元件428,其阻挡第零衍射阶并防止第零衍射阶的辐射朝向传感器440行进。
光学系统可以在第一操作模式和第二操作模式下操作。在第一操作模式阻挡元件在第一位置是可控制的,在该第一位置阻挡元件阻挡散射辐射的第零衍射阶向传感器440的透射。在第二操作模式阻挡元件位于另一个(第二)位置,在该位置它不阻挡0衍射阶向传感器440的透射。
可选地,阻挡元件428存在于光瞳平面中或光瞳平面的共轭平面中。阻挡第零阶的重要效果是,如果第零阶未被阻挡,则在传感器440上的图像中将存在的dc电平被显着减小,从而图像具有更好的对比度。
在图4的示例中,照射路径和检测路径部分重叠。光学系统420具有分束元件426,该分束元件426将源自源410的辐射朝向衬底450上的结构460反射,并且允许由结构460散射的辐射朝传感器440透射。
光学系统420可包括一个或更多个透镜422、424。一个或更多个透镜422、424被配置为将来自源410的辐射聚焦在结构460上的斑上,并且其中一个或更多个透镜422、424被配置为将由至少一个结构460散射的辐射朝向传感器440透射。可选地,一个或更多个透镜422、424被布置为在传感器440上产生至少一个结构460的图像。
透镜应具有相对较高的质量,以将结构460的各个特征成像到传感器上。如前所述,这可能意味着一个或更多个透镜(单独地或作为透镜的组合)的像差小于
Figure BDA0002481039730000121
可选地,各个透镜或透镜组合的像差小于
Figure BDA0002481039730000122
或可选地小于
Figure BDA0002481039730000123
或可选地小于
Figure BDA0002481039730000124
要注意的是,光学系统420的示例包括透镜422、424。这种光学系统420的实施例不限于使用透镜422、424。光学系统420还可使用(弯曲的)反射镜。可以使用反射镜代替透镜。也可以使用一个或更多个透镜和一个或更多个反射镜的组合。
光学系统可以包括光学误差测量单元,在图中未示出。在一个实施例中,光学误差测量单元可以是像差传感器,例如Shack-Hartmann传感器。确定系统470可以使用检测到的像差来校正由传感器440记录的用于检测到的像差的图像。
量测系统400还可包括确定系统DTRM,470。确定系统470被配置为从传感器440接收信号,并且该信号表示由传感器记录的图像。确定系统470基于衬底450的第一层中的特征与衬底450的第二层中的特征之间的移位来确定重叠值。在从传感器440接收的图像中确定特征之间的移位。如果重叠值偏离期望值,则该偏离称为重叠误差。通常,术语“重叠”用于指重叠误差。
量测系统400还可包括一个或更多个致动器480,其直接或间接地耦合至衬底450,并且允许相对于照射辐射照射到衬底上的位置的衬底的移动。该移动例如在x、y和z方向上。衬底可以是半导体晶片,并且衬底可以设置在晶片台上。晶片台的位置可以在x、y、z方向上是可控制的,并且晶片台也可以可操作为绕中心轴旋转。
如果存在致动器480,则量测系统400可以是可操作的以用辐射斑照射所述结构460,同时具有结构460的衬底450相对于辐射斑的位置移动。不必(仅)是衬底移动,也可以是具有传感器440和/或源410的光学系统相对于衬底移动。在具有结构460的衬底450的移动期间,辐射斑照射衬底450的不同部分,并因此也照射结构460的不同部分。在移动期间,具有确定系统470的传感器可以获取或记录衬底的不同图像。衬底和不同的图像可以用于重构结构的整体图像和/或可以用于直接确定感兴趣的特性的值(例如重叠值)。
在光学系统420的实施例中,阻挡元件428可以根据控制信号而移动。例如,阻挡元件428可以通过平移或旋转移动朝第二位置428’移动。在第二位置428’阻挡元件不再阻挡第0衍射阶,然后在传感器440上产生明场图像。该实施例使得能够在暗场成像和亮场成像之间切换的量测设备400成为可能。
在图4中,已经示出了辐射斑入射到单个结构上。在特定实施例中,两个或更多个结构460、460’在衬底450上彼此靠近的位置处是可用的。两个或更多个结构460、460’可以彼此相邻,可选地在它们之间具有小的距离。光学系统420可以被配置为在利用单个辐射斑的同时照射两个或更多个结构460、460’。因此,由传感器440记录的图像还可以包括两个或更多个结构460、460’的图像,并且可以基于两个结构的记录图像来确定感兴趣的特性。例如,两个或更多个结构460、460’在不同的层中具有特征,从而可以例如确定与不同的层对相关的重叠值。该实施例使得能够更快地获取感兴趣的特征,因为仅单次图像采集就可以确定多个感兴趣的特征。
图6和图7将用于解释如何确定重叠值。可以借助例如图1的光刻设备在衬底450上制造衬底450上的结构460。在光刻设备中,图案形成装置MA用于印制结构460。图案形成装置MA可以包括旨在在衬底450上印制结构460的结构。在实际的实施例中,使用第一图案形成装置或使用一些图案形成装置来印制第一层中的特征,并且使用第二图案形成装置或使用一些图案形成装置来印制第二层中的特征。在实际的实施例中,所使用的第一、第二或额外的图案形成装置不包括结构600的部分的精确副本,而是包括在预定的制造条件下在结构600的部分中得到的结构。
因此,图6表示如果在理想的制造条件下进行制造并且因此不存在重叠误差的情况下在衬底上存在的结构600。结构600包括在第一层中的第一结构612和在第二层中的第二结构622。结构600中具有相同阴影的所有结构都在同一层中制造。有水平定向的结构和竖直定向的结构。为了清楚起见,首先仅讨论水平定向的结构。还应注意,水平和竖直是相对于图的方向定义的,并且在实际的实施例中,所呈现的图案可以以旋转、平移和/或镜像图案的方式存在于衬底450上。
结构600包括第一区域610和第二区域620。在第一区域610中,存在第一特征612的重复图案。在第二区域620中,存在第二特征622的重复图案。在结构600的示例中,第一区域和第二区域不重叠并且彼此相邻。如在650或660处所示,第一区域和第二区域可以部分地重叠。
第一特征612的节距和第二特征622的节距基本上彼此相等。但是,应注意,这不是必需的。如在下文中将讨论的,只要确定系统470能够从传感器440正在记录的图像中确定重叠值,那么也可以使用变化的节距。特征612、622之间的节距也影响较高衍射阶被散射的角度,因此,还必须明智地选择一个或更多个使用的节距,使得由光学系统420捕获尽可能多的较高衍射阶、或至少一个较高衍射阶。注意,较高阶存在的角度还取决于用于照射所述结构460的辐射的波长,因此,必须明智地选择波长和节距之间的组合以使得能够在传感器440上产生图像。
在实际情况下,如图6所示,结构600并非精确地制造在晶片上。在实际实施例中,在衬底450上,结构600可以看起来像图7的上半部分中呈现的结构。图像700由量测设备400的传感器440记录。该图像类似于图7上半部分处呈现的结构。从图像700中已经可以看出的,尤其是在与结构600的理想情况相比时,第一特征712相对于第二特征722发生了移位。对于水平定向的特征712、722,图像700的一部分在图7的左下侧被放大。在此可以更详细地看到第一特征712’相对于第二特征722’移位了距离OVLy。OVLy是y方向上的重叠值(其中x和y方向是相对于图7的方向定义的)。图像700还显示了竖直定向的特征742、732之间存在移位。在图7的右下角,可以看到移位用OVLx表示,距离OVLx是x方向的重叠值。
尽管图7已经建议可以通过分析例如彼此相邻的两个相对的水平定向的特征712、722、712’、722’或两个竖直定向的特征742、732的移位来确定重叠值,但在实际实施例中,使用更多对具有相同定向的相邻特征。例如,对于每对水平定向的特征,可以确定重叠值,并且可以将所确定的值求平均值。例如,还可以确定与第一层中的水平特征同相位的第一种波形,并确定与第二层中的水平特征同相位的第二种波形,并利用第一种波形图和第二种波形图的相位差作为重叠值的基础。
因为必须测量几纳米的重叠值,所以重要的是,量测设备400的光学系统420相对于传感器440上的结构460的成像具有非常高的精度和准确度。可以接收相对于理想光学系统无偏差。这样,光学系统420的透镜422、424以及光学系统420整体的像差偏差优选地较小。
确定系统470接收由传感器440记录的图像。该图像例如是图像700。确定系统470还可以具有关于图像中期望的结构的知识(例如,图6的理想结构600),并且例如通过图案识别,在图像700中检测到该结构。确定系统470所应用的图案识别技术可以使得它能够在包括相对大量噪声的图像中、或在信噪比相对较小的图像中、或在其中动态范围相对较小的图像中检测与理想结构类似的结构,例如图6的结构600。确定系统470还可以具有在哪里可以预期第一层中的第一特征到第二层中的第二特征的过渡的知识。基于该知识,可以在图像700中识别这种过渡,并且可以在那些过渡处确定重叠值。确定系统470可以例如实现用于检测例如结构712’、722’、742、732的边缘的边缘检测系统。
当返回到图4时,光学系统420中可以存在其他可选特征。光学系统420可以包括波长滤光器434。举例来说,波长滤光器434被绘制在源410和分束元件426之间,并且应当注意,波长滤光器434也可以布置在另一位置。期望波长滤光器434至少存在于光学系统420的照射路径中。波长滤光器434被配置为仅透射特定波长或特定波长范围内的辐射。在另一实施例中,可以根据波长控制信号来控制波长滤光器434的操作。还应当注意,源410也可以具有类似的波长滤光器。在另一个实施例中,波长滤光器434可以包括多个滤光器,这些滤光器的组合允许透射彼此隔开的两个或更多个波长。特定材料对于特定波长是不透明的。因此,用于照射结构460的波长(其是透射通过波长滤光器434的波长)的选择在很大程度上取决于在结构460中使用的材料以及照射辐射是否必须传递通过结构460的特定层。波长滤光器434可以被配置为仅允许窄带的波长透射通过波长滤光器434,例如,波长的窄带宽度小于20nm、或者小于10nm、或者小于5nm。
用某一波长或某一波长范围内的辐射照射结构可能是有利的。衬底450的材料(以及同样地结构460的特征)可以强烈地影响入射辐射的散射。通过选择特定的波长或通过选择某些波长,可以在传感器440上获得更好的图像。另外,可以选择照射辐射的波长,使得给定结构460具有包括给定节距的重复结构,光学系统420(例如,通过前透镜424)可以捕获至少一个较高衍射阶,以用于向传感器440透射。换句话说,照射波长和重复结构的节距彼此调谐(并且相对于光学系统420的检测路径的数值孔径(NA)调谐)。
在另一实施例中,光学系统420包括布置在光学系统420的照射路径中的第一偏振器430。第一偏振器430被配置为允许透射具有某一偏振的辐射。第一偏振器430也可以是可控偏振器,其可透射偏振可以根据偏振控制信号来控制。
在额外的实施例中,光学系统420包括布置在光学系统420的检测路径中的第二偏振器432。第二偏振器432被配置为允许透射具有某一偏振的辐射。第二偏振器432也可以是可控偏振器,其可透射偏振可以根据另一偏振控制信号来控制。
控制入射在结构上的辐射的偏振并且控制散射辐射的哪个偏振被透射到传感器可能是有利的。衬底450的材料(以及结构460的材料)也可以以不同的方式散射不同偏振的辐射。结构460以及结构460和衬底450的材料可以改变入射到结构460上并且被散射的辐射的偏振。从而,通过以某一偏振照射并且仅允许相同或另一特定的偏振朝向传感器透射,可以在传感器440上获得例如具有较高对比度的更好的图像。还已经观察到,结合特定偏振选择辐射的特定波长可以在传感器440上产生更好的图像。在一个实施例中,结构460被具有由第一偏振器430确定的第一偏振的辐射照射。对于特定的结构460,已知的是,与例如重叠有关的信息存在于具有垂直于第一偏振的偏振方向的辐射中。然后,第二偏振器432仅允许透射具有与可通过第一偏振器430透射的偏振方向垂直的偏振方向的辐射。在一个实施例中,如图4所示,并且如果至少存在第二偏振器432,则仅某一偏振方向的较高阶衍射辐射入射到传感器440上,并且结构460的特性的确定仅基于某一偏振方向的一个或更多个较高衍射阶衍射辐射。在一个实施例中,针对存在于衬底450上的特定结构460优化第一偏振器430和/或第二偏振器432的设置,以在传感器440上获得更好的图像,例如具有较高对比度。例如,如果特定结构460在某一方向上具有重复线,则可能是具有某一偏振的照射辐射被最大地散射(可选地,最大地散射成较高的衍射阶),并且仅朝向传感器透射散射辐射的特定(其他)偏振可能导致图像具有最大的对比度和/或最高的图像质量。
图4还示出了源410。源410可以是量测设备400的一部分,但是也可以是单独的源,其借助于例如玻璃纤维和/或另一种类型的光导向量测设备400提供辐射。该源被配置为产生具有一个或更多个波长的辐射,该波长范围为200nm至2000nm,或者可选地,范围为300nm至1000nm,或者可选地,范围为400nm至900nm,或者可选地,在400nm至700nm的范围内。该源可以被配置为产生在例如750nm至1400nm的近红外光谱范围内的辐射。该源可以被配置为产生可见波长范围内的辐射,例如,从380nm到750nm。例如,源可以被配置为产生分别在从315nm至400nm、从280nm至315nm、从100nm至280nm的紫外线A、B或C光谱范围中的至少一个中的辐射。如上所述,特定波长的辐射可能是有益的,因此可以优化辐射的一个或更多个波长以在传感器440上获得足够高质量的图像。所述源还可以被配置为发射间隔开的两个或更多个波长的辐射。
照射在结构460上的辐射中存在的功率量在很大程度上决定了传感器记录图像所需的时间。照射结构460的辐射中存在的功率越大,入射到传感器上的辐射越多,传感器440需要记录图像的积分时间越短。这样,源410被配置为在使用中产生具有大于50瓦、或者可选地大于150瓦、或者可选地大于250瓦、或者可选地大于1000瓦的功率的辐射。
在一个实施例中,量测设备400可以使用基于等离子体的光子源,例如激光驱动的光子源(LDPS),否则被称为激光驱动的光源,因为它们提供高亮度。通过放电施加能量,在气态介质中产生等离子体。辐射的光谱分布本质上可以是宽带或窄带,并且波长可以在近红外、可见和/或紫外(UV)带中。已公开的专利申请US2011204265和国际专利申请WO2016030485公开了基于等离子体的光源,包括激光驱动的光子源,这些文献的全部内容通过引用并入本文中。
源的其他示例为相干白光激光器、相干离散激光器(在小波长范围内发射)、相干连续可控激光器、相干光学参量振荡器(OPO)、非相干激光驱动光源(如前所述,例如,上面)和/或非相干光电二极管。要注意的是,也可以使用部分不相干的源。
要注意的是,源410也可以是可控的源,其能够根据源控制信号发射在波长范围内的可控波长的辐射。
源410可以在相对宽的波长范围内,例如在大于50nm的波长范围内,或者可选地在大于100nm的波长范围内,或者可选地在大于200nm的波长范围内发射辐射。源410也可以被配置为在相对窄的波长范围内发射,其中窄波长范围的宽度为例如小于20nm、或者小于10nm、或者小于5nm。
在图4中,仅绘制了一条照射辐射射线。示意性地看到,该单个辐射射线是辐射束的中心轴,该辐射束被透射并聚焦在结构460上某一大小的斑上。因此,绘制的第-1、第+1和第0衍射阶也是辐射束,其辐射束仅是示意性地画出了中心轴。在图4的上下文中,照射辐射束的中心轴在基本上垂直于衬底的顶表面的方向上入射到结构460上。
可以使用量测选配方案,该量测选配方案使用如本申请中所讨论的量测设备的实施例来指定测量的一个或更多个参数。在一个实施例中,术语“量测选配方案”包括量测设备本身/测量的一个或更多个参数、被测量的结构(例如结构460)的一个或更多个参数、或两者兼有。
在本文中,被测量的结构460(也称为“目标”或“目标结构”)可以是被光学测量的图案,例如,其衍射被测量或被成像到例如传感器440上。所测量的图案可以是专门为测量目的设计或选择的图案。目标的多个副本可以放置在衬底450上的多个位置上。例如,量测选配方案可以用于测量重叠。在一个实施例中,量测选配方案可以用于测量另一个过程参数(例如,剂量、焦点、CD等)。在一个实施例中,量测选配方案可以用于测量被成像的图案的层相对于衬底上的现有图案的对准。例如,通过测量衬底的相对位置,量测选配方案可被使用以将图案形成装置对准到衬底。
在一个实施例中,如果量测选配方案包括量测设备400本身/测量的一个或更多个参数,则测量本身的一个或更多个参数可以包括与进行测量所使用的照射束和/或量测设备有关的一个或更多个参数。例如,测量本身的一个或更多个参数可以包括照射辐射的波长和/或照射辐射的偏振、和/或照射辐射强度分布,和/或照射角度(例如,入射角、方位角等)(相对于照射辐射的衬底450、和/或相对于衍射/散射辐射的衬底450上的结构460的相对方向、和/或结构460/目标的测量点或实例的数量、和/或在衬底450上测量的结构460/目标的实例的位置)。测量本身的一个或更多个参数可以包括测量中使用的量测设备的一个或更多个参数,其可以包括检测器灵敏度、数值孔径等。
在一个实施例中,如果量测选配方案包括所测量的结构460的一个或更多个参数,则所测量的结构460的一个或更多个参数可以包括一个或更多个几何特征(例如,结构460的至少一部分的形状、和/或结构460的至少一部分的方向、和/或结构460的至少一部分的节距(例如,光栅的节距,包括上层中的上部光栅的节距、下部光栅的节距和/或下部光栅的节距)、和/或结构460(例如,光栅的特征的CD,包括上部光栅的特征的和/或下部光栅的特征)的至少一部分的大小(例如,CD)、和/或结构460的特征的片段(例如,将光栅的特征划分为子结构)、和/或光栅的长度或光栅的特征的长度、和/或结构460的至少一部分的材料特性(例如,折射率、消光系数、材料类型等)、和/或结构460的标识(例如,将一个图形与另一个图形区分开)等。
量测选配方案可以以(r1,r2,r3,...rn;t1,t2,t3,...tm)的形式表示,其中ri是量测设备400/测量的一个或更多个参数,ri是所测量的一个或更多个结构460的一个或更多个参数。可以理解,n和m可以是1。另外,量测选配方案不需要同时具有一个或更多个测量参数和一个或更多个被测图形的一个或更多个参数。它可以仅具有一个或更多个测量参数,或者仅具有一个或更多个被测图形的一个或更多个参数。
为了在传感器440上创建相对良好的图像,量测设备400可以将照射辐射聚焦在衬底450上的结构460上。可以在量测设备400中提供聚焦子系统。在一个实施例中,量测设备400可以被配置为聚焦在结构460内的不同深度处。光学系统420可以被布置为移动例如透镜424以获得特定聚焦。可以沿不同方向移动衬底450的一个或更多个致动器480可以上下移动具有结构460的衬底450,以将照射辐射聚焦在结构460中的不同深度。当量测设备400聚焦在结构460内的不同深度时,量测设备400可以被配置为用传感器440记录不同的图像。确定系统470可以使用不同的图像来确定结构460的感兴趣的特性。确定系统470还可从最适合确定感兴趣的特性的不同图像中选择一个或更多个图像。例如,可以基于对比度必须高于预定水平的要求来选择一个或更多个图像。
图5示出了量测设备500的另一实施例的示意图。量测设备500类似于量测设备400并且包括相似或相等的实施例。差异将在下文中讨论。
在量测设备500中,照射辐射束不以基本垂直于结构460的角度入射到结构460上,而是相对于衬底450的表面的法线以某一角度入射。在图5的示例中,这可能会导致第-1衍射阶,该衍射阶遵循大致垂直于衬底450的顶表面的路径,以及导致第-2和第0衍射阶,第-2和第0衍射阶分别相对于法线成角度。在这样的配置中,阻挡元件528必须位于光学系统520内的另一位置,使得第0衍射阶不向传感器440透射。可选地,还可以存在另外的阻挡元件528’以阻挡第-2衍射阶。光学系统520还可以在检测路径中具有圆形孔,并且阻挡元件528、528’可以是在其中创建该孔的元件的截面图。
可以通过相对于光学系统520的照射路径的中心轴移动源410来获得不垂直入射在结构460上的照射辐射束。如果源发射相对较宽的辐射束,则可以在来自源中的辐射束中放置照射光瞳529,该光瞳在远离光学系统520的照射路径的中心轴的位置上具有孔径。在一个实施例中,照射光瞳529可以是可控制的,其中可以根据照射光瞳控制信号来控制孔径相对于光学系统520的照射路径的中心轴的位置。
图12示意性地示出了可以在照射光瞳529的位置处使用的照射光瞳1200和1210的示例性顶视图。在照射光瞳1200中,不透明板1202在偏心位置具有孔1204。如果不透明板1202的中心与照射路径的光轴重合,则照射辐射将是例如以图5的绘制照射光线为中心的辐射束。当然,照射光瞳1200也可以具有与照射光瞳1210所示构造相似的两个孔1204。
照射光瞳1210包括不透明板1212,其中以如图12所示的形状制成两个孔1214、1216。这些特定孔1214、1216相对于照射光瞳1210的中心具有90度的角度偏移。这允许透射与照射路径的中心光轴不一致的两个照射束。这导致从两个不同的正交方向照射结构460,并且可以具有可以在一个测量获取中测量二维重叠值的优点。
在图5中,已经沿着量测设备500的检测路径示意性地绘制了另一类型的光瞳,即检测光瞳528”。例如,可以在光瞳平面或与光瞳平面共轭的平面中提供检测光瞳528”。检测光瞳528”可以被布置为允许散射的辐射的一个或更多个较高衍射阶的透射,并且可以被布置为阻挡第0衍射阶的透射。可以使用检测光瞳528”来代替阻挡元件528或在阻挡元件528的基础上使用。例如,在图12中,示出了检测光瞳1220的示例性俯视图,该检测光瞳1220包括不透明板1222和孔1234,如果例如在图5的构造中使用,则较高衍射阶可被透射而第0阶可以被阻挡。示出了用于检测光瞳1230的替代构造,其包括不透明板1232和两个孔1234和1236。要注意的是,本领域技术人员可以找到与例如特定照射光瞳结合的替代实施例,该替代实施例在允许某些较高衍射阶的透射并阻止第0衍射阶方面具有特别的优势。
照射光瞳529或检测光瞳528”也可以由柔性和/或可控制类型的光瞳形成。在图13(a)和13(b)以及图14(a)和14(b)中提供了示例。图13(a)、13(b)、14(a)和14(b)的示例可以用在量测设备400、500的示例中,例如,在照射路径和/或检测路径中。该设备中的可能位置由量测设备500中的照射光瞳529或量测设备500中的检测光瞳528’示意性地指示。应当注意,图13(a)、13(b)和14(a)的示例基于辐射的透射,因此图5中所示的位置直接适合于这些示例。图14(b)的示例基于反射,因此,必须将量测设备修改为具有在照射和/或检测光瞳处反射的照射和/或检测路径。图13(a)、13(b)、14(a)和14(b)的所有示例都是柔性光瞳的示例,其中某些元件是可控的以获得特定形状的光瞳。这些示例允许创建不同的特定形状,特定形状可以根据特定测量的要求而选择。
图13(a)示意性地示出了柔性光瞳布置1300,其中存在两个轮1300、1320,在轮的不透明材料中具有不同形状的孔径1311..1314、1321..1324。在使用中,轮1320位于轮1310的前面或后面,轮1310在用虚线圆圈1320’表示的位置。轮1300、1320可以根据控制信号旋转。通过选择第一轮1310的特定位置和第二轮的特定位置,柔性光瞳装置1300产生了特定形状的孔径。在图13(a)的示例中,在使用中,孔径1321可能在孔径1314的前面。在使用中,孔径1321的位置通过矩形1321’示意性地示出。在垂直于图平面的方向上看,孔径1314和孔径1321’的结合是在该示例中产生的光瞳的特定选择的形状。应当注意,在图13(a)的示例中,用有限数量的不同形状仅提供了有限数量的孔径。在实际的实施例中,轮可以具有更多的具有更多种形状的孔径,从而提供对所产生的光瞳的特定形状的更多控制。
图13(b)示意性地示出了柔性光瞳布置1330。在到目前为止适用的情况下,图13(a)的柔性光瞳布置1300的所讨论的特性也适用于图13(b)的柔性光瞳布置1330。柔性光瞳布置1330包括两个不透明材料的可移动条带1340、1350,并且可移动条带1340、1350包括具有不同形状和/或大小的不同的孔径1341..1346、1451..1354。通过在第二条带1350的特定孔1351..1354的后面或前面移动第一条带1340的特定孔1341..1346,可以产生特定可控形状的光瞳。在图13(b)的示例中,在读者的观看方向上,第二条带1350放置在第一条带1340的前面,孔径1351在孔径1345的前面。在垂直于图平面的方向上看,孔径1351、1345的结合限定了光瞳的形状。
图14(a)示意性地示出了柔性光瞳布置1400的第三实施例。在到目前为止适用的情况下,图13(a)的柔性光瞳布置1300和图13(b)的柔性光瞳布置1330的所讨论的特征也适用于图14(a)的柔性光瞳布置1400。柔性光瞳布置1400包括板1410或另一种形状的不透明材料,并且在板上设置有孔径1420,该孔径限定了可以由柔性光瞳布置1400形成的最大的可能的孔径。柔性光瞳布置1400还包括辐射阻挡元件1440、1441、1442,其可以沿着多个引导结构1430之一移动。基于控制信号,辐射阻挡元件1440、1441、1442中的一个或更多个可以沿着引导结构1430之一移动到孔径1420前面的特定位置或者不在孔径1420前面的特定位置。通过将特定的辐射阻挡元件1441放置在孔径1420前面的特定位置处,孔径的一部分不能透射辐射,并且有效剩余孔径朝向特定形状的较小孔重新成形。辐射阻挡元件1440、1441、1442可以是不透明材料的盒形元件。在另一个实施例中,辐射阻挡元件1440、1441、1442可以具有球形或椭圆形形状,或者可以是相对薄的平行于板1410的板状元件。辐射阻挡元件1440、1441、1442可被成形为使得当它们在孔径1420的前面彼此靠近移动时它们部分地重叠。例如,辐射阻挡元件1440、1441、1442可以是相对于板1410限定的平面以倾斜构造布置的条带。引导结构1430可以是平行于板1410所限定的平面布置的细线或细条带。辐射阻挡元件1440、1441、1442可通过机械力(例如,通过致动器)或通过电动力或磁力来移动。
图14(b)示意性地示出了柔性光瞳布置1450的第四实施例。在到目前为止适用的情况下,先前讨论的柔性光瞳布置1300、1330、1400的所讨论的特性也适用于图14(b)的柔性光瞳布置1450。柔性光瞳布置1450包括设置在支撑结构1470上的可控微反射镜1451..1456的阵列。在微反射镜1451..1456的可控微反射镜1451..1456组的阵列和/或每个单独的微反射镜1451..1456是可控制的,以使入射辐射1460可以朝特定方向被反射,例如第一方向d1或第二方向d2。在一个实施例中,微反射镜可以被配置为在两个以上的方向上可控地反射入射辐射。在图14(b)的示例中,示意性地示出了一些辐射射线1460(例如,光射线)。如果柔性光瞳布置1450被提供在照射路径中,则辐射线1460可以源自源。在图14(b)的示例中,以这样的方式控制微反射镜1452、1456:使得在第二方向d2上反射入射辐射;以这样的方式控制微反射镜1453..1455:使得在第一方向d1上反射入射辐射。如果柔性光瞳布置1450设置在照射路径中,并且如果在第一方向d1上反射的光用于照射,则柔性光瞳布置1450具有由所有将光反射到第一方向d1的微反射镜1453..1455限定的(虚拟)光瞳。
要注意的是,图14(b)的实施例也经常被称为空间光调制器。空间光调制器的其他实施例也可以在量测设备400、500中用作柔性光瞳布置。这种空间光调制器的另一实施例是一种液晶显示器(LCD),其具有可以被控制在透射状态或辐射阻挡状态或反射状态下的像素阵列。LCD空间光调制器可以在透射模式或反射模式下用作柔性和/或可控光瞳。
图6示出了可以在衬底上制造并且可以通过量测设备的实施例进行检查的结构的多个示意图。上面已经讨论了结构600。结构650是其中第一区域610和第二区域620部分重叠并且在y方向上相对于彼此移位的结构。结构650的旋转也可以形成更大的结构,即,类似于结构600。结构660与结构650类似,不同之处在于y维度上没有移位。
如上所述,图7的图像700可以由量测设备400的确定系统470处理。确定系统470可以被配置为检测图像700中的感兴趣的区域。感兴趣的区域是图像中用于进一步处理并且用于确定例如衬底450上的结构460的重叠值或另一特性的区域。例如,区域790被识别为感兴趣的区域,因为它可以包括预定图案或至少类似于预期图案的预定图案。确定系统470可以进一步被配置为确定较小的感兴趣的区域用于分析。例如,如图7的左下方所示,可以在图像内确定另一感兴趣的区域790’。在该示例中,另一感兴趣的区域790’是用于确定y维度上的重叠值的感兴趣的区域。在又一个示例中,如图7的右下角所示,又一感兴趣的区域790”是用于确定x维度上的重叠值的感兴趣的区域。要注意的是,如果传感器440上的图像仅基于较高衍射阶(高于第0衍射阶),则感兴趣的区域790、790’,790”仅基于由结构460散射的辐射的较高衍射阶的辐射。如例如在图4的上下文中所讨论的,如果阻挡元件428可以是可控制的并且如果第0衍射阶是否入射在传感器440上是可控制的,则感兴趣的区域790、790’、790”也可以基于一图像来确定,该图像是第0衍射阶和较高衍射阶的入射辐射的结果。
图8示出了量测设备800的第三实施例的示意图。量测设备800类似于图4和5的量测设备400和500。差异将在下文中讨论。量测设备400和500的实施例可以与量测设备800结合。
量测设备800具有不同的光学系统。代替分束器426,提供了反射镜828。反射镜828被配置为以基本上垂直于衬底450的顶表面的角度朝着衬底450上的结构460反射从源410发出的辐射。从结构返回的镜面(第0)衍射阶也遵循基本上垂直于衬底450顶表面并且还入射在反射镜828上并反射回源的路径。关于对准工具中的这种反射镜828或类似元件的更多细节可以在WO2014/026819A2(例如,图3,反射镜223)、WO2014/056708A2、WO2014/068116A1、WO2013/152878A2中找到,其全部内容通过引用并入本文。要注意的是,在类似于图5的量测设备500的配置中,阻挡元件528可以由在不朝向传感器440的方向上反射第0阶的反射镜代替。可选地,如果图5的量测设备500具有这样的反射镜而不是阻挡元件528,则第0衍射阶可以被反射向可以用于记录第0衍射阶的信息的额外的传感器。在图9中给出了第0衍射阶信息的记录的更多示例。
图9示出了量测设备的第四实施例的示意图。量测设备900类似于图4、5和8的量测设备400、500、800。差异将在下文中讨论。量测设备400、500和800的实施例可以与量测设备900结合。
光学系统920与量测设备400的光学系统420不同。代替阻挡元件428,提供反射镜928,该反射镜928将第0衍射阶反射到不朝向传感器940的方向上。在一个实施例中,第0衍射阶被反射向另一个传感器942。
所述另一个传感器942可以是强度传感器,以感测第0衍射阶辐射的强度。这可以用作参考信号,该参考信号可以用于归一化例如由传感器440测量的辐射强度。因此,所述另一个传感器942可以具有关于例如源的操作的诊断功能。
另一传感器942可以布置在光瞳平面中或光瞳平面的共轭平面中。然后,可以记录第0衍射阶的光瞳图像,并且该光瞳图像可以用作确定结构460的感兴趣的特性的额外的输入源。可以在重构过程中使用光瞳图像,以确定衬底450上的结构460的几何结构。还知道,根据结构460,在光瞳图像中可能存在可用的重叠值信息。
在光学系统920的另一实施例中,在从反射镜928到另一传感器942的路径中还有另一透镜926,并且该另一透镜926在传感器942上形成结构460的明场图像。该另一传感器942可以被配置为记录明场图像。明场图像可用于参考目的、用于对准目的、或用于粗略估计重叠值等。例如,基于本领域中已知的技术(如在一个或更多个并入本文的文献中所讨论的)在明场图像中确定粗略重叠值。粗略重叠值可以用于确定过程是否超出规格。粗略重叠值也可以用于基于在传感器440上形成的(暗场)图像来确定是否必须确定更精确的值。该另一传感器942也可以耦合到确定系统470,或者可以耦合到另一确定系统。如果将该另一传感器942用于对准目的,则确定系统将尝试识别所记录的图像中的预期结构,以确定该结构是否在照射斑内。确定系统可以耦合到致动器,该致动器可操作以移动衬底,然后量测设备可操作来通过迭代移动和检测算法来搜索结构。
该另一传感器942可以进一步与确定系统470协作,以检测感兴趣的区域,例如,图7的感兴趣的区域790、790’、790”。
由该另一传感器942记录的信息是第0衍射阶的光瞳图像、或者是结构460的基于第0衍射阶图像的图像,其可以用于检测光学系统920的一部分的特性。例如,可以检测像差,并且可以由例如确定系统470使用检测到的像差来校正由传感器440记录的图像。在衬底450上也可以有参考结构,或者在晶片台上可以有一个支撑衬底450的基准目标,该基准目标被设计成可以很好地检测光学系统920的特性,例如光学系统920的光学元件的像差。
可选地,反射镜928的位置是可控制的。在第一位置反射镜928朝着例如传感器942反射第0衍射阶。在第二位置反射镜928’不阻挡第0衍射阶向传感器440的透射。这种可控的反射镜镜使得量测设备900能够在暗场成像和亮场成像之间切换。在图9中,建议反射镜928能够绕轴线旋转。替代地,反射镜928借助于平移朝向完全在光学系统920的检测路径之外的位置移动。
图10示出了要在衬底上制造以确定重叠值的结构的示意图。
在图10的左上角示出了框中框结构1000,其可以包括第一层中的第一结构1002以及可以包括第二层中的第二结构1004。第一结构1002和第二结构1004可以是正方形,或者,它们可以是矩形。甚至在其他实施例中,它们也可以是三角形、圆形或椭圆形的。所显示的结构1000是可以使用例如图1的光刻设备在理想的制造条件下制造的结构。理想的制造条件涉及例如不存在重叠误差。还必须注意,在实际的实施例中,衬底450上的制造的结构1002、1004可以具有圆角。在结构1000中,还显示了一个圆点,该圆点指示第一结构1002的重心1003和第二结构1004的重心1005。因为在结构1000中不存在重叠误差,所以重心1003、1005在彼此的顶部。为了清楚起见,指示出代表重心的圆点不存在于衬底450上的结构460中,而是仅在图中绘制以示意性地指示重心。在实际的实施例中,确定系统通过已知的方法或算法在记录的图像中搜索重心。
如结构1010所示,在制造过程中可能存在重叠误差,并且该误差可通过第二结构1004’相对于第一结构1002’的移位、以及因此导致的第一结构1002’的重心相对于第二结构1004’的重心1005’的移位来检测。x维度上的重心1003’、1005’之间的距离是重叠值OVLx。y维度上的重心1003’、1005’之间的距离是重叠值OVLy。在另一个实施例中,确定系统470可用于通过图案识别来找到结构1002’、1004’的角,并且角之间的移位可以是确定重叠值的基础。
结构1020表示可用于确定重叠值的另一结构。结构1020是条中条结构,包括在第一层中的细长的矩形的第一结构1022、并包括在第二层中包括细长的矩形的第二结构1024。具有相同阴影线的所有结构都在同一层中制造。所示的结构1020在理想的制造条件下被制造。要注意的是,在实际的实施例中,条1022、1024的角可以被倒圆并且线端可被缩短。可以通过分析竖直条的移位来检测x维度中的重叠值,并且可以通过分析水平条的移位来检测y维中的重叠值。与结构1000、1010的示例一致,还可以通过检测第一结构1022的重心相对于第二结构1024的重心的移位来确定重叠值。
可选地,在用于印制/制造第二结构1024的印制装置(例如掩模版)上,可以存在亚分辨率辅助特征1025、1025’以辅助第二结构1024的印制。请注意,亚分辨率辅助特征1025、1025’通常不会在衬底450上印制,并且它们不会出现在衬底450上的结构460中。
结构1030包括几个十字形结构1032、1032’、1034、1034’。第一十字形结构1032、1032’将在第一层中制造,第二十字形结构1034、1034’将在第二层中制造。具有相同阴影线的所有结构都在同一层中制造。所示的结构是如果制造条件理想则会出现在衬底450上的结构。应当注意,在实际的实施例中,结构1032、1032’、1034、1034’的角可以被倒圆并且线端可被缩短。如果制造条件不理想,则第一十字形结构1032、1032’相对于第二十字形结构1034、1034’移位。可以获取不同维度的重叠值,以分析两个或多个十字形结构1032、1032’、1034、1034’之间的特定移位。例如,通过确定第一十字形结构1032相对于第二十字形结构1034的移位距离,可以容易地确定y维度上的重叠值。例如,通过确定第一十字形结构1032’相对于第二十字形结构1034’的移位距离,可以容易地确定x维度上的重叠值。在结构1030的上下文中,还应注意,用于制造结构1030的图案形成装置(如掩模版)可以包括亚分辨率辅助特征,这些特征有助于印制第一和第二十字形结构1032、1032’、1034、1034’。类似于1025、1025’的特征可以接近于第一和第二十字形结构1032、1032’、1034、1034’的线。
例如,在例如Mike Adel等人的“Diffraction order control in overlaymetrology:a review of the roadmap options”中的图4、“Metrology,Inspection,andProcess Control for Microlithography XXII”,Proceedings of SPIE,第6922卷、第692202页,2008中公开了可用于确定重叠值的其他多层结构,其全文以引用的方式并入本文。
图11示出了目标的实施方案的子分段的示意图。在图11的顶部示出了可能的目标1100,其可以用于确定不同层之间的重叠。目标1100所示的图片是在理想情况下作为在先前讨论的量测设备的传感器440上的图像而可见的图片。衬底450上的结构460可以不同,并且取决于照射和检测特性,可以获得在图11的顶部呈现的目标1100的图像。与例如图6、7和10一致,用不同阴影线绘制的特征涉及衬底450上的结构460的不同层中的特征。
一般而言,对于可见(和/或作为“空间”而不可见的)的特征,衬底450上的结构460可具有多个较小的特征。这通常被称为“特征的子分段”。如稍后将讨论的,在目标1100中呈现为“空间”的区域可以具有相对较小的特征,该相对较小的特征例如,作为单独的小特征在传感器440上不可见(例如,每个单独的线在由传感器440记录的图像上可能不可见)。子分段可用于影响目标1100不同部分之间的对比度。因此,子分段可能对传感器440上的图像有影响。
子分段的第一实施例1120显示在图11的中间左侧。示出了例如目标的特征1112、1112’以相对小的节距以线的密集构型1122、1122’呈现于衬底上。目标1100的特征1112、1112’之间的空间仍可以具有一些相对较窄的线的构型1123、1123’,其节距大于构型1122、1122’的节距。构型1123、1123’以与构型1122、1122’不同的方式散射光,因此以不同的方式成像到传感器440上。
子分段的第二实施例1130在图11的底部示出。目标1100的特征1112、1112’可以以构型1132、1132’呈现于衬底上,所述构型1132、1132’具有以某一节距的垂直线的密集图案。目标1100的特征1112、1112’之间的空间可以以构型1132、1132’呈现于衬底上,所述构型1132、1132’具有以某一节距的水平线的密集图案。特别地,如果用某一偏振的辐射照射衬底上的具有该子分段的结构1130,则这些构型中的一个可以比其他构型散射更多的光。另外,在俯视图中,构型1132、1132’的较高衍射阶具有与构型1133、1133’的较高衍射阶不同的方向。较高衍射阶的不同方向使得在先前讨论的量测设备的光学系统中,两个不同构型之一的较高衍射阶可以被特定的检测光瞳阻挡。已经在图5和/或图12的上下文中讨论了检测光瞳的实施例。通常,这种形式的子分段具有区域,在该区域中,特征沿不同方向排列以在例如传感器440上形成的图像中区分结构的某些区域与结构的其他区域。
子分段的第三实施例1140显示在图11的中间右侧。在该结构中,所有特征之间的节距相等,但是线宽变化为从细到宽等。由此,存在第一区域1142、1142’,它们可以被成像为传感器440上的特征,还存在第二区域1143、1143’,它们可能未被成像为传感器440上的特征。在这种子分段形式中,线宽根据特定的图案或功能进行调制。
要注意的是,在上述子分段的示例1120、1130、1140中,以目标的每个部分或区域的单个维度来执行子分段。在图11的右下侧,已经示出了在另一个示例中,可以在两个维度上执行子分段。例如,在子分段的第三实施例1130中用1133’表示的区域中,子分段由相对小的水平线组成。在另一个示例中,子分段的相对较小的特征可以是x和y维度中较小特征的重复图案,如1133’所示。例如,可以将较小的水平线再分割为放置在二维网格结构中的较小的矩形特征。在子分段1120、1130、1140的所有示例中,也可以将每个呈现的线再分割为较小的线段。
创建子分段对于在衬底450上的目标1100上的处理效果与影响衬底450上的产品/管芯内结构的处理效果之间具有较高的相关性可能是有用的。换句话说,目标对于产品/管芯内结构更具代表性。此外,由于设计规则要求,需要防止线条太宽和/或防止衬底450上的相对较大的空白区域。如前所述,子分段也可用于影响目标的不同部分/区域之间的对比度。子分段影响目标的部分/区域的衍射效率。从而,子分段影响在形成在例如传感器440上的图像中目标的不同部分/区域之间的对比度。
在子分段1120、1130、1140中,可以选择节距,线宽和相对线方向,使得散射效率相对较大。换句话说,选择节距、线宽和相对线方向以使散射效率高于预定阈值。因此,相对大量的照射辐射被散射成较高的衍射阶,使得入射在传感器440上的辐射量相对较高。此外,可以选择节距、线宽和相对线方向,以使得由传感器440获得的图像的光学对比度相对较大。相对较大的光学对比度可能有益于从由传感器440获得的图像中提取相对准确的重叠值。
子分段1120、1130、1140是基于不同原理的子分段的示例。在某一目标1100内,可以组合不同类型的子分段。本领域技术人员还能够应用在二维中存在的一些原理,通过在例如子分段1120中还创建y维度的子分段。
在对图6和图11的示例的讨论中,假设目标600、650、660、1100在晶片上制造的3d结构的第一层中具有结构612、1112,而在晶片上制造的3d结构的第二层中具有结构622。但是,不必将结构设置在不同的层中。它们也可能位于晶片上制造的3d结构的单层中,但是它们不是在同一光刻步骤中制造的。例如,通过双重图案化或光刻-蚀刻-光刻-蚀刻过程,可以在每个光刻步骤中在3d结构的同一层中制造不同的结构。在这些不同的光刻步骤期间制造的结构可能由于对准误差而相对于彼此产生移位,因此,在结构之间可能存在重叠误差。因此,如果通过利用第一光刻步骤创建结构612、1112和利用第二光刻步骤创建结构622来制造目标600、650、660、1100,则也可以使用目标600、1100来测量使用两个光刻步骤所导致的重叠误差。这两个光刻步骤可用于制造不同层或同一层中的结构。要注意的是,这也可以应用于图10中的目标1020、1030。
图15示意性地示出了目标1500的另一实施例。目标1500具有与目标600、1100类似的结构,并且到目前为止,目标600、1100的所讨论的特征也可以应用于目标1500。在图15中显示了虚线的框。这些框是结构的组。虚线不存在于被印制的衬底上,而仅用于指示哪些结构为一组。目标1500具有四组结构1510、1520、1530、1540。两组结构1510、1540可用于测量第一维度上的重叠误差,而其他两组结构1520、1530可用于测量第二维度上的重叠误差。例如,在图7的上下文中讨论了从利用先前讨论的量测设备创建的图像确定重叠误差的原理。仅针对一组特定的结构1510,指示了子结构1511、1512、1513。在的其他组结构1520、1530、1540中,具有与子结构1511、1512、1513的阴影相同的阴影的子结构具有与下文所述的子结构1511、1512、1513相同的特性。
用不同的光刻步骤制造子结构1511、1512、1513。它们可以存在于晶片上正在制造的3d结构的同一层中。它们也可以存在于在晶片上正在制造的3d结构的不同层中。也可能两种类型的子结构1511、1512、1513在同一层中,而第三种类型的子结构1511、1512、1513在另一层中。假设子结构1511是通过第一光刻步骤制造的,假设子结构1512是通过第二光刻步骤制造的,并且假设子结构1513是通过第三光刻步骤制造的,则目标1500可以用于确定第一光刻步骤和第二光刻步骤之间的重叠误差、第二光刻步骤和第三光刻步骤之间的重叠误差、以及第一光刻步骤和第三光刻步骤之间的重叠误差。通过使用目标1500,可以在一个测量步骤中测量多个重叠误差,而当使用目标600时,至少需要两个目标和两个测量步骤。因此,目标1500提供了速度方面的益处,并且还减少了量测目标所使用的晶片上的空间。
注意,目标1500的示例提供三种类型的子结构1511、1512、1513。当然,也可以提供两种以上或三种以上的子结构,使得利用单个目标,可以测量更多层和/或更多光刻步骤之间的重叠误差。
还应注意,在不是直接进行光刻而是使用其他晶片处理步骤来在单层中制造单独的结构的情况下,可以使用不同类型的子结构1511、1512、1513来测量重叠误差,这些重叠误差通过特定的晶片处理步骤、或不同处理步骤的组合、可选地与光刻步骤的组合而引入。
在后续编号的方面中公开了进一步的实施例:
1.一种量测设备,用于确定与衬底上的至少一个结构有关的感兴趣的特性,实施量测设备包括:
-传感器,用于检测入射在所述传感器上的辐射的特性;
-光学系统,被配置为用从源接收的辐射照射所述至少一个结构,并且所述光学系统被配置为接收由所述至少一个结构散射的辐射并将接收到的辐射透射到所述传感器。
2.根据方面1所述的量测设备,其中,所述光学系统包括从所述源到所述衬底上的所述结构的照射路径和从所述衬底上的所述结构到所述传感器的检测路径,其中,可选地,所述照射路径的一部分与所述检测路径重叠。
3.根据方面1和2中任一项所述的量测设备,其中
-所述传感器被布置在所述光学系统的像平面中,或者所述传感器被布置在与所述像平面共轭的平面中;以及
-所述光学系统被配置为将所述至少一个结构成像到所述传感器上;
以及其中,可选地,所述结构的特征能够在形成于所述传感器上的图像中被单独地区分。
4.根据前述方面中任一项所述的量测设备,其中,所述光学系统被配置为防止散射辐射的第0衍射阶的辐射向所述传感器透射。
5.根据方面4所述的量测设备,其中,所述光学系统包括阻挡元件,所述阻挡元件用于阻挡所述散射辐射的第0衍射阶向所述传感器的透射。
6.根据方面4所述的量测设备,其中,所述阻挡元件在第一位置是能够控制的,在所述第一位置所述阻挡元件能够操作以阻挡所述散射辐射的第0衍射阶向所述传感器的透射,所述阻挡元件在第二位置是能够控制的,在所述第二位置所述阻挡元件不阻挡所述散射辐射的第0衍射阶向所述传感器的透射。
7.根据方面5和6中任一项所述的量测设备,其中,所述阻挡元件是将所述第0衍射阶向不朝向所述传感器的方向反射的反射镜。
8.根据方面7所述的量测设备,其中,所述反射镜将所述第0衍射阶反射至另一传感器,并且可选地,在所述反射镜与所述另一传感器之间设置有成像透镜,以在所述另一传感器上产生所述结构的明场图像。
9.根据前述方面中任一项所述的量测设备,其中,所述感兴趣的特性是所述衬底上的第一层和第二层之间的重叠值,并且所述至少一个结构包括在所述第一层和所述第二层中的特征。
10.根据方面9所述的量测设备,其中,至少满足以下条件之一:
-所述至少一个结构在所述第一层的第一区域内包含重复的特征结构;
-所述至少一个结构在所述第二层的第二区域内包含重复的特征结构;
以及,可选地,所述第一层中的特征的节距与所述第二层中的特征的节距大致相同。
11.根据方面10所述的量测设备,其中至少满足以下条件之一:
-在衬底的俯视图中,所述第一区域和所述第二区域至少部分地不重叠,
-所述第一区域和所述第二区域彼此相邻,
-在衬底的俯视图中,所述第一区域和所述第二区域不重叠。
12.根据前述方面中任一项所述的量测设备,
还包括确定系统,
所述确定系统被配置为从所述传感器接收表示正在被记录到所述传感器上的图像信号;以及
所述确定系统被配置为基于所述衬底的第一层中的特征相对于所述衬底的第二层中的特征的移位来确定所述重叠值,其中,基于所述图像来确定所述移位。
13.根据前述方面中任一项所述的量测设备,其中,所述光学系统包括一个或更多个透镜,其中,所述一个或更多个透镜的至少一个子集被配置为将来自所述源的接收到的辐射聚焦在所述结构上的点中,并且其中所述一个或更多个透镜的至少另一子集被配置为将由所述至少一个结构散射的辐射透射到所述传感器。
14.根据方面13所述的量测设备,其中,所述一个或更多个透镜被配置为将所述至少一个结构成像到所述传感器上。
15.根据方面13和14中任一项所述的量测设备,其中,所述一个或更多个透镜具有较小的像差。
16.根据前述方面中任一项所述的量测设备,其中,所述光学系统具有大于0.5、或者可选地大于0.7、或者可选地大于0.9的数值孔径。
17.根据前述方面中任一项所述的量测设备,其中,所述光学系统的数值孔径足够高,以捕获散射辐射的正一衍射阶和负一衍射阶中的至少一个,以透射至所述传感器。
18.根据前述方面中任一项所述的量测设备,还包括所述源。
19.根据方面18所述的量测设备,其中,所述源被配置为产生具有在200nm至2000nm的波长范围内、或可选地在300nm至1500nm的范围内、或可选地在在400nm到800nm的范围内的波长内的一个或更多个波长的辐射。
20.根据方面18和19中任一项所述的量测设备,其中,所述源被配置为在使用中产生具有大于50瓦、或者可选地大于150瓦、或者可选地大于250瓦、或者可选地大于1000瓦的功率的辐射。
21.根据前述方面中任一项所述的量测设备,所述传感器具有高于1或可选地高于10的信/噪水平。
22.根据前述方面中任一项所述的量测设备,其中,所述传感器包括用于生成入射辐射的图像的像素阵列。
23.根据前述方面中任一项所述的量测设备,其中,所述光学系统包括第一偏振器,所述第一偏振器用于使照射所述至少一个结构的辐射偏振,所述第一偏振器设置在所述照射路径中。
24.根据前述方面中任一项所述的量测设备,其中,所述光学系统包括第二偏振器,所述第二偏振器用于允许散射辐射透射至具有特定偏振的所述传感器,所述第二偏振器设置在所述检测路径中。
25.根据方面23或24中任一项所述的量测设备,其中,所述第一偏振器和所述第二偏振器中的至少一个是能够允许透射偏振控制信号中所指示的具有特定偏振的辐射的可控偏振器。
26.根据前述方面中任一项所述的量测设备,其中,所述光学系统包括用于允许在波长范围内的辐射的透射的波长滤光器,所述波长滤光器设置在所述光学系统的所述照射路径和所述检测路径中的至少一个中。
27.根据前述方面中任一项所述的量测设备,其中,所述波长滤光器是可控波长滤光器,能够允许根据波长控制信号在可选择的波长范围内的辐射的透射。
28.根据前述方面中任一项所述的量测设备,其中,所述光学系统和/或所述源被配置为使得能够利用具有一波长的辐射来照射所述至少一个结构,该波长导致由所述光学系统捕获散射辐射的至少一个较高衍射阶以透射到所述传感器。
29.根据前述方面中任一项所述的量测设备,其中,所述光学系统和/或所述源被配置为使得能够利用多个波长照射所述至少一个结构。
30.一种确定与衬底上的至少一个结构有关的感兴趣的特性的方法,所述方法包括:
-利用辐射通过光学系统的照射路径照射所述结构;
-通过所述光学系统的检测路径在传感器上接收由所述结构散射的辐射。
31.一种确定与衬底上的至少一个结构有关的感兴趣的特性的方法,所述感兴趣的特性是所述衬底上的第一层和第二层之间的重叠值,并且所述至少一个结构包括所述第一层和在所述第二层中的特征,所述方法包括:
-利用辐射通过光学系统照射所述结构;
-通过所述光学系统接收由所述结构散射的辐射,所述光学系统包括在所述光学系统的像平面或与所述像平面共轭的平面中的传感器;
-用所述光学系统在所述传感器上成像所述至少一个结构,同时防止散射辐射的第0衍射阶向所述传感器透射;
-用所述传感器记录图像;
-基于所述衬底的所述第一层中的特征相对于所述衬底的所述第二层中的特征的移位来确定所述重叠值,基于所述图像来确定所述移位。
尽管在本文中可以具体参考在IC的制造中使用光刻设备,但应理解,本文所述的光刻设备可以具有其他应用。可能的其他应用包括集成光学系统的制造、磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。
尽管在本文中可以在光刻设备的内容背景下具体参考本发明的实施例,但是本发明的实施例可以在其他设备中使用。本发明的实施例可以形成掩模检查设备、量测设备或测量或处理诸如晶片(或其他衬底)或掩模(或其他图案形成装置)之类的物体的任何设备的一部分。这些设备通常可以称为光刻工具。这种光刻工具可以使用真空条件或环境(非真空)条件。
尽管上文已经对本发明的实施例在光学光刻术中的内容背景下使用做出了具体参考,但是应当理解,在上下文允许的情况下,本发明不限于光学光刻术,并且可以在其他应用中使用,例如压印光刻术。
虽然上面已经描述了本发明的特定实施例,但是应该理解,本发明可以不同于所描述的方式实施。以上描述旨在说明而非限制。因此,本领域技术人员将明白,在不脱离下面陈述的权利要求的范围的情况下,可以对所描述的本发明进行修改。

Claims (15)

1.一种用于确定与衬底上的至少一个结构有关的感兴趣的特性的量测设备,所述感兴趣的特性是所述衬底上的第一层和第二层之间的重叠值,并且所述至少一个结构包括所述第一层中的特征和所述第二层中的特征,所述量测设备包括:
-传感器,用于检测入射在所述传感器上的辐射的特性;
-光学系统,被配置为用从源接收的辐射照射所述至少一个结构,并且所述光学系统被配置为接收由所述至少一个结构散射的辐射并将接收到的辐射透射到所述传感器,所述光学系统被配置为将所述至少一个结构成像到所述传感器上,所述光学系统被配置为防止在第一操作模式散射辐射的第0衍射阶的辐射向所述传感器的透射;以及所述传感器被布置在所述光学系统的像平面中,或者所述传感器被布置在与所述像平面共轭的平面中;
-确定系统,被配置为从所述传感器接收表示正被记录在所述传感器上的图像的信号,并且被配置为基于所述衬底的所述第一层中的特征相对于所述衬底的所述第二层中的特征的移位来确定所述重叠值。
2.根据权利要求1所述的量测设备,其中,所述结构的特征能够在由所述确定系统接收的所述图像中被单独地区分。
3.根据前述权利要求中任一项所述的量测设备,其中,所述光学系统包括阻挡元件,所述阻挡元件用于阻挡所述散射辐射的第0衍射阶向所述传感器的透射。
4.根据前述权利要求中任一项所述的量测设备,其中,所述光学系统被配置为以所述第一操作模式或第二操作模式操作,在所述第一操作模式所述阻挡元件在第一位置是能够控制的,在所述第一位置所述阻挡元件能够操作以阻挡所述散射辐射的第0衍射阶向所述传感器的透射,在所述第二操作模式所述阻挡元件在第二位置是能够控制的,在所述第二位置所述阻挡元件不阻挡所述散射辐射的第0衍射阶向所述传感器的透射。
5.根据权利要求3和4中任一项所述的量测设备,其中,所述阻挡元件是在不朝向所述传感器的方向上反射所述第0衍射阶的反射镜。
6.根据权利要求5所述的量测设备,其中,所述反射镜将所述第0衍射阶反射至另一传感器,并且可选地,在所述反射镜与所述另一传感器之间设置有成像透镜,以在所述另一传感器上产生所述至少一个结构的明场图像。
7.根据前述权利要求中任一项所述的量测设备,其中,至少满足以下条件之一:
-所述至少一个结构在所述第一层的第一区域内包含重复的特征结构;
-所述至少一个结构在所述第二层的第二区域内包含重复的特征结构;
以及,可选地,所述第一层中的特征的节距与所述第二层中的特征的节距大致相同。
8.根据权利要求7所述的量测设备,其中,在所述衬底的俯视图中,至少满足以下条件之一:
-所述第一区域和所述第二区域是至少部分不重叠的;
-所述第一区域和所述第二区域彼此相邻;
-所述第一区域和所述第二区域不重叠。
9.根据前述权利要求中任一项所述的量测设备,其中,所述光学系统包括一个或更多个透镜,并且所述一个或更多个透镜具有小的像差,其中,可选地,所述一个或更多个透镜具有小于
Figure FDA0002481039720000021
的像差,以及可选地,所述一个或更多个透镜具有小于
Figure FDA0002481039720000022
的像差。
10.根据前述权利要求中任一项所述的量测设备,其中,所述光学系统具有大于0.5、或者可选地大于0.7、或者可选地大于0.9的数值孔径。
11.根据前述权利要求中任一项所述的量测设备,还包括:所述源,并且其中,可选地,所述源被配置为产生具有以下特性中的至少一个的辐射:
-具有在200nm至2000nm的波长范围内、或可选地在300nm至1500nm的范围内、或可选地在400nm至800nm的范围内的一个或更多个波长的辐射;以及
-具有大于50瓦、或可选地大于150瓦、或可选地大于250瓦、或可选地大于1000瓦的功率的辐射。
12.根据前述权利要求中任一项所述的量测设备,其中,所述光学系统包括第一偏振器,所述第一偏振器用于根据第一偏振对照射所述至少一个结构的辐射进行偏振,并且其中,可选地,所述光学系统包括第二偏振器,所述第二偏振器用于允许将具有特定的第二偏振的散射辐射透射到所述传感器。
13.根据前述权利要求中任一项所述的量测设备,其中,所述光学系统包括用于允许在波长范围内的辐射的透射的波长滤光器。
14.根据前述权利要求中任一项所述的量测设备,其中,所述光学系统和/或所述源被配置为使得能够利用多个波长照射所述至少一个结构。
15.一种确定与衬底上的至少一个结构有关的感兴趣的特性的方法,所述感兴趣的特性是所述衬底上的第一层和第二层之间的重叠值,并且所述至少一个结构包括所述第一层和所述第二层中的特征,所述方法包括:
-用辐射照射所述结构;
-通过光学系统接收由所述结构散射的辐射,所述光学系统包括在所述光学系统的像平面或与所述像平面共轭的平面中的传感器;
-用所述光学系统将所述至少一个结构成像到所述传感器上,同时防止散射辐射的第0衍射阶向所述传感器的透射;
-用所述传感器记录图像;
-基于所述衬底的所述第一层中的特征相对于所述衬底的所述第二层中的特征的移位来确定所述重叠值,基于所述图像来确定所述移位。
CN201880072181.5A 2017-11-07 2018-10-08 量测设备和确定感兴趣的特性的方法 Pending CN111316172A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP17200265 2017-11-07
EP17200265.1 2017-11-07
EP17204158.4 2017-11-28
EP17204158 2017-11-28
EP17207587.1A EP3499312A1 (en) 2017-12-15 2017-12-15 Metrology apparatus and a method of determining a characteristic of interest
EP17207587.1 2017-12-15
PCT/EP2018/077306 WO2019091678A1 (en) 2017-11-07 2018-10-08 Metrology apparatus and a method of determining a characteristic of interest

Publications (1)

Publication Number Publication Date
CN111316172A true CN111316172A (zh) 2020-06-19

Family

ID=63720708

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880072181.5A Pending CN111316172A (zh) 2017-11-07 2018-10-08 量测设备和确定感兴趣的特性的方法

Country Status (7)

Country Link
US (4) US10809632B2 (zh)
JP (2) JP7150838B2 (zh)
KR (4) KR102408786B1 (zh)
CN (1) CN111316172A (zh)
IL (2) IL274148B2 (zh)
TW (3) TWI686680B (zh)
WO (1) WO2019091678A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102408786B1 (ko) 2017-11-07 2022-06-13 에이에스엠엘 네델란즈 비.브이. 관심 특성을 결정하는 계측 장치 및 방법
US20220350268A1 (en) * 2019-10-14 2022-11-03 Asml Holding N.V. Metrology mark structure and method of determining metrology mark structure
US11784077B2 (en) * 2019-12-18 2023-10-10 Micron Technology, Inc. Wafer overlay marks, overlay measurement systems, and related methods
KR20210131798A (ko) * 2020-04-24 2021-11-03 삼성전자주식회사 Euv 노광 장치, 및 그 노광 장치를 이용한 오버레이 보정 방법과 반도체 소자 제조방법
TWI741898B (zh) * 2020-12-03 2021-10-01 東龍投資股份有限公司 對位圖案單元及半導體製程的檢測系統
US20220291143A1 (en) * 2021-03-11 2022-09-15 Kla Corporation Optical metrology utilizing short-wave infrared wavelengths
FR3128779B1 (fr) * 2021-11-02 2024-03-01 Commissariat Energie Atomique Structure de metrologie
US20230175835A1 (en) * 2021-12-02 2023-06-08 Micron Technology, Inc. Apparatuses and methods for diffraction base overlay measurements
US20240159585A1 (en) * 2022-11-14 2024-05-16 Kla Corporation Single grab overlay measurement of tall targets

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5706091A (en) * 1995-04-28 1998-01-06 Nikon Corporation Apparatus for detecting a mark pattern on a substrate
WO2004008068A1 (en) * 2000-10-26 2004-01-22 Kla-Tencor Corporation Overlay error detection
CN101482702A (zh) * 2007-10-25 2009-07-15 Asml荷兰有限公司 检测方法和设备、光刻处理单元和设备、器件制造方法
CN103777467A (zh) * 2012-10-19 2014-05-07 上海微电子装备有限公司 一种套刻误差测量装置及方法
WO2016187468A1 (en) * 2015-05-19 2016-11-24 Kla-Tencor Corporation Topographic phase control for overlay measurement

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5035507A (en) * 1988-12-21 1991-07-30 Mitutoyo Corporation Grating-interference type displacement meter apparatus
US5689339A (en) * 1991-10-23 1997-11-18 Nikon Corporation Alignment apparatus
JP3216240B2 (ja) 1992-06-04 2001-10-09 キヤノン株式会社 位置合わせ方法及びそれを用いた投影露光装置
US5805285A (en) * 1992-09-18 1998-09-08 J.A. Woollam Co. Inc. Multiple order dispersive optics system and method of use
JP2001085305A (ja) 1999-09-10 2001-03-30 Toshiba Corp 露光装置の収差測定方法および収差測定システム
WO2002015238A2 (en) * 2000-08-11 2002-02-21 Sensys Instruments Corporation Device and method for optical inspection of semiconductor wafer
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
DE10146944A1 (de) * 2001-09-24 2003-04-10 Zeiss Carl Jena Gmbh Meßanordnung
CN100470367C (zh) 2002-11-12 2009-03-18 Asml荷兰有限公司 光刻装置和器件制造方法
JP2005217338A (ja) 2004-02-02 2005-08-11 Fuji Photo Film Co Ltd 画像露光方法および装置
CN100463108C (zh) * 2004-04-23 2009-02-18 尼康股份有限公司 测量方法、测量装置、曝光方法及曝光装置
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7643976B2 (en) 2006-02-28 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for identifying lens aberration sensitive patterns in an integrated circuit chip
US7989786B2 (en) 2006-03-31 2011-08-02 Energetiq Technology, Inc. Laser-driven light source
US7791724B2 (en) 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7701577B2 (en) 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036684A1 (nl) 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP2009265026A (ja) * 2008-04-28 2009-11-12 Nikon Corp 検査装置
US8823922B2 (en) 2008-06-26 2014-09-02 Asml Netherlands B.V. Overlay measurement apparatus, lithographic apparatus and device manufacturing method using such overlay measurement apparatus
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
KR101492205B1 (ko) 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
US8681413B2 (en) * 2011-06-27 2014-03-25 Kla-Tencor Corporation Illumination control
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
CN104321703B (zh) 2012-04-12 2017-09-22 Asml控股股份有限公司 位置测量方法、位置测量设备、光刻设备以及装置制造方法、光学元件
NL2010717A (en) 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
WO2014026819A2 (en) 2012-08-16 2014-02-20 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
NL2011477A (en) 2012-10-10 2014-04-14 Asml Netherlands Bv Mark position measuring apparatus and method, lithographic apparatus and device manufacturing method.
NL2011726A (en) 2012-11-05 2014-05-08 Asml Netherlands Bv Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method.
WO2014198516A1 (en) 2013-06-12 2014-12-18 Asml Netherlands B.V. Method of determining critical-dimension-related properties, inspection apparatus and device manufacturing method
CN105814491B (zh) * 2013-10-30 2017-12-05 Asml荷兰有限公司 检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10948421B2 (en) 2014-08-28 2021-03-16 Asml Netherlands B.V. Laser-driven photon source and inspection apparatus including such a laser-driven photon source
KR101986258B1 (ko) * 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
IL256196B (en) 2015-06-17 2022-07-01 Asml Netherlands Bv Prescription selection based on inter-prescription composition
NL2017452A (en) * 2015-10-02 2017-04-11 Asml Netherlands Bv Metrology method and apparatus, computer program and lithographic system
SG11201804232QA (en) * 2015-12-21 2018-06-28 Asml Netherlands Bv Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
JP6697560B2 (ja) 2015-12-23 2020-05-20 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置
US10811323B2 (en) 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
KR102408786B1 (ko) 2017-11-07 2022-06-13 에이에스엠엘 네델란즈 비.브이. 관심 특성을 결정하는 계측 장치 및 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5706091A (en) * 1995-04-28 1998-01-06 Nikon Corporation Apparatus for detecting a mark pattern on a substrate
WO2004008068A1 (en) * 2000-10-26 2004-01-22 Kla-Tencor Corporation Overlay error detection
CN101482702A (zh) * 2007-10-25 2009-07-15 Asml荷兰有限公司 检测方法和设备、光刻处理单元和设备、器件制造方法
CN103777467A (zh) * 2012-10-19 2014-05-07 上海微电子装备有限公司 一种套刻误差测量装置及方法
WO2016187468A1 (en) * 2015-05-19 2016-11-24 Kla-Tencor Corporation Topographic phase control for overlay measurement

Also Published As

Publication number Publication date
US10809632B2 (en) 2020-10-20
US20210003928A1 (en) 2021-01-07
US20190137893A1 (en) 2019-05-09
KR102529770B1 (ko) 2023-05-04
US11327410B2 (en) 2022-05-10
JP2022186712A (ja) 2022-12-15
KR20200059301A (ko) 2020-05-28
IL304744A (en) 2023-09-01
JP7478788B2 (ja) 2024-05-07
JP7150838B2 (ja) 2022-10-11
IL304744B1 (en) 2024-05-01
TW202307589A (zh) 2023-02-16
IL274148B1 (en) 2023-09-01
IL274148B2 (en) 2024-01-01
KR20220084198A (ko) 2022-06-21
TW202020582A (zh) 2020-06-01
US11822254B2 (en) 2023-11-21
KR20230067707A (ko) 2023-05-16
TWI804440B (zh) 2023-06-01
TW201931023A (zh) 2019-08-01
US20200218167A1 (en) 2020-07-09
US20230393490A1 (en) 2023-12-07
TWI686680B (zh) 2020-03-01
WO2019091678A1 (en) 2019-05-16
IL274148A (en) 2020-06-30
JP2021501905A (ja) 2021-01-21
TWI779260B (zh) 2022-10-01
KR20240037383A (ko) 2024-03-21
KR102648880B1 (ko) 2024-03-15
KR102408786B1 (ko) 2022-06-13

Similar Documents

Publication Publication Date Title
TWI767478B (zh) 判定一結構之一特性的方法及度量衡設備
US11822254B2 (en) Metrology apparatus and a method of determining a characteristic of interest
JP5695153B2 (ja) プロセス変動検出方法、角度分解散乱計、リソグラフィシステムおよびリソグラフィセル
JP4778021B2 (ja) インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法
JP4672704B2 (ja) 基板のオーバーレイ誤差を測定する方法、基板製造方法、および検査装置
TWI722540B (zh) 度量衡裝置
US20220172347A1 (en) Detection apparatus for simultaneous acquisition of multiple diverse images of an object
CN112262345A (zh) 量测设备
CN114080569A (zh) 量测目标的改进
KR20190129988A (ko) 구조체의 비대칭 모니터링
CN102265220B (zh) 确定特性的方法
EP3499312A1 (en) Metrology apparatus and a method of determining a characteristic of interest
EP4279993A1 (en) Source selection module and associated metrology apparatus
EP3620857A1 (en) Metrology apparatus
NL2023181A (en) Detection apparatus for simultaneous acquisition of multiple diverse images of an object

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination